Skip to content
#

soc-design

Here are 12 public repositories matching this topic...

Entries for the 2023 5th National College Student Integrated Circuit EDA Elite Challenge. SoC chip physical layout static IR drop prediction project based on methods such as image processing and NLP unsupervised learning.

  • Updated Mar 24, 2024
  • Python

Improve this page

Add a description, image, and links to the soc-design topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the soc-design topic, visit your repo's landing page and select "manage topics."

Learn more