Skip to content

Issues: YosysHQ/oss-cad-suite-build

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Windows binary variants
#99 opened Jan 31, 2024 by AntonKrug
Can't find iCE FTDI USB device
#93 opened Dec 21, 2023 by rnpatra
Add STP
#90 opened Dec 12, 2023 by gussmith23
mkdir errors without ${HOME}
#75 opened Jul 28, 2023 by attie
python3 -m pip install coverage
#73 opened Jul 25, 2023 by dlmiles
Why the surelog plugin removed?
#69 opened Jun 24, 2023 by punzik
ProTip! Follow long discussions with comments:>50.