Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

oss-cad-suite Yosys executable failing to load plugin #91

Open
gussmith23 opened this issue Dec 17, 2023 · 1 comment
Open

oss-cad-suite Yosys executable failing to load plugin #91

gussmith23 opened this issue Dec 17, 2023 · 1 comment

Comments

@gussmith23
Copy link

Hi all!

Sorry, was unsure whether to post this here or in https://github.com/YosysHQ/yosys.

Yosys's plugins are super easy to use. Thanks for showing them to me. They work well on my Mac, but I'm having issues on Linux. Here's the setup:

  • The following error occurs within a Docker container running Ubuntu 22.04.3 (not sure the Docker part is relevant)
  • I'm using the 2023-08-06 release of oss-cad-suite for linux-x64, but this also occurs with the latest releases of oss-cad-suite
  • I build my plugin locally during the Docker build

Seemingly, mixing the prebuilt Yosys with the locally-built plugin isn't working well. When I try to load the plugin with the oss-cad-suite Yosys, I get the following error:

ERROR: Can't load module `/root/lakeroad/yosys-plugin/lakeroad.so': /root/oss-cad-suite/lib/libstdc++.so.6: version `GLIBCXX_3.4.29' not found (required by /root/lakeroad/yosys-plugin/lakeroad.so)

But when I build Yosys from source, the error doesn't present itself.

It seems like it has to be due to Yosys being built in a different environment, but I have no idea. Any thoughts?

@mmicko
Copy link
Member

mmicko commented Dec 17, 2023

Hi Gus,

Good that you have asked. To build a plugin that is able to run with oss-cad-suite or tabby-cad, you need to build it within same build environment, this affects also GLIBC but can also be problematic due to some other dependencies (if your plugin uses them).
For this there is prepared solution of using https://github.com/YosysHQ-GmbH/tabby-cad-plugin-build
Note that instructions are made for TabbyCAD, but in case of oss-cad-suite you can just point license file to a dummy one.
In case you need some additional info feel free to ping me here or on Slack.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants