Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Latest version fails to flash tang nano 9k #88

Open
L10N37 opened this issue Nov 23, 2023 · 3 comments
Open

Latest version fails to flash tang nano 9k #88

L10N37 opened this issue Nov 23, 2023 · 3 comments

Comments

@L10N37
Copy link

L10N37 commented Nov 23, 2023

I used: 2023-03-23
As per a youtube video guide, this fixed the problem.

https://www.youtube.com/watch?v=Y8koTqfXN3M

@tmssngr
Copy link

tmssngr commented Jan 19, 2024

Could someone from the YosysHQ team please comment on what causes the problems in newer builds? Are their plans to fix it? Do you need input from users?

@gatecat
Copy link
Member

gatecat commented Jan 19, 2024

Can you describe what the problem actually is, beyond a vague reference to a video? And what operating system you're using?

@tmssngr
Copy link

tmssngr commented Jan 19, 2024

IMHO the warning to use the old version of OSS-CAD-suite stems from this tutorial: https://learn.lushaylabs.com/getting-setup-with-the-tang-nano-9k/

There are some synthesis issues in the latest version of OSS-CAD-Suite while they are going through a redesign of the OSS toolchain. It is recommended to download the 2023-02-10 version of OSS-CAD-Suite for best results: https://github.com/YosysHQ/oss-cad-suite-build/releases/tag/2023-02-10

I'm not experienced enough to verify whether their statement is correct. I've tried a tiny test verilog project with 2023-02-10 version, 2023-03-01 version and 2023-04-01 version. With the latter I had the most problems, but different ones than the warning states: failures to upload to the Tang Nano 9k. Probably because of the output of NUL-characters I can't copy paste the full log.
2024-01-19 22_01_24-Latest version fails to flash tang nano 9k · Issue #88 · YosysHQ_oss-cad-suite-b

I've also tried with a build from today, but it looks like Lushay Lab's VSCode plugin is not compatible with the latest builds of OSS-CAD-Suite because it seems to miss some bin\gowin_*.exe files.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants