Skip to content
#

nextpnr

Here are 25 public repositories matching this topic...

Demo of how to use https://github.com/openXC7 tools (yosys+nextpnr-xilinx) to implement the HW side of a custom SoC with RISC-V CPU & our special Video Controller in Basys3 Artix7-35T. Complemented with SW in the bare-metal 'C' they, together, make for this classic game. Except that it's now, in the standard BiH tradition, with a twist of our own.

  • Updated Feb 25, 2024
  • Verilog

Improve this page

Add a description, image, and links to the nextpnr topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the nextpnr topic, visit your repo's landing page and select "manage topics."

Learn more