Skip to content

Issues: olofk/edalize

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

Vars do not survive Edaflow
#415 opened Jan 26, 2024 by psi-chuffine
Simulating Vivado IPs (xci, bd)
#399 opened Oct 13, 2023 by adrianmrd
Support a Vivado IP-packaging flow
#393 opened Sep 11, 2023 by McSherry
Modelsim missing in tools
#388 opened Jul 24, 2023 by garankonic
Xcelium: file list
#381 opened Apr 18, 2023 by pantikov
Testsuite fails intermittently
#368 opened Jan 8, 2023 by yurivict
Disabling yosys's synthesis
#365 opened Jan 1, 2023 by Henkru
gnu make on windows 10
#352 opened Oct 27, 2022 by ghost
quartus qsys path seperator on windows 10
#351 opened Oct 27, 2022 by ghost
ProTip! Type g p on any issue or pull request to go back to the pull request listing page.