Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Jinja2 issue witth edarool loader #419

Open
CCCDDDEEEFFF opened this issue Mar 26, 2024 · 4 comments
Open

Jinja2 issue witth edarool loader #419

CCCDDDEEEFFF opened this issue Mar 26, 2024 · 4 comments

Comments

@CCCDDDEEEFFF
Copy link

There seems to be an issue with the loaders in edatools.py that has been driving me crazy for days.

I've tried multiple versions of edalize, fusesoc, and jinja but nothing seems to work.

File "/usr/local/bin/fusesoc", line 11, in
load_entry_point('fusesoc==0.5', 'console_scripts', 'fusesoc')()
File "/usr/local/lib/python3.6/dist-packages/fusesoc/main.py", line 775, in main
fusesoc(args)
File "/usr/local/lib/python3.6/dist-packages/fusesoc/main.py", line 765, in fusesoc
args.func(cm, args)
File "/usr/local/lib/python3.6/dist-packages/fusesoc/main.py", line 336, in run
args.verbose,
File "/usr/local/lib/python3.6/dist-packages/fusesoc/main.py", line 435, in run_backend
backend = backend_class(edam=edam, work_root=work_root, verbose=verbose)
File "/usr/local/lib/python3.6/dist-packages/edalize/edatool.py", line 183, in init
loader=PackageLoader(package, "templates"),
File "/usr/local/lib/python3.6/dist-packages/jinja2/loaders.py", line 291, in init
assert loader is not None, "A loader was not found for the package."
AssertionError: A loader was not found for the package.

@olofk
Copy link
Owner

olofk commented Mar 27, 2024

I have seen this problem popping up a couple of times in other places, and I have absolutely zero idea of what is going on and I'm not able to reporoduce it here. I would very much like to find a solution to this but don't know where to start.

@olofk
Copy link
Owner

olofk commented Mar 27, 2024

Maybe you could try to just change __package__ to Edalize on line 183 in edatool and see if that helps?

@DVCoder
Copy link

DVCoder commented Apr 16, 2024

I'm also running into a similar issue. Please let me know if anyone has a fix/workaround.

@DVCoder
Copy link

DVCoder commented Apr 16, 2024

Found a fix that worked for me. I was also using Python 3.6 and I upgraded to Python 3.9 and that fixed it for me.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants