Skip to content

sipeed/TangMega-138K-example

Repository files navigation

Tang Mega 138k Examples

This repository is for storing Tang Mega 138K examples

Working progress

Peripherals

  • audio_i2s
  • ddr_memory
  • hdmi_colorbar
  • hdmi_svo
  • lcd_rgb666_screen (Both 800x480 and 1024*600)
  • pmod_led
  • pmod_fan Not working for version 31000 due to hardware design failure
  • sd_card
  • udp_rgmii_send
  • ws2812
  • dvp_camera
  • joystick
  • joycon
  • usb_host
  • sdram Not working for 100Mhz or faster due to bus load
  • qspi_flash

Onboard facility

  • power_supply
  • fpga_programmer(bl616)
  • button_and_dip_switch
  • ch569_fifo