Skip to content

iDoka/eda-scripts

Repository files navigation

Collect of various scripts for working with EDA-tools (ASIC, FPGA, etc)

vivado quartus

Intro

I hope that helps not only me

📎
Russian description available here: http://idoka.ru/my-fpga-and-asic-scripts/

Script collection

UCF2XDC converter

vivado The ucf-to-xdc.sh script for Xilinx EDA-tools: that convert ISE-style to Vivado-style constraints (sdc → xdc)

Detailed description: ucf-to-xdc.adoc

Vivado usage runtime statistic

vivado The vivado-stat.sh script for Xilinx Vivado-tool: that prints CPU and Memory usage of all instances of Vivado tools

Detailed description: vivado-stat.adoc

Fake NIC create

The fake-nic.sh script for creating the (additional) HostID if your laptop don’t have any wired Ethernet Adapter or many other cases :)

Detailed description: fake-nic.adoc

💡
By the way Latest version of FLEXLM and SCL supports multiple HostID at same time, i.e. all eth* (not only eth0)

Build-in revision number into FPGA-image

The vergen-fpga.sh script make imprinting build date and FW-hashsum intro FW-image

Detailed description: vergen-fpga.adoc

The git-setting.sh script create empty repo in specifyed location and set up required setting for EDA-related projects (at the first one: the proper .gitattributes and .gitignore files)

Detailed description: git-setting.adoc

Find proper parameters for getting desired Frequency value by PLL/DCM block of Xilinx FPGA

The xilinx-pll-calc.php script print table of proper parameters for desired output frequency (based on known input frequency)

Detailed description: xilinx-pll-calc.adoc

License

This project is licensed under the MIT License - see the LICENSE file for details

References

Feel free to send me comments, suggestions and bug reports