Skip to content

OYounis/sc-mips

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

License

SC-MIPS

A simple single-cycle MIPS processor.

Architecture

This architecture does not differ much from the one found in DD&CA by David Harris and Sarah Harris System Architecture

SystemVerilog

The design employs modern systemverilog language features such as interfaces, structs, and unions. This approach helped in making the code more readable and easier to understand and debug.

Future Work

The design is not fully verified yet. Future commits will be added targeting UVM testing of the design.