Skip to content

Commit

Permalink
Merge pull request #2364 from siliconcompiler/version0.21.10
Browse files Browse the repository at this point in the history
version 0.21.10
  • Loading branch information
gadfort committed Apr 22, 2024
2 parents 963f942 + 27de89e commit 2e937c6
Show file tree
Hide file tree
Showing 2 changed files with 16 additions and 2 deletions.
16 changes: 15 additions & 1 deletion Changes
Expand Up @@ -8,6 +8,20 @@ The changes in each SiliconCompiler release version are described below. Commit
version shown in (). Where applicable, the contributors that suggested a given
feature are shown in [].

SiliconCompiler 0.21.10 (2024-04-22)
=========================================

**Minor:**

* Switch to use templates for generating tool files instead of python print statements to make it easier to maintain.
* Added logic depth to schema metrics.

* Tools:

* yosys: added support for handling hierarchy selection with globbing, added better handling of library merging to ensure liberty templates are properly copied in.
* openroad: added support for extracting logic depth of the design and added irdrop extraction to tool driver.


SiliconCompiler 0.21.9 (2024-04-12)
=========================================

Expand All @@ -26,7 +40,7 @@ SiliconCompiler 0.21.8 (2024-04-11)
**Minor:**

* Fixed packaging extraction when downloading from github.
* Fixed remote run fiel retrival to avoid errors when extracting and moving files.
* Fixed remote run file retrieval to avoid errors when extracting and moving files.

* Tools:

Expand Down
2 changes: 1 addition & 1 deletion siliconcompiler/_metadata.py
@@ -1,5 +1,5 @@
# Version number following semver standard.
version = '0.21.9'
version = '0.21.10'

# Default server address for remote runs, if unspecified.
default_server = 'https://server.siliconcompiler.com'
Expand Down

0 comments on commit 2e937c6

Please sign in to comment.