Skip to content

Commit

Permalink
Merge pull request #2288 from siliconcompiler/version0.21.6
Browse files Browse the repository at this point in the history
version 0.21.6
  • Loading branch information
gadfort committed Mar 28, 2024
2 parents 7f26997 + 66b979f commit 0b44810
Show file tree
Hide file tree
Showing 2 changed files with 16 additions and 1 deletion.
15 changes: 15 additions & 0 deletions Changes
Expand Up @@ -8,6 +8,21 @@ The changes in each SiliconCompiler release version are described below. Commit
version shown in (). Where applicable, the contributors that suggested a given
feature are shown in [].

SiliconCompiler 0.21.6 (2024-03-28)
=========================================

**Minor:**

* Fixed handling of files with codec errors.
* Update package lock file handling to avoid race conditions in parallel flows.

* Tools:

* yosys: minor code cleanup in FPGA flow.
* vpr: add support for clock routing.
* surelog: added wrapper comments in output file for parsing to indicate where segments of code came from.


SiliconCompiler 0.21.5 (2024-03-21)
=========================================

Expand Down
2 changes: 1 addition & 1 deletion siliconcompiler/_metadata.py
@@ -1,5 +1,5 @@
# Version number following semver standard.
version = '0.21.5'
version = '0.21.6'

# Default server address for remote runs, if unspecified.
default_server = 'https://server.siliconcompiler.com'
Expand Down

0 comments on commit 0b44810

Please sign in to comment.