Skip to content

wavedrom/vcd-samples

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

79 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

View VCD file in the browser

GitHub

https://vc.drom.io/?github=<user>/<repo>/<brunch>/<filename>.vcd

Icarus

Verilator

GHDL

VCS

QuestaSim

ModelSim

QUARTUS_VCD_EXPORT

SystemC

Xcelium (xmsim)

treadle

https://vc.drom.io/?github=chipsalliance/treadle/master/src/test/resources/GCD.vcd

Aldec

Riviera-PRO

MyHDL

ncsim

https://vc.drom.io/?github=amiteee78/RTL_design/master/ffdiv_32bit/ffdiv_32bit_prop_binom/run_cad/ffdiv_32bit_tb.vcd

xilinx_isim

Vivado

GTKWave Analyzer

Gist

https://vc.drom.io/?gist=<user>/<hash>/raw/<hash>/<filename>.vcd

https://vc.drom.io/?gist=drom/3b5f2ba5e2f60a91f9a8e765727858fe/raw/f79178d9e573d0957c065880b942882710a1660d/test1.vcd (Icarus)

https://vc.drom.io/?gist=carlosedp/00380f29bbd7aadc3523ffd162230d0e/raw/d732be78edb558ba91df5b3b1475288279df96fd/Blinky.vcd (Treadle)

Bitbucket

https://vc.drom.io/?bitbucket=<user>/<repo>/raw/<hash>/<filename>.vcd

https://vc.drom.io/?bitbucket=alex_drom/vcd-samples/raw/36cf049c82f70f82249682d20444903627b9536e/test1.vcd (Icarus)

GitLab

🚧 Does not work Yet 🚧

Cross-Origin Request Blocked

https://vc.drom.io/?gitlab=<user>/<repo>/<brunch>/<filename>.vcd

https://vc.drom.io/?gitlab=drom/vcd-samples/raw/main/swerv1.vcd (Verilator)

Snippets

https://gitlab.com/-/snippets/2162111/raw/main/test1.vcd

WaveQL fetch support

Second query source is an url of signal list file.

https://vc.drom.io/?<host>=<path>.vcd&<host>=<path>.waveql

https://vc.drom.io/?github=wavedrom/vcd-samples/trunk/swerv1.vcd&gist=drom/a641b8321d3d4b6d07e1a3bde302bb34/raw/03833b83748dd1ae17f151c9808d82d37a089711/swerv_ifu_axi.waveql

https://vc.drom.io/?github=dpretet/vcd/master/test4.vcd&github=wavedrom/vcd-samples/trunk/wave1.waveql

https://vc.drom.io/?github=wavedrom/vcd-samples/trunk/swerv1.vcd&github=wavedrom/vcd-samples/trunk/swerv_ifu_axi.waveql

Releases

No releases published

Packages

No packages published