Skip to content

vzy2d/Batchfiles-for-iverilog

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

23 Commits
 
 
 
 

Repository files navigation

batchfile4iverilog

iverilog+gtkwave的环境

根目录下:

 使用 <_NewPrj.bat> 创建新工程
 
 <_lib>文件夹下存放了一些编译用的批处理以及程序模板(暂时只有一个三段式状态机)

工程目录下:

 使用 <_A2Z.bat> 编译&调用GTKWave显示仿真波形
 
 使用 <_WaveDisplay.bat> 调用GTKWave显示已存在的波形文件
 
 <*.v>为verilog程序文件
 
 <*_tb.v>为Testbenh

附iverilog和gtkwave的一些相关网址

• iverilog 官网:http://iverilog.icarus.com/

• iverilog windows版本:http://bleyer.org/icarus/

• iverilog User Guide:http://iverilog.wikia.com/wiki/User_Guide

• iverilog GitHub:https://github.com/steveicarus/iverilog

• GTKWave 官网:http://gtkwave.sourceforge.net/

• GTKWave 手册:http://gtkwave.sourceforge.net/gtkwave.pdf

以上

Releases

No releases published

Packages

No packages published