Skip to content
View rainyhello's full-sized avatar
🌴
On vacation
🌴
On vacation
Block or Report

Block or report rainyhello

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Pinned

  1. ibex ibex Public

    Forked from lowRISC/ibex

    Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.

    SystemVerilog

  2. e200_opensource e200_opensource Public

    Forked from SI-RISCV/e200_opensource

    Deprecated, please go to next generation Ultra-Low Power RISC-V Core https://github.com/riscv-mcu/e203_hbirdv2

    Verilog

  3. core_ddr3_controller core_ddr3_controller Public

    Forked from ultraembedded/core_ddr3_controller

    A DDR3 memory controller in Verilog for various FPGAs

    Verilog