Skip to content

matt-vdv/icestorm-vscode-extension

 
 

Repository files navigation

icestorm-vscode-extension

CI

Extension to build and program FPGA using icestorm

Support

Board name FPGA Build Method Program method Requirements
Alchitry CU Lattice iCE40-HX8K yosys/nextpnr iceprog yosys, nextpnr, iceprog
TinyFPGA-BX Lattice iCE40-LP8K APIO APIO APIO

Commands

  • icestorm.createproject (Create new FPGA project)
  • icestorm.buildproject (Build the project into bitstream)
  • icestorm.programproject (Program the FPGA board)

Features

  • Project creating with template code.
  • Building using yosys and nextpnr or APIO
  • Programming with iceprog or APIO

Requirements

For Alchitry CU, nextpnr, icestorm and yosys is required.

https://github.com/YosysHQ/nextpnr

https://github.com/cliffordwolf/icestorm

https://github.com/YosysHQ/yosys

For TinyFPGA-BX, APIO is required.

https://github.com/FPGAwars/apio

Known Issues

Only support Alchitry CU and TinyFPGA-BX at the moment, I don't have other FPGA board to test, but adding new hardware support should be easy.

Release Notes

1.0.0

Initial release of extension

  • Alchitry CU support
  • Auto refresh project settings
  • Building and flashing support

Contributing

If you want to add your own board support, feel free to submit PR for the new hardware.

Credits

TinyFPGA-BX added by matt-hu

Icon made by icon king from www.freeicons.io

Build icon made by www.wishforge.games from www.freeicons.io

Download icon made by Raj Dev from www.freeicons.io

About

Fork of main repo - Basic FPGA programming extension for vscode

Resources

License

Stars

Watchers

Forks

Languages

  • TypeScript 93.7%
  • JavaScript 6.3%