Skip to content

lowRISC/sonata-system

Repository files navigation

Sonata system

Sonata is a system for evaluating the usage of CHERIoT Ibex core as a microcontroller for embedded, IoT and Operational Technology applications. The system contain a number of peripherals (I2C, SPI, GPIO, PWM, UART, and DMA) and a CHERIoT enabled debug module along with the CHERIoT Ibex core itself. It is designed for use on FPGA and specifically targets the Sonata FPGA board.

It is under active development and the first full RTL release is not yet complete. In the meantime, please read the architecture specfication.

We plan to release an updated image, together with instructions for uploading it to the board over USB, before the May 29th 2024 Hackathon event. This image will allow running CHERIoT-RTOS and will allow for general purpose software development.

Sonata is part of the Sunburst Project funded by UKRI / DSbD under grant number 107540.

Building Documentation

The documentation uses mdBook see the installation guide for further details on installation.

Once mdBook is installed the documentation can be built and viewed with:

mdbook serve --open
# Avoid FuseSoC using copied files in the book directory
touch book/FUSESOC_IGNORE

License

Unless otherwise noted, everything in the repository is covered by the Apache License, Version 2.0. See the LICENSE file for more information on licensing.

About

A full micro-controller system utilizing the CHERIoT Ibex core, part of the Sunburst project funded by UKRI

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published