Skip to content

Commit

Permalink
testsuite/gna: add a test for #1249
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed May 4, 2020
1 parent 3e9569d commit d3efb9f
Show file tree
Hide file tree
Showing 2 changed files with 25 additions and 0 deletions.
12 changes: 12 additions & 0 deletions testsuite/gna/issue1249/repro1.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,12 @@
entity repro1 is
generic (WIDTH : natural := 4);
end;

architecture behav of repro1 is
type myarr is array(natural range <>) of bit_vector(WIDTH-1 downto 0);

type myrec is record
a: bit_vector(WIDTH-1 downto 0);
end record;
begin
end;
13 changes: 13 additions & 0 deletions testsuite/gna/issue1249/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
#! /bin/sh

. ../../testenv.sh

analyze repro1.vhdl
elab repro1
if ghdl_has_feature repro1 dump-rti; then
simulate repro1 --dump-rti
fi

clean

echo "Test successful"

0 comments on commit d3efb9f

Please sign in to comment.