Skip to content

Releases: firesim/firesim

FireSim 1.18.0 Release

23 Jan 02:01
535dcdc
Compare
Choose a tag to compare

Added

Changed

Fixed

Uncategorized

  • Fix doc substitution (copy #1621) (by @mergify[bot] in #1624)
  • Revert changes to awstools.py (by @abejgonzalez in #1626)
  • Update local bitstream(s) for PR #1627 (whitespace-rebuild-fis) (by @github-actions[bot] in #1628)
  • Update AGFI(s) for PR #1627 (whitespace-rebuild-fis) (by @github-actions[bot] in #1629)
  • Update local bitstream(s) for PR #1620 (garnet-2023-bump) (by @github-actions[bot] in #1637)
  • Update local bitstream(s) for PR #1596 (revamp-wide-tracerv-w-fix) (by @github-actions[bot] in #1638)
  • Update AGFI(s) for PR #1596 (revamp-wide-tracerv-w-fix) (by @github-actions[bot] in #1639)
  • Update Cospike.rst (by @raghav-g13 in #1648)
  • Update local bitstream(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1652)
  • Update local bitstream(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1654)
  • Update AGFI(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1655)
  • Update AGFI(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1659)
  • Update local bitstream(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1658)
  • Update AGFI(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1661)
  • Update local bitstream(s) for PR #1651 (bdbridge) (by @github-actions[bot] in #1660)
  • Update local bitstream(s) for PR #1672 (sep-ci) (by @github-actions[bot] in #1674)
  • Update testchipip imports to match new testchipip packaging (by @jerryz123 in #1681)
  • Remove extraneous -include flags to verilator (by @jerryz123 in #1682)
  • Update rocket-chip-blocks naming (by @jerryz123 in #1685)

FireSim 1.17.1 Release

19 Jul 23:44
b473147
Compare
Choose a tag to compare

Added

Changed

Fixed

  • Additional VCU118 initial setup fixes (by @sagark in #1606)

Full Changelog: 1.17.0...1.17.1

FireSim 1.17.0 Release

09 Jul 21:50
07efa6a
Compare
Choose a tag to compare

Support for several new local FPGA boards added: Xilinx VCU118 (w/XDMA), Xilinx Alveo U250/U280 (w/XDMA, in addition to previous Vitis support), RHSResearch NiteFury II (w/XDMA). FireSim now also supports Xcelium for metasims.

Added

Changed

Fixed

FireSim 1.16.0 Release

24 Mar 00:13
df095fb
Compare
Choose a tag to compare

Vitis documentation updates, re-work of FireSim driver code, URI support for tarball/xclbins, Various bumps

Added

Changed

Read more

FireSim 1.15.2 Release

09 Apr 01:51
Compare
Choose a tag to compare

Fix machine-launch-script.sh.

Changed

  • Fixed machine-launch-script.sh.

FireSim 1.15.1 Release

19 Oct 01:04
f33e1f2
Compare
Choose a tag to compare

Fixes to metasimulation, TracerV, and improved cross-platform support.

Added

  • sourceme-f1-manager.sh now has a --skip-ssh-setup argument for users who have pre-set ssh-agent config #1266

Changed

  • Instance liveness check now checks to see if login shell is reasonable #1266
  • Driver/Metasim build at runtime now executed via run() to avoid conda warnings #1266
  • Setup for QCOW2 on a run farm is only performed if the simulation needs it #1266
  • The sim launch command is now written to a file before being executed for easier debugging. #1266

Fixed

  • Fix missing code in RuntimeBuildRecipeConfig that broke metasims #1266
  • Hide warnings from sudo check, guestmount, etc. #1266
  • Open file limit increased by default in machine-launch-script to work around buildroot bug. #1266
  • TracerV: fix loop bounds in token processing #1249

FireSim 1.15.0 Release

01 Oct 00:05
93d114d
Compare
Choose a tag to compare

Full migration to Conda-based environment/dependency management; Chipyard now also uses Conda. Bump Rocket Chip/Chisel/etc. Various bugfixes/feature improvements.

Added

  • Refactor Conda + Bump Chipyard (which now uses Conda) #1206
  • Support FPGA-managed AXI4/DMA in metasimulation #1191

Changed

  • Bump chipyard to 1.8.0 #1239
  • Bump Rocketchip/chipyard/chisel #1216
  • Metasimulation: remove dramsim2 and copy host memory model sources in-tree #1197
  • Metasimulation: remove dependency on fesvr for ucontext #1196
  • bridges: Remove references to DMA_X in driver sources #1184
  • refactor most of machine-launch-script.sh into build-setup.sh #1180
  • Backports go to stable branch, which should generally point to the la… #1176
  • obey umask and default group in results-workload #1163
  • Use libelf and libdwarf from conda #1160
  • Improve fabric logging #1159
  • Bump to Verilator 4.224 #1156
  • ci: support running under forks of firesim #1144
  • Allowed bridge parameters to be serialized #1141
  • Don't use tsnyder conda channel in production machine-launch-script.sh #1121
  • Make bug report system info copy pastable #1104

Fixed

  • manager: Cast AWS IDs to string in shareagfi #1227
  • Stable backport of 1.12.1 AMI bump #1188
  • Fix various VCS metasimulation breakages #1177
  • Change elfutils submodule URL to HTTPS #1153
  • Annotate Printf statements instead of intercepting parameters. #1151
  • Deinit Chipyard's FireSim submodule under FireSim-as-top #1146
  • add config_build_recipes.yaml to run_yamls pytest fixture #1143
  • Fix mount files ownership #1137
  • Add warn_only to vivado builds + Postpone error until all builds complete #1130
  • Added missing return in tracerv_t::process_tokens to fix undefined behavior #1129
  • correct doc for autocounter_csv_format #1126
  • Fixing instructions for external SSH into simulation #1119
  • docs: fix underlining in metasimulation configuration section #1106
  • Fixed shebang in build-libdwarf.sh and build-libelf.sh scripts (copy #1101) #1105
  • VitisShell: Use XPM xpm_cdc_sync_rst for reset synchronizer #1100

Removed

  • Removed the clock bridge annotation #1224
  • Removed the in-memory bridge annotation #1223
  • Removed the Fame1Instances transformation #1202

FireSim 1.14.2 Release

31 Aug 18:18
8c5416c
Compare
Choose a tag to compare

Bump to use AWS FPGA Developer AMI 1.12.1 as 1.11.1 has been de-listed. This also bumps Vivado to 2021.2.

Fixed

  • Bump to use AWS FPGA Developer AMI 1.12.1
  • Bump Vivado to 2021.2

FireSim 1.14.1 Release

08 Jul 05:25
d7a8b6c
Compare
Choose a tag to compare

Adds firesim builddriver command, various bugfixes.

Added

  • New firesim builddriver command, which runs required driver/metasimulation builds without a launched run farm #1114
  • Support for Sydney region on AWS #1111

Changed

  • Docs cleanup #1114 #1106
  • Don't use tsnyder conda channel in production machine-launch-script.sh #1121

Fixed

FireSim 1.14.0 Release

18 Jun 09:57
f424359
Compare
Choose a tag to compare

Introduces support for local (on-premises) FPGAs and distributed metasimulation

Added

  • Support for Vitis FPGAs #1087
  • Manager support for deploying verilator/vcs metasimulations, plusarg passthrough, and some useful DRYing-out #1076
  • ("Where to Run") Initial support running on different run farm hosts #1028
  • A host-portable AutoILA transform that instantiates the black box in IR #1059
  • Scala Source Formatting via Scalafmt #1060
  • VSCode Integration for Scala Development #1056
  • Support A Resource-Minimizing strategy ("AREA") for AWS-FPGA #1055
  • XDC-Driven Memory Hints for Xilinx FPGAs #1021
  • ("what-to-build") Modularize different run platforms (i.e. bitstream builds) #853
  • .ini to .yaml config files + supporting different build hosts #1006
  • Capture packet dump from switch #1011

Changed

  • Cleanup config initialization #1082
  • Switch buildfarm API to be similar to runfarm API #1070
  • ("Where to Run") Initial support running on different run farm hosts #1028
  • Move C++ implementation of bridge streams out of bridge drivers #1017
  • awstools typing + small organization #1037
  • Collect Bridge Stream RTL Implementation under StreamEngine module #996
  • Use conda for distribution-agnostic dependency management #986
  • .ini to .yaml config files + supporting different build hosts #1006
  • Use FIRRTL 'FPGA backend' passes in the GG compiler + Isolate Emitter #981

Fixed

  • Allow argument passing to bit builder #1046
  • Move sim. data class arg parsing into classes #1078
  • Hide blowfish deprecation warning until 2022-08-31 #1079
  • Have yes/no resolve to bool in Yaml #1069
  • Add bash-completion and install argcomplete global into it #1041
  • Fix CI FPGA sim timeout issue + Use Python3 formatting in run_linux_poweroff CI script #1040
  • Revert the change from #842 that makes launchrunfarm block on instances passing status checks #1003
  • Fix first clone setup fast script #990
  • Update libdwarf submodule url #988
  • Update test_amis.json #982

Removed

  • Remove the data_t type alias + unused macros in generated header #1050
  • .ini to .yaml config files + supporting different build hosts #1006