Skip to content

Commit

Permalink
fixup! tests
Browse files Browse the repository at this point in the history
  • Loading branch information
matheusaaguiar committed Apr 23, 2024
1 parent 0ac829d commit 5edd9de
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 7 deletions.
Expand Up @@ -9,6 +9,4 @@ contract C {
mapping(uint => uint) transient y;
}
// ----
// TypeError 8114: (49-67): Transient data location is only supported for value types.
// TypeError 8114: (70-83): Transient data location is only supported for value types.
// TypeError 8114: (86-119): Transient data location is only supported for value types.
// UnimplementedFeatureError: Transient data location is only supported for value types.
Expand Up @@ -8,7 +8,4 @@ contract test {
}
}
// ----
// TypeError 8114: (45-63): Transient data location is only supported for value types.
// TypeError 8114: (69-82): Transient data location is only supported for value types.
// TypeError 6651: (116-134): Data location must be "storage", "memory" or "calldata" for variable, but "transient" was given.
// TypeError 6651: (142-155): Data location must be "storage", "memory" or "calldata" for variable, but "transient" was given.
// UnimplementedFeatureError: Transient data location is only supported for value types.

0 comments on commit 5edd9de

Please sign in to comment.