Skip to content

Commit 3c360ee

Browse files
authored
Merge pull request #65 from cms-l1-globaltrigger/dev_v1.32.1
merge dev_v1.32.1 to master
2 parents 8686fe7 + 6f89cfd commit 3c360ee

File tree

5 files changed

+425
-12
lines changed

5 files changed

+425
-12
lines changed

CHANGELOG.md

Lines changed: 11 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -4,6 +4,17 @@ All notable changes to this project will be documented in this file.
44
The format is based on [Keep a Changelog](http://keepachangelog.com/)
55
and this project adheres to [Semantic Versioning](http://semver.org/).
66

7+
## [v1.32.1] - 2025-05-26
8+
### Comment
9+
10+
- updated constraints_fixed_cells.tcl
11+
- bug fixed in gtl_fdl_wrapper_tpl_questa.do
12+
### Changed
13+
- ucf file:
14+
- ../ucf/constraints_fixed_cells.tcl
15+
- sim file:
16+
- ../scripts/templates/gtl_fdl_wrapper_tpl_questa.do
17+
718
## [v1.32.0] - 2025-05-13
819
### Comment
920

README.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,7 @@ Current versions:
2424

2525
| entity | version |
2626
|:-:|:-:|
27-
| uGT FW | v1.32.0 |
27+
| uGT FW | v1.32.1 |
2828
| framework | v1.4.2 |
2929
| gt logic | v1.25.0 |
3030
| final decision logic | v1.4.1 |

firmware/hdl/packages/gt_mp7_core_pkg.vhd

Lines changed: 4 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -4,14 +4,15 @@
44
-- actual versions:
55
-- use "FRAME_VERSION" as mp7_ugt release fw version (used for tag name).
66

7-
-- mp7_ugt (=FRAME_VERSION): v1.32.0
7+
-- mp7_ugt (=FRAME_VERSION): v1.32.1
88

99
-- use "GT_VERSION" as mp7_ugt release fw version (used for tag name).
10-
-- gt: v1.32.0
10+
-- gt: v1.32.1
1111
-- frame: v1.4.2 (see frame.vhd)
1212
-- gtl: v1.25.0 (see gtl_module_tpl.vhd)
1313
-- fdl: v1.4.1 (see fdl_module.vhd)
1414

15+
-- HB 2024-09-04: v1.32.1 - Updated constraints_fixed_cells.tcl.
1516
-- HB 2024-09-04: v1.30.0 - Added vivado_fix_cells_tpl.tcl and constraints_fixed_cells.tcl to ../scripts.
1617
-- HB 2023-04-08: v1.22.2 - Changed in scripts/mp7_patch.py (for area_constraints.tcl).
1718
-- HB 2023-04-07: v1.22.1 - Used Tx links 28-31 for scouting (frame/output_mux.vhd) without links 32..71.
@@ -109,7 +110,7 @@ package gt_mp7_core_pkg is
109110
-- GT firmware version
110111
constant GT_MAJOR_VERSION : integer range 0 to 255 := 1;
111112
constant GT_MINOR_VERSION : integer range 0 to 255 := 32;
112-
constant GT_REV_VERSION : integer range 0 to 255 := 0;
113+
constant GT_REV_VERSION : integer range 0 to 255 := 1;
113114
constant GT_VERSION : std_logic_vector(31 downto 0) := X"00" &
114115
std_logic_vector(to_unsigned(GT_MAJOR_VERSION, 8)) &
115116
std_logic_vector(to_unsigned(GT_MINOR_VERSION, 8)) &

firmware/sim/scripts/templates/gtl_fdl_wrapper_tpl_questa.do

Lines changed: 7 additions & 7 deletions
Original file line numberDiff line numberDiff line change
@@ -103,6 +103,13 @@ vcom -93 -work work $HDL_DIR/payload/gtl/common/correlation_cuts_wrapper.vhd
103103
vcom -93 -work work $HDL_DIR/payload/gtl/common/orm_cuts.vhd
104104
vcom -93 -work work $HDL_DIR/payload/gtl/common/esums_4_corr_cond.vhd
105105
#
106+
#### inserted from anomaly_detection.dep (wrapper in dep file)
107+
{{adt_vhd}}
108+
#### inserted from axol1tl_trigger.dep (wrapper in dep file)
109+
{{axol1tl_vhd}}
110+
#### inserted from topo_trigger.dep (wrapper in dep file)
111+
{{topo_vhd}}
112+
#
106113
### modules of instances in gtl_module.vhd
107114
vcom -93 -work work $HDL_DIR/payload/gtl/bx_pipeline.vhd
108115
vcom -93 -work work $HDL_DIR/payload/gtl/muon_charge_correlations.vhd
@@ -121,13 +128,6 @@ vcom -93 -work work $HDL_DIR/payload/gtl/calo_comb_multi_condition.vhd
121128
vcom -93 -work work $HDL_DIR/payload/gtl/ml_comparison.vhd
122129
vcom -93 -work work $HDL_DIR/payload/gtl/ml_calculation_instances.vhd
123130
#
124-
#### inserted from anomaly_detection.dep (wrapper in dep file)
125-
{{adt_vhd}}
126-
#### inserted from axol1tl_trigger.dep (wrapper in dep file)
127-
{{axol1tl_vhd}}
128-
#### inserted from topo_trigger.dep (wrapper in dep file)
129-
{{topo_vhd}}
130-
#
131131
### modules of BRAMs used for mass over DeltaR
132132
vcom -93 -work work $NGC_DIR/$BLK_MEM_GEN_VERSION/rom_lut_calo_inv_dr_sq_1/synth/rom_lut_calo_inv_dr_sq_1.vhd
133133
vcom -93 -work work $NGC_DIR/$BLK_MEM_GEN_VERSION/rom_lut_calo_inv_dr_sq_2/synth/rom_lut_calo_inv_dr_sq_2.vhd

0 commit comments

Comments
 (0)