Skip to content

Commit

Permalink
Merge branch 'main' into ouruin
Browse files Browse the repository at this point in the history
  • Loading branch information
Timbles committed Mar 12, 2024
2 parents f5d9e16 + a2555e0 commit 5eb22fa
Show file tree
Hide file tree
Showing 4 changed files with 6 additions and 2 deletions.
4 changes: 2 additions & 2 deletions .github/workflows/analyze.yml
Expand Up @@ -10,7 +10,7 @@ jobs:
runs-on: ubuntu-latest
container: 'registry.fedoraproject.org/fedora:latest'
env:
SONAR_SCANNER_VERSION: 4.7.0.2747
SONAR_SCANNER_VERSION: 5.0.1.3006
SONAR_SERVER_URL: "https://sonarcloud.io"
BUILD_WRAPPER_OUT_DIR: build_wrapper_output_directory # Directory where build-wrapper output will be placed
steps:
Expand All @@ -22,7 +22,7 @@ jobs:
key: ${{ runner.os }}-dnfcache
- name: Install pre-requisites
run: dnf --assumeyes --setopt=install_weak_deps=False install
gcc-c++ cmake make /usr/bin/git java-11-openjdk-headless curl unzip
gcc-c++ cmake make /usr/bin/git java-17-openjdk-headless curl unzip
'pkgconfig(gl)' 'pkgconfig(glu)'
'pkgconfig(sdl2)' 'pkgconfig(SDL2_net)'
'pkgconfig(gtk+-2.0)' 'pkgconfig(ogg)' 'pkgconfig(vorbis)'
Expand Down
1 change: 1 addition & 0 deletions Source/Internal/stopwatch.h
Expand Up @@ -22,6 +22,7 @@
//-----------------------------------------------------------------------------
#pragma once

#include <cstdint>
#include <string>

void BusyWaitMilliseconds(uint32_t how_many);
Expand Down
2 changes: 2 additions & 0 deletions Source/Network/asnetwork.h
Expand Up @@ -27,6 +27,8 @@
#include <SDL_net.h>

#include <map>
#include <string>
#include <vector>

#define SOCKET_ID_INVALID 0xFFFFFFFF

Expand Down
1 change: 1 addition & 0 deletions Source/Utility/waveform_obj_serializer.h
Expand Up @@ -23,6 +23,7 @@
//-----------------------------------------------------------------------------
#pragma once

#include <cstdint>
#include <string>
#include <vector>

Expand Down

0 comments on commit 5eb22fa

Please sign in to comment.