Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Selected names from 'unusual' places #249

Open
Schottkyc137 opened this issue Jan 7, 2024 · 1 comment
Open

Selected names from 'unusual' places #249

Schottkyc137 opened this issue Jan 7, 2024 · 1 comment

Comments

@Schottkyc137
Copy link
Contributor

Schottkyc137 commented Jan 7, 2024

VHDL allows to prefix a name with the label of the enclosing element as 'scope'. For example, for processes:

P: process
    variable DATA: INTEGER;
begin
    -- Within process P, the name "P.DATA" denotes a named entity
    -- declared in process P.
end process;

This example is directly taken from the LRM (8.3; examples)

At the moment, the language server sees this as an error.

The same is likely true for blocks, generate statements and probably more.

@lgu-appear
Copy link

I didn't even know this existed in VHDL, but I find it amazing to help readability in code when you have for..generate and block. Would be cool to see this fixed!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants