Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Bug] Packages can't explicitly reference themselves #304

Open
domWalters opened this issue May 14, 2024 · 1 comment
Open

[Bug] Packages can't explicitly reference themselves #304

domWalters opened this issue May 14, 2024 · 1 comment
Labels
bug Something isn't working

Comments

@domWalters
Copy link

I am using a public library that has code that can be reduced to this:

package test is

    type enum_1_t is (A_e);
    subtype enum_2_1 is test.enum_1_t;

end package;

This package self reference isn't necessary, but this compiles in Vivado (synthesis and simulation) as well as Modelsim and Riviera, which suggests to me that it's valid VHDL (although I haven't looked in the standard).

VHDL LS provides the following error on the subtype declaration line:

No declaration of enum_1_t within package test

@Schottkyc137
Copy link
Contributor

Related to #249

@Schottkyc137 Schottkyc137 added the bug Something isn't working label May 14, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working
Projects
None yet
Development

No branches or pull requests

2 participants