Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add support for the free Intel ModelSim edition #91

Open
wants to merge 3 commits into
base: openpiton
Choose a base branch
from

Conversation

therbom
Copy link
Contributor

@therbom therbom commented Dec 6, 2020

This pull request adds support for ModelSim - Intel FPGA Starter Edition, also known as the free edition of ModelSim that is available for Linux. It can be downloaded on this page (free Intel account required). The available features in this edition can be found here. Most notably, it claims mixed-language support, although I did not test this personally.

The following workarounds were required:

  1. The free version is only available in 32 bits, so even on x86-64 we need to force OpenPiton's custom PLI library to be compiled in 32-bit mode and activate it. mkplilib has been updated accordingly.
  2. The UVM library is not included in the free version, so I downloaded it manually from here and modified mktools to compile it. It's licensed under the Apache License, Version 2.0.
  3. sims automatically applies the workarounds when the UVM library is missing.

I confirmed for the following runs with a single Ariane core that the simulation logs were identical with free version 2020.1 and Questa 2020.4 (Ubuntu 18.04, AMD Ryzen 7 3700X @ 4.3 GHz):

  1. It takes around 7 minutes and 50 seconds before the processor finishes initialization and starts executing from the boot ROM.
  2. rv64ui-p-addi.S takes 8 minutes and 20 seconds in total, according to the simulation log.
  3. vvadd.riscv takes 13 minutes and 3 seconds in total, according to the simulation log.
  4. accu_test.c takes 1 hour, 13 minutes and 3 seconds in total, according to the simulation log.

This is required for the free ModelSim - Intel FPGA Starter Edition.

UVM library taken from https://www.accellera.org/downloads/standards/uvm,
licensed under the Apache License, Version 2.0.
Required for ModelSim - Intel FPGA Starter Edition, which is only available in 32 bit.
@therbom
Copy link
Contributor Author

therbom commented Dec 6, 2020

I simply unpacked uvm-1.2, but it seems that uvm-1.2/docs is 14.2 MB large. I can delete it if you want to free up some space, since I guess it is not really necessary.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

1 participant