Skip to content

Commit

Permalink
Merge pull request #408 from Koheron/2017.1
Browse files Browse the repository at this point in the history
Upgrade to Vivado 2017.1
  • Loading branch information
jeanminet committed Jun 20, 2017
2 parents 324f2c4 + 5ff7ba6 commit a3596d5
Show file tree
Hide file tree
Showing 15 changed files with 76 additions and 82 deletions.
2 changes: 1 addition & 1 deletion Makefile
Expand Up @@ -12,7 +12,7 @@ HOST ?= 192.168.1.100
TMP ?= tmp

KOHERON_VERSION := 0.14.0
VIVADO_VERSION := 2016.4
VIVADO_VERSION := 2017.1

.PHONY: help
help:
Expand Down
2 changes: 1 addition & 1 deletion README.md
Expand Up @@ -9,7 +9,7 @@

## Getting started

1. [Install Vivado 2016.4](https://koheron.com/software-development-kit/documentation/setup-development-machine)
1. [Install Vivado 2017.1](https://koheron.com/software-development-kit/documentation/setup-development-machine)

2. Install required packages

Expand Down
66 changes: 34 additions & 32 deletions boards/red-pitaya/patches/devicetree.patch
@@ -1,42 +1,18 @@
--- system.dts.old
+++ system.dts
@@ -17,6 +17,13 @@
chosen {
bootargs = "console=ttyPS0,115200 root=/dev/mmcblk0p2 ro rootfstype=ext4 earlyprintk rootwait";
};
+ usb_phy0: phy0 {
+ #phy-cells = <0>;
+ compatible = "ulpi-phy";
+ reg = <0xe0002000 0x1000>;
+ view-port = <0x0170>;
+ drv-vbus;
+ };
aliases {
ethernet0 = &gem0;
serial0 = &uart0;
@@ -27,7 +34,7 @@
};
memory {
device_type = "memory";
- reg = <0x0 0x20000000>;
+ reg = <0x0 0x1E000000>;
};
};
&gem0 {
@@ -45,6 +52,12 @@
diff -rupN devicetree.old/pcw.dtsi devicetree/pcw.dtsi
--- devicetree.old/pcw.dtsi
+++ devicetree/pcw.dtsi
@@ -25,6 +25,10 @@
&i2c0 {
clock-frequency = <400000>;
status = "okay";
};
+&i2c0 {
+ eep@50 {
+ compatible = "24c64";
+ reg = <0x50>;
+ };
+};
};
&intc {
num_cpus = <2>;
num_interrupts = <96>;
@@ -64,11 +77,21 @@
@@ -45,11 +49,21 @@
is-decoded-cs = <0>;
num-cs = <3>;
status = "okay";
Expand All @@ -58,7 +34,7 @@
};
&uart0 {
device_type = "serial";
@@ -82,9 +105,9 @@
@@ -63,9 +77,9 @@
};
&usb0 {
dr_mode = "host";
Expand All @@ -70,3 +46,29 @@
};
&clkc {
fclk-enable = <0x1>;
diff -rupN devicetree.old/system-top.dts devicetree/system-top.dts
--- devicetree.old/system-top.dts
+++ devicetree/system-top.dts
@@ -14,6 +14,13 @@
bootargs = "console=ttyPS0,115200 root=/dev/mmcblk0p2 ro rootfstype=ext4 earlyprintk rootwait earlycon";
stdout-path = "serial0:115200n8";
};
+ usb_phy0: phy0 {
+ #phy-cells = <0>;
+ compatible = "ulpi-phy";
+ reg = <0xe0002000 0x1000>;
+ view-port = <0x0170>;
+ drv-vbus;
+ };
aliases {
ethernet0 = &gem0;
serial0 = &uart0;
@@ -24,7 +31,7 @@
};
memory {
device_type = "memory";
- reg = <0x0 0x20000000>;
+ reg = <0x0 0x1E000000>;
};
cpus {
};
38 changes: 21 additions & 17 deletions boards/zedboard/patches/devicetree.patch
@@ -1,20 +1,7 @@
--- system.dts.old
+++ system.dts
@@ -21,11 +21,11 @@
ethernet0 = &gem0;
serial0 = &uart1;
spi0 = &qspi;
- spi1 = &spi0;
+ spi2 = &spi0;
};
memory {
device_type = "memory";
- reg = <0x0 0x20000000>;
+ reg = <0x0 0x1E000000>;
};
};
&gem0 {
@@ -58,6 +58,11 @@
diff -rupN devicetree.old/pcw.dtsi devicetree/pcw.dtsi
--- devicetree.old/pcw.dtsi
+++ devicetree/pcw.dtsi
@@ -45,6 +45,11 @@
is-decoded-cs = <0>;
num-cs = <3>;
status = "okay";
Expand All @@ -26,3 +13,20 @@
};
&uart1 {
device_type = "serial";
diff -rupN devicetree.old/system-top.dts devicetree/system-top.dts
--- devicetree.old/system-top.dts
+++ devicetree/system-top.dts
@@ -18,11 +18,11 @@
ethernet0 = &gem0;
serial0 = &uart1;
spi0 = &qspi;
- spi1 = &spi0;
+ spi2 = &spi0;
};
memory {
device_type = "memory";
- reg = <0x0 0x20000000>;
+ reg = <0x0 0x1E000000>;
};
cpus {
};
2 changes: 1 addition & 1 deletion build_examples.sh
Expand Up @@ -2,7 +2,7 @@
set -e

target=$1
mode=production
mode=development

make CONFIG=examples/led-blinker/config.yml MODE=$mode $target
make CONFIG=examples/oscillo/config.yml MODE=$mode $target
Expand Down
2 changes: 1 addition & 1 deletion examples/cluster/redp_adc_dac_ext_clk.tcl
Expand Up @@ -15,7 +15,7 @@ proc add_redp_adc_dac {module_name} {


# Mixed-mode clock manager
cell xilinx.com:ip:clk_wiz:5.3 mmcm {
cell xilinx.com:ip:clk_wiz:5.4 mmcm {
PRIMITIVE MMCM
PRIM_IN_FREQ.VALUE_SRC USER
PRIM_IN_FREQ 125.0
Expand Down
2 changes: 1 addition & 1 deletion examples/decimator/config.yml
Expand Up @@ -28,7 +28,7 @@ status_registers:
- adc[n_adc]

parameters:
fclk0: 200000000
fclk0: 166666667
adc_clk: 125000000
adc_width: 14
dac_width: 14
Expand Down
2 changes: 1 addition & 1 deletion examples/oscillo/config.yml
Expand Up @@ -8,7 +8,7 @@ board: boards/red-pitaya

parameters:
fclk0: 200000000
fclk1: 200000000
fclk1: 166666667
sampling_rate: 125000000
wfm_size: 8192
dac_width: 14
Expand Down
2 changes: 1 addition & 1 deletion examples/pulse-generator/config.yml
Expand Up @@ -35,7 +35,7 @@ status_registers:
- count

parameters:
fclk0: 200000000
fclk0: 166666667
bram_addr_width: 13
dac_width: 14
adc_width: 14
Expand Down
2 changes: 1 addition & 1 deletion examples/spectrum/config.yml
Expand Up @@ -62,7 +62,7 @@ status_registers:

parameters:
fclk0: 200000000
fclk1: 187500000
fclk1: 166666667
sampling_rate: 125000000
wfm_size: 4096
dac_width: 14
Expand Down
3 changes: 3 additions & 0 deletions examples/zedboard-picoblaze/config.yml
Expand Up @@ -36,3 +36,6 @@ xdc:
drivers:
- server/drivers/common.hpp
- ./picoblaze.hpp

web:
- web/index.html
21 changes: 3 additions & 18 deletions fpga/install_vivado.sh
@@ -1,7 +1,7 @@
#!/usr/bin/env bash

vivado_release=2016.4
vivado_version=${vivado_release}_1215_1
vivado_release=2017.1
vivado_version=${vivado_release}_0415_1

tar -xvzf Xilinx_Vivado_SDK_${vivado_version}.tar.gz

Expand All @@ -25,19 +25,4 @@ EOF_CAT

bash Xilinx_Vivado_SDK_${vivado_version}/xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --config install_config.txt
rm install_config.txt
rm -r Xilinx_Vivado_SDK_${vivado_version}

## System version of glibc ##

for folder in Vivado SDK
do
path=/opt/Xilinx/${folder}/${vivado_release}/lib/lnx64.o
mv $path/libstdc++.so.6 $path/libstdc++.so.6.orig
ln -s /usr/lib/x86_64-linux-gnu/libstdc++.so.6.0.21 $path/libstdc++.so.6
done

## awk issue ##

path=/opt/Xilinx/Vivado/${vivado_release}/lib/lnx64.o
mv $path/libmpfr.so.4 $path/libmpfr.so.4.orig
ln -s /usr/lib/x86_64-linux-gnu/libmpfr.so.4 $path/libmpfr.so.4
rm -r Xilinx_Vivado_SDK_${vivado_version}
2 changes: 1 addition & 1 deletion fpga/lib/redp_adc_dac.tcl
Expand Up @@ -12,7 +12,7 @@ proc add_redp_adc_dac {module_name} {
create_bd_pin -dir O pwm_clk

# Phase-locked Loop (PLL)
cell xilinx.com:ip:clk_wiz:5.3 pll {
cell xilinx.com:ip:clk_wiz:5.4 pll {
PRIMITIVE PLL
PRIM_IN_FREQ.VALUE_SRC USER
PRIM_IN_FREQ 125.0
Expand Down
10 changes: 5 additions & 5 deletions os/os.mk
Expand Up @@ -101,13 +101,13 @@ $(DTREE_PATH): $(DTREE_TAR)
@echo [$@] OK

.PHONY: devicetree
devicetree: $(TMP_OS_PATH)/devicetree/system.dts
devicetree: $(TMP_OS_PATH)/devicetree/system-top.dts

$(TMP_OS_PATH)/devicetree/system.dts: $(TMP_FPGA_PATH)/$(NAME).hwdef $(DTREE_PATH) $(PATCHES)/devicetree.patch
$(TMP_OS_PATH)/devicetree/system-top.dts: $(TMP_FPGA_PATH)/$(NAME).hwdef $(DTREE_PATH) $(PATCHES)/devicetree.patch
mkdir -p $(@D)
$(HSI) -source $(FPGA_PATH)/hsi/devicetree.tcl -tclargs $(NAME) $(PROC) $(DTREE_PATH) $(VIVADO_VERSION) \
$(TMP_OS_PATH)/hard $(TMP_OS_PATH)/devicetree $(TMP_FPGA_PATH)/$(NAME).hwdef
patch $@ $(PATCHES)/devicetree.patch
patch -d $(TMP_OS_PATH) -p -0 < $(PATCHES)/devicetree.patch
@echo [$@] OK

###############################################################################
Expand All @@ -133,9 +133,9 @@ $(TMP_OS_PATH)/uImage: $(LINUX_PATH)
cp $</arch/arm/boot/uImage $@
@echo [$@] OK

$(TMP_OS_PATH)/devicetree.dtb: $(TMP_OS_PATH)/uImage $(TMP_OS_PATH)/devicetree/system.dts
$(TMP_OS_PATH)/devicetree.dtb: $(TMP_OS_PATH)/uImage $(TMP_OS_PATH)/devicetree/system-top.dts
$(LINUX_PATH)/scripts/dtc/dtc -I dts -O dtb -o $@ \
-i $(TMP_OS_PATH)/devicetree $(TMP_OS_PATH)/devicetree/system.dts
-i $(TMP_OS_PATH)/devicetree $(TMP_OS_PATH)/devicetree/system-top.dts
@echo [$@] OK

###############################################################################
Expand Down
2 changes: 1 addition & 1 deletion python/koheron/version.py
@@ -1,2 +1,2 @@
version_info = (0, 14, 3)
version_info = (0, 15, 0)
__version__ = '.'.join(str(v) for v in version_info)

0 comments on commit a3596d5

Please sign in to comment.