Skip to content

CTSRD-CHERI/quartus-utils

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

9 Commits
 
 
 
 

Repository files navigation

quartus-utils

Useful scripts for Intel FPGA 'Quartus Prime'

timequest/*: detect if we failed timing from a Makefile. See example_fragment.mk for how to include.

Contact: Theo Markettos theo.markettos@cl.cam.ac.uk

Releases

No releases published

Packages

No packages published