Skip to content

BUAA-CI-LAB/Literatures-on-Homomorphic-Encryption

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

22 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Literatures on Homomorphic Encryption Acceleration

A reading list for Acceleration on Homomorphic Encryption, including but not limited to related research on software and hardware level. The list covers related papers, conferences, tools and other resources.

Literatures in this page are arranged from a classification perspective, including the following topics:

Click here to view these literatures in a reverse chronological order. You can also find Related Conferences, Homomorphic Encryption Learning Tools, Learning Materials on Homomorphic Encryption and Other Resources in General Resources.

Click here to view papers for Privacy Preserving Machine Learning(Neural Networks)(PPML/PPNN).


Algorithmic Acceleration for Homomorphic Encryption

  • [Journal of Cryptology 2024] [CKKS] BLEACH: cleaning errors in discrete computations over CKKS

    Drucker N, Moshkowich G, Pelleg T, et al. [Paper]

  • [Cryptology eprint 2024] [NTT] Exploring the Advantages and Challenges of Fermat NTT in FHE Acceleration

    Kim A, Mert A C, Mukherjee A, et al. [Paper]

  • [Cryptology eprint Archive 2024] [FHE] Application-Aware Approximate Homomorphic Encryption: Configuring FHE for Practical Use

    Alexandru A, Al Badawi A, Micciancio D, et al. [Paper]

  • [ArXiv 2023] [Key Switching] CiFlow: Dataflow Analysis and Optimization of Key Switching for Homomorphic Encryption

    Neda N, Ebel A, Reynwar B, et al. [Paper]

  • [USENIX 2023] [Bootstrapping, Compiler] DaCapo: Automatic Bootstrapping Management for Efficient Fully Homomorphic Encryption

    Cheon S, Lee Y, Kim D, et al. [Paper]

  • [HOST 2023] [Bootstrapping] FHE-Booster: Accelerating Fully Homomorphic Execution with Fine-tuned Bootstrapping Scheduling

    White T, Gouert C, Yang C, et al. [Paper]

  • [TIFS 2023] [CNN] Optimized Privacy-Preserving CNN Inference With Fully Homomorphic Encryption.

    DongWoo King et al. [Paper]

  • [ISPASS 2023] [TFHE] PyTFHE: An End-to-End Compilation and Execution Framework for Fully Homomorphic Encryption Applications.

    Jiaao Ma, Lisa Wu Wills et, al. [Paper]

  • [Arxiv 2023] [FHE] HyPHEN: A Hybrid Packing Method and Optimizations for Homomorphic Encryption-Based Neural Networks.

    Donghwan Kim, Jaiyoung Park and Jung Ho Ahn, et, al [Paper]

  • [ACL 2022] [Transformer, CKKS] THE-X: Privacy-Preserving Transformer Inference with Homomorphic Encryption

    Tianyu Chen et al. [Paper]

  • [NeurIPS 2022] [AHE] Iron: Private Inference on Transformers

    Meng Hao et al. [Paper]

  • [PAAP 2022] [Montgomery Modular] The Fast Paillier Decryption with Montgomery Modular Multiplication Based on OpenMP

    Decong Lin et al. [Paper]

  • [TCAD 2022] [BGV] Efficient FHE Radix-2 Arithmetic Operations Based on Redundant Encoding.

    Hou Z, Shaojun Wei, Leibo Liu, et al. [Paper]

  • [ICCAD 2022] [BFV, BGV, CKKS]Accelerating Fully Homomorphic Encryption by Bridging Modular and Bit-Level Arithmetic.

    Chielle E, Mazonka O, Maniatakos M [Paper]

  • [TCAS-I 2022] [Polynomial Multiplication] Faster NTRU on ARM Cortex-M4 With TMVP-Based Multiplication.

    Irem Keskinkurt Paksoy, Murat Cenk. [Paper]

  • [AsiaCrypt 2022] [Bootstrapping] High-Precision Bootstrapping for Approximate Homomorphic Encryption by Error Variance Minimization.

    Yongwoo L, Joon-Woo L, Young K, et al. [Paper]

  • [DATE 2021] [BFV] Real-time Private Membership Test using Homomorphic Encryption.

    Eduardo Chielle, Homer Gamil, Michail Maniatakos. [Paper]

  • [WAHC 2021] [FHE] Intel HEXL: Accelerating Homomorphic Encryption with Intel AVX512-IFMA52.

    Fabian B, Sejun K, Vinodh G, et al. [Paper]

  • [ISCAS 2021] [BGV] On Compare-and-Swap Optimization for Fully Homomorphic Encrypted Data.

    Chien-Chih Huang, Jyun-Neng Ji, Ming-Der Shieh. [Paper]

  • [TCAS-I 2021] [Scalar Multiplication] Radix-2w Arithmetic for Scalar Multiplication in Elliptic Curve Cryptography.

    Abdelkrim Kamel Oudjida, Ahmed Liacha. [Paper]

  • [AsiaCrypt 2021] [Bootstrapping, FHEW, TFHE] Bootstrapping in FHEW-like cryptosystems.

    Yongwoo L, Joon-Woo L, Young K, et al. [Paper]

  • [ICLR 2021] [TFHE] GATENET: BRIDGING THE GAP BETWEEN BINARIZED NEURAL NETWORK AND FHE EVALUATION

    Chen Fu,Hanxian Huang et al. [Paper]

  • [IJCAI 2021] [BFV, BGV] Learning DNN Model with Error – Exposing the Hidden Model of BAYHENN

    Harry W. H. Wong et al. [Paper]

  • [S&P 2021] [CKKS, FHEW, Bootstrapping] PEGASUS: bridging polynomial and non-polynomial evaluations in homomorphic encryption

    Wenjie Lu,Yiping Ma et al. [Paper]

  • [Cryptology ePrint Archive 2021] [TFHE, BNN] REDsec: Running encrypted discretized neural networks in seconds

    Folkerts L, Gouert C, Tsoutsos N G. [Paper]

  • [EUROCRYPT 2021] [Bootstrapping, RNS-CKKS, Polynomial approximation] High-Precision Bootstrapping of RNS-CKKS Homomorphic Encryption Using Optimal Minimax Polynomial Approximation and Inverse Sine Function

    Joon-Woo Lee [Paper]

  • [TDSC 2021] [Polynomial approximation] Minimax Approximation of Sign Function by Composite Polynomial for Homomorphic Comparison

    Eunsang Lee et al. [Paper]

  • [CT-RSA 2020] [Bootstrapping] Better Bootstrapping for Approximate Homomorphic Encryption

    Kyoohyung Han et al. [Paper]

  • [TCAD 2020] [FHE] Efficient Comparison and Addition for FHE With Weighted Computational Complexity Model.

    Neng Zhang, Shaojun Wei, Leibo Liu, et al. [Paper]

  • [TCAS-I 2020] [Modular Multiplication] Design and Implementation of a Low-Latency Modular Multiplication Algorithm.

    Erdinç Öztürk [Paper]

  • [AsiaCrypt 2020] [Bootstrapping] Bootstrapping for Approximate Homomorphic Encryption.

    Micciancio D, Polyakov Y. [Paper]

  • [IACR Cryptology ePrint Archive 2020] [CKKS] Efficient Bootstrapping for Approximate Homomorphic Encryption with Non-Sparse Keys.

    Bossuat J P, Mouchet C, Troncoso-Pastoriza J, et al. [Paper]

  • [HOST 2020] [TFHE] CPU and GPU Accelerated Fully Homomorphic Encryption.

    Toufifique M, Md M, Noman M [Paper]

  • [IEEE Access 2020] [FHE] PrivFT: Private and Fast Text Classification With Homomorphic Encryption.

    *Ahmad B, Louie H, Chan M, et al. [Paper]

  • [IEEE Access 2020] [FHE] Privacy-Preserving Machine Learning With Fully Homomorphic Encryption for Deep Neural Network.

    Joon-woo L, Hyungchul K, Jong-Seon N, et al. [Paper]

  • [NeurIPS 2019] [TFHE] SHE: A Fast and Accurate Privacy-Preserving Deep Neural Network Via Leveled TFHE and Logarithmic Data Representation

    Qian Lou, Lei Jiang [Paper]

  • [IJCAI 2019] [BFV, BGV] BAYHENN: Combining Bayesian Deep Learning and Homomorphic Encryption for Secure DNN Inference

    Peichen Xie,Guangyu Sun et al. [Paper]

  • [PLDI 2019] [Compiler, FHE] CHET: An Optimizing Compiler for Fully-Homomorphic Neural-Network Inferencing.

    Roshan D, Olli S, Todd M, et al. [Paper]

  • [TETCI 2019] [BFV, RNS] Implementation and Performance Evaluation of RNS Variants of the BFV Homomorphic Encryption Scheme.

    Ahmad B, Yuriy P, Kurt R, et al. [Paper]

  • [AAAI 2019] [FHE] Logistic Regression on Homomorphic Encrypted Data at Scale.

    Kyoohyung H, Seungwan H, Jung C. [Paper]

  • [Crypto 2018] [BGV] Faster Homomorphic Linear Transformations in HElib.

    Shai Halevi and Victor Shoup.[Paper]

  • [IEEE Access 2018] [Bootstrapping] Faster Bootstrapping With Multiple Addends.

    Zhou T, Yang X, Liu L, et al. [Paper]

  • [JMIR 2018] [FHE, Logistic Regression] Secure Logistic Regression Based on Homomorphic Encryption: Design and Evaluation.

    Miran K, Yongsoo S, Shuang Wang, et al. [Paper]

  • [SAC 2018] [BFV, RNS] A Full RNS Variant of Approximate Homomorphic Encryption.

    Jung C, Kyoohyung H, Yongsoo S, et al. [Paper]

  • [IACR Cryptology ePrint Archive 2018] [FHE] Homomorphic Encryption Standard.

    Martin A, Melissa C, Vinod V, et al [Paper]

  • [IACR Cryptology ePrint Archive 2018] [BFV, RNS] An improved RNS variant of the BFV homomorphic encryption scheme.

    S. Halevi, Y. Polyakov, and V. Shoup [Paper]

  • [EUPOCRYPT 2018] [Bootstrapping] Homomorphic Lower Digits Removal and Improved FHE Bootstrapping.

    Hao Chen, Kyoohyung Han [Paper]

  • [EUPOCRYPT 2017] [CKKS] Homomorphic Encryption for Arithmetic of Approximate Numbers.

    Jung C, Andrey K, Yongsoo S, et al. [Paper]

  • [SAC 2016] [FHE, Logistic Regression] A Full RNS Variant of FV like Somewhat Homomorphic Encryption Schemes.

    Jean-Claude B, Julien E, Vincent Z, et al. [Paper]

  • [AsiaCrypt 2016] [Bootstrapping] Faster fully homomorphic encryption: Bootstrapping in less than 0.1 seconds.

    Ilaria C , Nicolas G, Malika Izabachene, et al. [Paper]

  • [EUPOCRYPT 2015] [FHEW] FHEW: Bootstrapping Homomorphic Encryption in less than a second.

    Leo D, Daniele M, Centrum W, et al. [Paper]

  • [CHES 2015] [FHE NTT] Accelerating homomorphic evaluation on reconfigurable hardware.

    T. Poppelmann, M. Naehrig, A. Macias, et al. [Paper]

  • [CHES 2015] [FHE NTT] Accelerating LTV Based Homomorphic Encryption in Reconfigurable Hardware.

    Yarkın Doroz, Erdinc Ozturk, Berk Sunar, et al. [Paper]

  • [IACR Cryptology ePrint Archive 2015] [FHE] A Guide to Fully Homomorphic Encryption.

    Frederik A, Colin B, Martin S, et al. [Paper]

  • [DATE 2015] [CKKS] Efficient Software Implementation of Ring-LWE Encryption.

    Ruan de C, Sujoy R, Frederik V, et al. [Paper]

  • [COMM 2014] [FHE] Comparison-Based Computations Over Fully Homomorphic Encrypted Data.

    Togan M, Pleşca C [Paper]

  • [DCC 2014] [FHE SIMD] Fully Homomorphic SIMD Operations.

    N.P. Smart and F. Vercauteren [Paper]

  • [Crypto 2014] [BGV] Algorithms in HElib.

    S. Halevi and V. Shoup [Paper]

  • [Crypto 2013] [Bootstrapping] Practical Bootstrapping in Quasilinear Time.

    Jacob Alperin-Sheriff, Chris Peikert [Paper]

  • [Commun.ACM 2010] [FHE] Computing Arbitrary Functions of Encrypted Data.

    Craig Gentry[Paper]

System Designs for Homomorphic Encryption

  • [ArXiv 2024] [FHE] Taiyi: A high-performance CKKS accelerator for Practical Fully Homomorphic Encryption

    Fan S, Deng X, Tian Z, et al. [Paper]

  • [Cryptology ePrint Archive 2024] [AHE] Application-Aware Approximate Homomorphic Encryption: Configuring FHE for Practical Use

    Alexandru A, Al Badawi A, Micciancio D, et al. [Paper]

  • [ArXiv 2023] [FHE] TREBUCHET: Fully Homomorphic Encryption Accelerator for Deep Computation

    Cousins D B, Polyakov Y, Badawi A A [Paper]

  • [TDSC 2023] [HE] HEaaN-STAT: a privacy-preserving statistical analysis toolkit for large-scale numerical, ordinal, and categorical data

    Lee Y, Seo J, Name Y, et al. [Paper]

  • [PLDI 2023] [Compiler] HEaaN.MLIR: An Optimizing Compiler for Fast Ring-Based Homomorphic Encryption

    Park S, Song W, Nam S, et al. HEaaN. [Paper]

  • [Cryptology ePrint Archive 2023] [Compiler] HEIR: A Unified Representation for Cross-Scheme Compilation of Fully Homomorphic Computation

    Bian S, Zhao Z, Zhang Z, et al. [Paper]

  • [ArXiv 2023] [FHE] ArctyrEX: Accelerated Encrypted Execution of General-Purpose Applications

    Gouert C, Joseph V, Dalton S, et al. [Paper]

  • [ArXiv 2023] [TFHE, lookup-table] TT-TFHE: a Torus Fully Homomorphic Encryption-Friendly Neural Network Architecture

    Adrien Benamira et al. [Paper]

  • [DAC 2023] [NTT] BP-NTT: Fast and Compact in-SRAM Number Theoretic Transform with Bit-Parallel Modular Multiplication

    Jinyao Zhang et al. [Paper]

  • [DAC 2023] [NTT] NTT-PIM: Row-Centric Architecture and Mapping for Efficient Number-Theoretic Transform on PIM

    Jaewoo Park et al. [Paper]

  • [DAC 2023] [CKKS] CHAM: A Customized Homomorphic Encryption Accelerator for Fast Matrix-Vector Product

    Xuanle Ren et al. [Paper]

  • [DAC 2023] [RNS, NTT, SIMD] Towards A Formally Verified Fully Homomorphic Encryption Compute Engine

    Jeremy Casas et al. [Paper]

  • [DAC 2023] [AHE, Transformer] Primer: Fast Private Transformer Inference on Encrypted Data

    Mengxin Zheng, Qian Lou, Lei Jiang [Paper]

  • [NeurIPS 2022] [GCN, CKKS] CryptoGCN: Fast and Scalable Homomorphically Encrypted Graph Convolutional Network Inference

    Ran Ran, Nuo Xu et al. [Paper]

  • [TCSII 2022] [NTT] PipeNTT: A Pipelined Number Theoretic Transform Architecture

    Zewen Ye et al. [Paper]

  • [TVLSI 2022] [NTT-PIM] MeNTT: A Compact and Efficient Processing-in-Memory Number Theoretic Transform (NTT) Accelerator

    Dai Li et al. [Paper]

  • [JXCDC 2022] [NTT-CIM] RM-NTT: An RRAM-Based Compute-in-Memory Number Theoretic Transform Accelerator

    Yongmo Park et al. [Paper]

  • [ACNS 2022] [CKKS, BGV] A methodology for training homomorphic encryption friendly neural networks

    Moran Baruch et al. [Paper]

  • [ISCA 2022] [CKKS] Graphite: optimizing graph neural networks on CPUs through cooperative software-hardware techniques.

    Gong Z, Ji H, Yao Y, et al. [Paper]

  • [ISSCC 2022] [CKKS] A 28nm 48KOPS 3.4J Op Agile Crypto-Processor for Post Quantum Cryptograph on Multi Mathematical Problems.

    Zhu Y, Wei S, Liu L et al. [Paper]

  • [DATE 2022] [CKKS] coxHE: A software-hardware co-design framework for FPGA acceleration of homomorphic computation.

    Han M, Zhu Y, Lei Ju, et al. [Paper]

  • [ESSCIRC 2022] [PQC] Configurable Energy-Efficient Lattice-Based PostQuantum Cryptography Processor for IoT Devices.

    Kim B, Park J, Sim J, et al [Paper]

  • [DAC 2021] [Polynomial Multiplication] Efficient Implementation of Finite Field Arithmetic for Binary Ring-LWE Post Quantum Cryptography Through a Novel Lookup-Table-Like Method.

    Jiafeng Xie,Pengzhou He, Wujie Wen. [Paper]

  • [DAC 2021] [FHE-PIM] (Invited)Accelerating Fully Homomorphic Encryption with Processing in Memory.

    Saransh Gupta, Tajana Simunic Rosing [Paper]

  • [CHES 2021] [Bootstrapping] Over 100x Faster Bootstrapping in Fully Homomorphic Encryption through Memory-centric Optimization with GPUs.

    Wonkyung J, Sangpyo K, Jung A, et al. [Paper]

  • [SPSL 2021] [TFHE] An FPGA-based Programmable Vector Engine for Fast Fully Homomorphic Encryption over the Torus.

    Y. Serhan Gener, Parker Newton, Daniel Tan, et al. [Paper]

  • [IISWC 2020] [NTT, Bootstrapping] Accelerating Number Theoretic Transformations for Bootstrappable Homomorphic Encryption on GPUs.

    Sangpyo K, Wonkyung J, Jaiyoung P, et al. [Paper]

  • [ISCAS 2020] [AES] Accelerating Post-Quantum Cryptography using an Energy-Efficient TLS Crypto-Processor.

    Utsav Banerjee, Siddharth Das, Anantha P. Chandrakasan [Paper]

  • [ISCAS 2020] [BGV] VLSI Architecture of Polynomial Multiplication for BGV Fully Homomorphic Encryption.

    Hsu H and Shieh M. [Paper]

  • [DAC 2020] [NTT, RLWE] CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware

    Hamid Nejatollahi et al. [Paper]

  • [ICAR 2019] [NTT, Lattice] Sapphire: A Configurable Crypto-Processor for Post-Quantum Lattice-based Protocols (Extended Version)

    Utsav Banerjee et al. [Paper]

  • [CICC 2019] [NTT] A 55nm 50nJ_encode 13nJ_decode Homomorphic Encryption Crypto-Engine for IoT Nodes to Enable Secure Computation on Encrypted Data. 1-4.

    Yoon I, Cao N, Amaravati A, et al [Paper]

  • [Reconfig 2019] [FHE, Modular Multiplier] FPGA-based Accelerators of Fully Pipelined Modular Multipliers for Homomorphic Encryption.

    Sunwoong K, Keewoo L, Wonhee C, et al. [Paper]

  • [CHES 2018] [BFV] High-Performance FV Somewhat Homomorphic Encryption on GPUs: An Implementation using CUDA.

    Ahmad B, Bharadwaj V, Chan M, et al. [Paper]

  • [T-C 2018] [BFV] HEPCloud: An FPGA-based multicore processor for FV somewhat homomorphic function evaluation.

    Sujoy R, Kimmo J, Ingrid V, et al. [Paper]

  • [T-C 2018] [BFV] Hardware/Software co-Design of an Accelerator for FV Homomorphic Encryption Scheme using Karatsuba Algorithm.

    Migliore V, Real M M, Lapotre V, et al. [Paper]

  • [TETCI 2016] [FHE] Designing an FPGA-accelerated homomorphic encryption co-processor.

    Cousins D B, Rohloff K, Sumorok D. [Paper]

  • [T-C 2016] [FHE] A Custom Accelerator for Homomorphic Encryption Applications

    Erdinc Ozturk, Yarkın Doroz, Erkay Savas.[Paper]

  • [CHES 2015] [FHE NTT] Accelerating homomorphic evaluation on reconfigurable hardware. *T. Poppelmann.

    M. Naehrig, A. Macias, et al.* [Paper]

  • [T-C 2015] [FHE] Accelerating fully homomorphic encryption in hardware.

    Yarkın Doroz, Erdinc Ozturk, and Berk Sunar. [Paper]

  • [T-C 2015] [FHE] Optimised Multiplication Architectures for Accelerating Fully Homomorphic Encryption.

    Cao X, Moore C, O'Neill M, et al. [Paper]

  • [CHES 2014] [NTT RLWE] Compact Ring-LWE Cryptoprocessor.

    Sujoy R, Frederik V, Nele M, et al.[Paper]

  • [DSD 2013] [NTT] Evaluating the Hardware Performance of a Million-Bit Multiplier.

    Y. Doroz, E. Ozturk, and B. Sunar [Paper]

  • [ISCAS 2013] [FHE] FPGA implementation of a large-number multiplier for fully homomorphic encryption.

    Wei Wang and Xinming Huang. [Paper]

Hardware Acceleration for Homomorphic Encryption

  • [TDSC 2024] [GPU] Phantom: A CUDA-Accelerated Word-Wise Homomorphic Encryption Library

    Yang H, Shen S, Dai W, et al. [Paper]

  • [Cryptology ePrint Archive 2024] [NTT, BGV] Hardware Acceleration of the Prime-Factor and Rader NTT for BGV Fully Homomorphic Encryption

    Du Pont D, Bertels J, Turan F, et al. [Paper]

  • [Cryptology ePrint Archive 2023] [CKKS,FPGA] Aloha-HE: A Low-Area Hardware Accelerator for Client-Side Operations in Homomorphic Encryption

    Krieger F, Hirner F, Mert A C, et al. [Paper]

  • [ArXiv 2023] [PIM, FHE] FHEmem: A Processing In-Memory Accelerator for Fully Homomorphic Encryption

    Zhou M, Nam Y, Gangwar P, et al. [Paper]

  • [ArXiv 2023] [Chiplet, FHE] CiFHER: A Chiplet-Based FHE Accelerator with a Resizable Structure

    Kim S, Kim J, Choi J. [Paper]

  • [ArXiv 2023] [HE,Garbled Circuit] Privacy Preserving In-memory Computing Engine

    Haoran Geng et al. [Paper]

  • [Cryptology ePrint Archive 2023] [NTT, RNS, FHE] REED: Chiplet-Based Scalable Hardware Accelerator for Fully Homomorphic Encryption

    Aikata Aikata et al. [Paper]

  • [ISCA 2023] [CKKS] SHARP: A Short-Word Hierarchical Accelerator for Robust and Practical Fully Homomorphic Encryption

    Jongmin Kim et al. [Paper]

  • [ISQED 2023] [CKKS,KS,NTT,RNS] PriML: An Electro-Optical Accelerator for Private Machine Learning on Encrypted Data

    Mengxin Zheng,FanChSen et al. [Paper]

  • [HPCA 2023] [CKKS] FxHENN: FPGA-based acceleration framework for homomorphic encrypted CNN inference

    Yilan Zhu Lei Ju et al. [Paper]

  • [HPCA 2023] [CKKS] FAB: An FPGA-based Accelerator for Bootstrappable Fully Homomorphic Encryption

    Rashmi Agrawal et al. [Paper]

  • [HPCA 2023] [CKKS] TensorFHE: Achieving Practical Computation on Encrypted Data using GPGPU.

    Shengyu Fan,Mingzhe Zhang et al. [Paper]

  • [HPCA 2023] [CKKS] Poseidon: Practical Homomorphic Encryption Accelerator.

    Yinghao Yang Luhang et al. [Paper]

  • [DDECS 2023] [FHEW] Hardware Acceleration of FHEW.

    Jonas Bertels, Ingrid Verbauwhede et al. [Paper]

  • [TPDS 2023] [FHE] HE-Booster: An Efficient Polynomial Arithmetic Acceleration on GPUs for Fully Homomorphic Encryption

    Zhiwei Wang,Dan Meng et al. [Paper]

  • [ISCA 2022] [CKKS] BTS: An Accelerator for Bootstrappable Fully Homomorphic Encryption.

    Kim S, Kim J, Ahn Jung, et al. [Paper]

  • [ISCA 2022] [FHE] CraterLake: A Hardware Accelerator for Efficient Unbounded Computation on Encrypted Data.

    Samardzic N, Feldmann A, Krastev A, et al. [Paper]

  • [MICRO 2022] [CKKS] ARK: Fully Homomorphic Encryption Accelerator with Runtime Data Generation and Inter-Operation Key Reuse.

    Kim J, Lee G, Ahn J, et al. [Paper]

  • [ASPLOS 2022] [CKKS, BFV] CHOCO: Client-Optimized Algorithms and Acceleration for Encrypted Compute Offloading

    McKenzie van der Hagen, Brandon Lucia. [Paper]

  • [DAC 2022] [TFHE] MATCHA: A Fast and Energy-Efficient Accelerator for Fully Homomorphic Encryption over the Torus.

    Lei Jiang, Qian Lou, Nrushad Joshi [Paper]

  • [HPEC 2022] [TFHE] FPGA Acceleration of Fully Homomorphic Encryption over the Torus.

    Ye, Tian, Rajgopal Kannan, and Viktor K. Prasanna [Paper]

  • [ICCAD 2022] [TFHE] Accelerating N-bit Operations over TFHE on Commodity CPU-FPGA.

    Nam K, Moon H, Paek Y, et al. [Paper]

  • [ICCAD 2022] [Polynomial Multiplication] Fast and Compact Interleaved Modular Multiplication based on Carry Save Addition.

    Mazonka O, Chielle E, Maniatakos M, et al [Paper]

  • [ICCAD 2022] [In-SRAM hash] Inhale: Enabling High-Performance and Energy-Efficient In-SRAM Cryptographic Hash for IoT.

    Jingyao Zhang, Elaheh Sadredini. [Paper]

  • [IPDPS 2022] [CKKS] Accelerating encrypted computing on intel gpus.

    Zhai Y, Ibrahim M, Qiu Y, et al. [Paper]

  • [TVLSI 2022] [BFV, CKKS] A Highly Unified Reconfigurable Multicore Architecture to Speed Up NTT/INTT for Homomorphic Polynomial Multiplication.

    Su Y, Yang B, Liu Y, et al. [Paper]

  • [TVLSI 2022] [BFV] Efficient Homomorphic Convolution Designs on FPGA for Secure Inference.

    Xiao Hu, Minghao Li, Zhongfeng Wang, et al. [Paper]

  • [TVLSI 2022] [AES] VLSI Design of Advanced-Features AES Cryptoprocessor in the Framework of the European Processor Initiative.

    Nannipieri P, Matteo S, Fanucci L, et al. [Paper]

  • [TCAS-I 2022] [NTT] NTT Architecture for a Linux-Ready RISC-V Fully-Homomorphic Encryption Accelerator.

    Rogério Paludo, Leonel Sousa. [Paper]

  • [TCAS-I 2022] [BFV] ReMCA: A Reconfigurable Multi-Core Architecture for Full RNS Variant of BFV Homomorphic Evaluation.

    Yang Su, Yang B, Song-Yin Zhao, et al. [Paper]

  • [ArXiv 2022] [FHE, Bootstrapping] FAB: An FPGA-based Accelerator for Bootstrappable Fully Homomorphic Encryption.

    Rashmi A, Leo de, Ajay J, et al.[Paper]

  • [Cryptology ePrint Archive 2022] [BGV] BASALISC: Programmable Asynchronous Hardware Accelerator for BGV Fully Homomorphic Encryption.

    Geelen R, Van Beirendonck M, Pereira H V L, et al. [Paper]

  • [Cryptology ePrint Archive 2022] [FHE RNS] Cuda-accelerated rns multiplication in word-wise homomorphic encryption schemes.

    Shen S, Yang H, Liu Y, et al. [Paper]

  • [FPL 2021] [BFV] Performance Modeling and FPGA Acceleration of Homomorphic Encrypted Convolution

    Tian Ye et al. [Paper]

  • [ISC 2021] [NTT] FPGA Acceleration of Number Theoretic Transform

    Tian Ye, Yang Yang et al. [Paper]

  • [HPCA 2021] [BFV] Cheetah: Optimizing and Accelerating Homomorphic Encryption for Private Inference.

    Reagen B, Choi W, Brooks D, et al. [Paper]

  • [MICRO 2021] [CKKS] F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption (Extended Version)

    Feldmann A, Samardzic N, Sanchez D, et al. [Paper]

  • [CICC 2021] [Pairing] A Low-Power Elliptic Curve Pairing Crypto-Processor for Secure Embedded Blockchain and Functional Encryption.

    Utsav Banerjee, Anantha P. Chandrakasan [Paper]

  • [TCAD 2021] [PQC] Model-Architecture Co-Design for High Performance Temporal GNN Inference on FPGA.

    Canto A, Kermani M, Azarderakhsh R, et al. [Paper]

  • [ISCAS 2021] [NTT, RNS] A Multi-Layer Parallel Hardware Architecture for Homomorphic Computation in Machine Learning.

    Guozhu Xin, Yifan Zhao, Jun Han [Paper]

  • [ISCAS 2021] [Polynomial Multiplication] High-throughput Polynomial Multiplier Architecture for Lattice-based Cryptography.

    Taishin Shimada, Makoto Ikeda [Paper]

  • [ISCAS 2021] [BN curve] BN-254 based Multi-Core, Multi-Pairing Crypto-Processor for Functional Encryption.

    Ryohei Nakayama, Makoto Ikeda [Paper]

  • [Computer Science Machine Learning 2021] [Paillier] FPGA-Based Hardware Accelerator for Leveled Ring-LWE Fully Homorphic Encryption.

    Cheng X, Lu W, Chen S, et al. [Paper]

  • [ASPLOS 2020] [CKKS] HEAX: An Architecture for Computing on Encrypted Data.

    M. Sadegh Riazi, Blake Pelton, Wei Dai, et al. [Paper]

  • [DAC 2020] [NTT] CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware.

    Nejatollahi H, Gupta S, Dut N, et al. [Paper]

  • [DAC 2020] [TFHE] ROMEO: Conversion and Evaluation of HDL Designs in the Encrypted Domain.

    Charles Gouert, Nektarios Georgios Tsoutsos. [Paper]

  • [DATE 2020] [CKKS] A Flexible and Scalable NTT Hardware:Applications from Homomorphically Encrypted Deep Learning to Post-Quantum Cryptography.

    Mert A, Karabulut E, Aysu A, et al [Paper]

  • [T-C 2020] [FHE] HEAWS: An Accelerator for Homomorphic Encryption on the Amazon AWS FPGA.

    Furkan Turan, Sujoy Sinha Roy, Ingrid Verbauwhede [Paper]

  • [TVLSI 2020] [BFV] Design and Implementation of Encryption/Decryption Architectures for BFV Homomorphic Encryption Scheme.

    Ahmet Can Mert , ErdinÖztürk, Erkay Savas [Paper]

  • [TVLSI 2020] [BFV] Computing-in-Memory for Performance and Energy-Efficient Homomorphic Encryption.

    Reis D, Takeshita J, Xiaobo Sharon Hu. [Paper]

  • [FCCM 2020] [NTT, Bootstrapping] Hardware Architecture of a Number Theoretic Transform for a Bootstrappable RNS-based Homomorphic Encryption Scheme.

    Sunwoong K, Keewoo L, Wonhee C, et al. [Paper]

  • [TCAS-I 2020] [Modular Multiplication] Lattice-Based Cryptoprocessor for CCA-Secure Identity-Based Encryption.

    Claudia P. Rentería-Mejía, Jaime Velasco-Medina [Paper]

  • [IEEE Access 2020] [BGV] FPGA-Based Hardware Accelerator for Leveled Ring-LWE Fully Homorphic Encryption.

    Yang Su, Bailong Yang, Luogeng Tian, et al. [Paper]

  • [Computing Science Cryptography and Security 2020] [Paillier] FPGA-Based Hardware Accelerator of Homomorphic Encryption for Efficient Federated Learning.

    Zhaoxiong Yang, Shuihai Hu, Kai Chen. [Paper]

  • [HPCA 2019] [BFV] FPGA-Based High-Performance Parallel Architecture for Homomorphic Computing on Encrypted Data.

    Sujoy S, Furkan, T, Kimmo J, et al. [Paper]

  • [DSD 2019] [NTT] Design and Implementation of a Fast and Scalable NTT-Based Polynomial Multiplier Architecture.

    Ahmet Can Mert, Erdinc Ozturk, Erkay Savas. [Paper]

  • [TETCI 2017] [FHE, CRT] Designing an FPGA-Accelerated Homomorphic Encryption Co-Processor.,

    David C, Kurt Rf, Daniel S. [Paper]

  • [T-C 2015] [FHE] Accelerating Fully Homomorphic Encryption in Hardware.

    Yarkın Doroz, Erdinc Ozturk, Berk Sunar [Paper]

  • [ASAP 2015] [Bootstrapping] Accelerating Bootstrapping in FHEW using GPUs.

    Moon L, Yongje L, Jung H, et al. [Paper]

  • [HEPC 2014] [FHE] An FPGA co-processor implementation of Homomorphic Encryption.

    David B, John G, Kurt R, et al. [Paper]


Surveys and Performance Analysis on Homomorphic Encryption

  • [MICRO 2023] [Survey] Fully Homomorphic Encryption for Computer Architects: A Fundamental Characterization Study

    Pal S, Swaminathan K, Aharoni E, et al. [Paper]

  • [S&P 2023] [Survey] SoK: Cryptographic Neural-Network Computation

    *Lucien K. L. Ng,Sherman S. M. Chow et al. * [Paper]

  • [ArXiv 2023] [Survey] Data Privacy with Homomorphic Encryption in Neural Networks Training and Inference

    Ivone Amorim et al. [Paper]

  • [PPNA 2023] [Survey] Privacy-preserving neural networks with Homomorphic encryption: Challenges and opportunities

    Bernardo Pulido-Gaytan et al. [Paper]

  • [IEEE proceedings 2022] [Survey] Survey on Fully Homomorphic Encryption, Theory, and Applications.

    Chiara M, Victor S, Marc M, et al. [Paper]

  • [CSUR 2022] [Survey] A Survey on Homomorphic Encryption Schemes_Theory and Implementation.

    Abbas Acar, Hidayet Aksu, A. Selcuk Uluagac. [Paper]

  • [CSUR 2022] [Survey] Fully Homomorphic Encryption Using Ideal Lattices.

    Abbas Acar, Hidayet Aksu, A. Selcuk Uluagac. [Paper]

  • [Journal of Cryptology 2020] [the TFHE scheme] Fast Fully Homomorphic Encryption over the Torus.

    Chillotti, Ilaria, et al. [Paper]

  • [IACR Cryptology ePrint Archive 2018] [FHE] Homomorphic Encryption Standard.

    Martin A, Melissa C, Vinod V, et al [Paper]

  • [EUPOCRYPT 2017] [the CKKS scheme] Homomorphic Encryption for Arithmetic of Approximate Numbers.

    Jung C, Andrey K, Yongsoo S, et al. [Paper]

  • [IACR Cryptology ePrint Archive 2015] [Book] A Guide to Fully Homomorphic Encryption.

    Frederik A, Colin B, Martin S, et al. [Paper]

  • [EUPOCRYPT 2015] [the FHEW scheme] FHEW: Bootstrapping Homomorphic Encryption in less than a second.

    Leo D, Daniele M, Centrum W, et al. [Paper]

  • [TOCT 2014] [the BGV scheme] (Leveled) Fully Homomorphic Encryption without Bootstrapping.

    Brakerski Z, Gentry C, Vaikuntanathan V. [Paper]

  • [Crypto 2013] [the GSW scheme] Homomorphic Encryption from Learning with Errors: Conceptually-Simpler, Asymptotically-Faster, Attribute-Based.

    Craig Gentry, Amit Sahai, Brent Waters [Paper]

  • [EUROCRYPT 2010] [the DGHV scheme] Fully Homomorphic Encryption over the Integers.

    van Dijk M, Gentry C, Halevi S, et al. [Paper]

  • [EUROCRYPT 2010] [the BFV scheme] Fully Homomorphic Encryption without Modulus Switching from Classical GapSVP.

    Zvika Brakerski [Paper]

  • [STOC 2009] [the Lattice-FHE scheme] Fully homomorphic encryption using ideal lattices.

    Craig Gentry [Paper]


Maintainers

  • Shangtong Zhang, Beihang University. [GitHub]
  • Jiangtao Mu, Beihang University. [Github]