Skip to content
This repository has been archived by the owner on May 15, 2023. It is now read-only.

Projects completed in Verilog while in attendance at CSUF

Notifications You must be signed in to change notification settings

jge162/Verilog_VHDL_Projects

Repository files navigation

Verilog_Projects

Projects completed in Verilog while in attendance at CSUF

Total Files File Size Stars GitHub closed pull requests

Ripple_Carry_Adder

Design a ripple-carry adder (RCA) using full adders as components Screen Shot 2023-01-23 at 5 38 58 PM Screen Shot 2023-01-23 at 5 40 28 PM

Fibonacci Sequence

This lab will be covering a direct mapped cache, processor and main memory. The program that I opted to use to compile this lab was Modelsim Quartus from Intel because Vivado failed to simulate on Vivado.

Screenshot 2023-01-24 at 12 07 33 AM

About

Projects completed in Verilog while in attendance at CSUF

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published