Skip to content

Commit

Permalink
Merge pull request #39 from siliconcompiler/update-asap7
Browse files Browse the repository at this point in the history
update asap7 to rev28
  • Loading branch information
gadfort committed Apr 22, 2024
2 parents df0260e + daef438 commit 56ee6ec
Show file tree
Hide file tree
Showing 489 changed files with 495,195 additions and 929,821 deletions.
2 changes: 2 additions & 0 deletions lambdapdk/asap7/README.md
@@ -1,3 +1,5 @@
This is a refactored copy of the ASAP library that is compatible with the Silicon Compiler Collection and industry practices. The golden version which might be updated fron time to time can be found at:

https://github.com/The-OpenROAD-Project/asap7

https://github.com/The-OpenROAD-Project/asap7sc7p5t_28
29 changes: 14 additions & 15 deletions lambdapdk/asap7/libs/asap7sc7p5t.py
Expand Up @@ -10,10 +10,10 @@ def _setup_lib(chip, libname, suffix):
process = 'asap7'
stackup = '10M'
libtype = '7p5t'
rev = 'r1p7'
corners = {'typical': 'tt',
'fast': 'ff',
'slow': 'ss'}
rev = '28'
corners = {'typical': 'TT',
'fast': 'FF',
'slow': 'SS'}

libdir = os.path.join('lambdapdk', process, 'libs', libname)

Expand All @@ -25,19 +25,20 @@ def _setup_lib(chip, libname, suffix):

# timing
for corner_name, lib_corner in corners.items():
lib.add('output', corner_name, 'nldm',
libdir + '/nldm/' + libname + '_' + lib_corner + '.lib.gz')
for lib_type in ('AO', 'INVBUF', 'OA', 'SEQ', 'SIMPLE'):
lib.add('output', corner_name, 'nldm',
libdir + f'/nldm/asap7sc7p5t_{lib_type}_{suffix}VT_{lib_corner}_nldm.lib.gz')
# spice
lib.add('output', corner_name, 'spice', libdir + '/netlist/' + libname + '.sp')
lib.add('output', corner_name, 'spice', libdir + f'/netlist/asap7sc7p5t_28_{suffix}.sp')

# lef
lib.add('output', stackup, 'lef', libdir + '/lef/' + libname + '.lef')
lib.add('output', stackup, 'lef', libdir + f'/lef/asap7sc7p5t_28_{suffix}.lef')

# gds
lib.add('output', stackup, 'gds', libdir + '/gds/' + libname + '.gds')
lib.add('output', stackup, 'gds', libdir + f'/gds/asap7sc7p5t_28_{suffix}.gds.gz')

# cdl
lib.add('output', stackup, 'cdl', libdir + '/netlist/' + libname + '.cdl')
lib.add('output', stackup, 'cdl', libdir + f'/netlist/asap7sc7p5t_28_{suffix}.cdl')

# lib arch
lib.set('asic', 'libarch', libtype)
Expand Down Expand Up @@ -67,12 +68,10 @@ def _setup_lib(chip, libname, suffix):
f"DECAPx10_ASAP7_75t_{suffix}"])

# Stupid small cells
lib.add('asic', 'cells', 'dontuse', ["[!ASYNC]*x1_ASAP7*",
"*x1p*_ASAP7*",
lib.add('asic', 'cells', 'dontuse', ["*x1p*_ASAP7*",
"*xp*_ASAP7*",
"SDF*",
"ICG*",
"DFFH*"])
"ICG*"])

# Tapcell
lib.add('asic', 'cells', 'tap', f"TAPCELL_ASAP7_75t_{suffix}")
Expand All @@ -84,7 +83,7 @@ def _setup_lib(chip, libname, suffix):
lib.add('option', 'file', 'yosys_techmap', libdir + '/techmap/yosys/cells_latch.v')
lib.add('option', 'file', 'yosys_addermap', libdir + '/techmap/yosys/cells_adders.v')
lib.set('option', 'file', 'yosys_dff_liberty',
libdir + '/nldm/' + libname + '_' + 'ss.lib.gz')
libdir + f'/nldm/asap7sc7p5t_SEQ_{suffix}VT_SS_nldm.lib.gz')

# Defaults for OpenROAD tool variables
lib.set('option', 'var', 'openroad_place_density', '0.60')
Expand Down
2 changes: 1 addition & 1 deletion lambdapdk/asap7/libs/asap7sc7p5t_lvt/LICENSE
@@ -1,6 +1,6 @@
BSD 3-Clause License

Copyright 2020 Lawrence T. Clark, Vinay Vashishtha, or Arizona State
Copyright 2022 Lawrence T. Clark, Vinay Vashishtha, or Arizona State
University

Redistribution and use in source and binary forms, with or without
Expand Down
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
80,765 changes: 0 additions & 80,765 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/datasheet/asap7sc7p5t_lvt_ff.txt

This file was deleted.

80,765 changes: 0 additions & 80,765 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/datasheet/asap7sc7p5t_lvt_ss.txt

This file was deleted.

80,765 changes: 0 additions & 80,765 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/datasheet/asap7sc7p5t_lvt_tt.txt

This file was deleted.

Binary file not shown.
Binary file not shown.
16 changes: 9 additions & 7 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_and2.v
Expand Up @@ -4,17 +4,19 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_and2 #(parameter PROP = "DEFAULT") (
// input a,
// input b,
// output z
// );
// module la_and2 #(
// parameter PROP = "DEFAULT"
// ) (
// input a,
// input b,
// output z
// );
//
// assign z = a & b;
// assign z = a & b;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_and2(a, b, z);
input a;
Expand Down
18 changes: 10 additions & 8 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_and3.v
Expand Up @@ -4,18 +4,20 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_and3 #(parameter PROP = "DEFAULT") (
// input a,
// input b,
// input c,
// output z
// );
// module la_and3 #(
// parameter PROP = "DEFAULT"
// ) (
// input a,
// input b,
// input c,
// output z
// );
//
// assign z = a & b & c;
// assign z = a & b & c;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_and3(a, b, c, z);
input a;
Expand Down
20 changes: 11 additions & 9 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_and4.v
Expand Up @@ -4,19 +4,21 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_and4 #(parameter PROP = "DEFAULT") (
// input a,
// input b,
// input c,
// input d,
// output z
// );
// module la_and4 #(
// parameter PROP = "DEFAULT"
// ) (
// input a,
// input b,
// input c,
// input d,
// output z
// );
//
// assign z = a & b & c & d;
// assign z = a & b & c & d;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_and4(a, b, c, d, z);
input a;
Expand Down
6 changes: 4 additions & 2 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_antenna.v
Expand Up @@ -4,9 +4,11 @@
//# License: MIT (see LICENSE file in Lambda repository) #
//#############################################################################

module la_antenna #(parameter PROP = "DEFAULT") (
module la_antenna #(
parameter PROP = "DEFAULT"
) (
input vss,
output z
);
);

endmodule
18 changes: 10 additions & 8 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao21.v
Expand Up @@ -4,18 +4,20 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao21 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input b0,
// output z
// );
// module la_ao21 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input b0,
// output z
// );
//
// assign z = (a0 & a1) | b0;
// assign z = (a0 & a1) | b0;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao21(a0, a1, b0, z);
input a0;
Expand Down
20 changes: 11 additions & 9 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao211.v
Expand Up @@ -4,19 +4,21 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao211 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input b0,
// input c0,
// output z
// );
// module la_ao211 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input b0,
// input c0,
// output z
// );
//
// assign z = (a0 & a1) | b0 | c0;
// assign z = (a0 & a1) | b0 | c0;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao211(a0, a1, b0, c0, z);
input a0;
Expand Down
20 changes: 11 additions & 9 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao22.v
Expand Up @@ -4,19 +4,21 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao22 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input b0,
// input b1,
// output z
// );
// module la_ao22 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input b0,
// input b1,
// output z
// );
//
// assign z = (a0 & a1) | (b0 & b1);
// assign z = (a0 & a1) | (b0 & b1);
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao22(a0, a1, b0, b1, z);
input a0;
Expand Down
22 changes: 12 additions & 10 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao221.v
Expand Up @@ -4,20 +4,22 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao221 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input b0,
// input b1,
// input c0,
// output z
// );
// module la_ao221 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input b0,
// input b1,
// input c0,
// output z
// );
//
// assign z = (a0 & a1) | (b0 & b1) | (c0);
// assign z = (a0 & a1) | (b0 & b1) | (c0);
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao221(a0, a1, b0, b1, c0, z);
input a0;
Expand Down
24 changes: 13 additions & 11 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao222.v
Expand Up @@ -4,21 +4,23 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao222 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input b0,
// input b1,
// input c0,
// input c1,
// output z
// );
// module la_ao222 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input b0,
// input b1,
// input c0,
// input c1,
// output z
// );
//
// assign z = (a0 & a1) | (b0 & b1) | (c0 & c1);
// assign z = (a0 & a1) | (b0 & b1) | (c0 & c1);
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao222(a0, a1, b0, b1, c0, c1, z);
input a0;
Expand Down
20 changes: 11 additions & 9 deletions lambdapdk/asap7/libs/asap7sc7p5t_lvt/lambda/la_ao31.v
Expand Up @@ -4,19 +4,21 @@
// //# License: MIT (see LICENSE file in Lambda repository) #
// //#############################################################################
//
// module la_ao31 #(parameter PROP = "DEFAULT") (
// input a0,
// input a1,
// input a2,
// input b0,
// output z
// );
// module la_ao31 #(
// parameter PROP = "DEFAULT"
// ) (
// input a0,
// input a1,
// input a2,
// input b0,
// output z
// );
//
// assign z = (a0 & a1 & a2) | b0;
// assign z = (a0 & a1 & a2) | b0;
//
// endmodule

/* Generated by Yosys 0.37 (git sha1 a5c7f69ed, clang 14.0.0-1ubuntu1.1 -fPIC -Os) */
/* Generated by Yosys 0.40 (git sha1 a1bb0255d, g++ 11.4.0-1ubuntu1~22.04 -fPIC -Os) */

module la_ao31(a0, a1, a2, b0, z);
input a0;
Expand Down

0 comments on commit 56ee6ec

Please sign in to comment.