Skip to content

poucotm/SublimeLinter-contrib-verilator

Repository files navigation

SublimeLinter-contrib-verilator

Package Control PayPal

This linter plugin for SublimeLinter provides an interface to Verilator. Verilator is a open source HDL simulator and can be used as a linter with --lint-only option. For more information, you can see here, https://www.veripool.org/wiki/verilator Verilator is fast and easy to use to link with Sublime Text Editor on variable OS before runnning commercial simulaton and synthesis tools.

Prerequisite

  • SublimeLinter 4 installation - Guide from here
  • Verilator installation - Guide from here
  • Verilator pre-compiled Windows version - Verilator 5.020 with 3 MinGW libraries.
  • Verilator PATH settings - SublimeLinter-contrib-verilator uses verilator_bin or verilator_bin.exe instead of verilator. You have to add PATH environment variable for verilator_bin or verilator_bin.exe

Lint based on multiple files

Two options are added to support linting based on multiple files. If you set full paths, the original version of verilator can be used.

  • "use_multiple_source": true
  • "search_project_path": true

an example of settings in a sublime-project file:

    "sources":
    [
        "D:\\project\\srcs",
        "D:\\project\\working"
    ]

Screenshot

Image

Settings

In order to set arguments of Verilator or control lint message, Use SublimeLinter's user settings like the following.

{
    "no_column_highlights_line": true,
    "linters":
    {
        "verilator": {
            "lint_mode": "load_save",
            "styles" : [
                {
                    "types": ["warning"],
                    "mark_style": "squiggly_underline",
                    "icon": "Packages/SublimeLinter/gutter-themes/Default/cog.png"
                },
                {
                    "types": ["error"],
                    "mark_style": "fill",
                    "icon": "Packages/SublimeLinter/gutter-themes/Default/cog.png"
                }
            ],
            "args": [
                "--error-limit",
                "500",
                "--default-language",
                "1800-2017",
                "-Wall",
                "-Wno-WIDTHTRUNC",
                "-Wno-WIDTHEXPAND",
                "-Wno-INITIALDLY",
                "-Wno-UNDRIVEN",
                "-Wno-UNOPTFLAT",
                "-Wno-UNUSEDPARAM",
                "-Wno-SIDEEFFECT",
                "-Wno-PINCONNECTEMPTY",
                "-Wno-BLKSEQ",
            ],

            "verilator_version"  : 5,
            "use_multiple_source": false,
            "search_project_path": false,

            // to lint based on multiple files (searching external sources - the same directory or project path)
            //   "use_multiple_source": true,
            //   "search_project_path": true,
            //  example) example.sublime-project
            //       "sources": [ "D:\\project\\srcs", "D:\\project\\working" ]

            // windows subsystem for linux (wsl verilator_bin)
            "use_wsl": false,

            // additional option to filter file type
            "extension": [
                ".v", ".sv"
            ],
        }
    }
}

Key Map

'F1' : SublimeLinter Show All Errors
'Shift+F1' : SublimeLinter Lint This View

Troubleshooting

Turn on SublimeLinter's Debug Mode and Open the console of Sublime Text. You can check the communication status from SublimeLinter to Verilator. You can also add your own filter_errors messages by using them.

SublimeLinter: verilator: shift_reg.v ['D:\\Program\\verilator-3.902\\verilator_bin.exe', '--lint-only', ...
SublimeLinter: verilator output:
%Warning-LITENDIAN: c:/users/shift_reg.v:14: Little bit endian vector: MSB < LSB of bit range: 0:7
%Warning-LITENDIAN: Use "/* verilator lint_off LITENDIAN */" and lint_on around source to disable this message.
%Error: Exiting due to 1 warning(s)

Donate

Doate Image
Thank you for donating. It is helpful to continue to improve the plug-in.

Credits

Thanks to SublimeLinter Team and Veripool Organization.

Issues

When you have an issue, tell me through https://github.com/poucotm/SublimeLinter-contrib-verilator/issues, or send me an e-mail poucotm@gmail.com

About

๐Ÿ‘Œ This linter plugin for SublimeLinter provides an interface to Verilator (Verilog Simulator)

Topics

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages