Skip to content

amiq-consulting/amiq_apb

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

11 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

*Company:     AMIQ Consulting S.R.L.

*Project:     amiq_apb

*Description:
              amiq_apb VIP is an universal verification component (UVC) modeling the AMBA APB protocol

*Running the example:

              You need to run the script ${AMIQ_APB_DIR}/demo.sh

              For more options of demo.sh you can run: ${AMIQ_APB_DIR}/demo.sh -help_demo

About

SystemVerilog VIP for AMBA APB protocol

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published