diff --git a/.gitignore b/.gitignore index 715ebea..3aa7477 100644 --- a/.gitignore +++ b/.gitignore @@ -30,3 +30,7 @@ fp-info-cache # Exported BOM files *.xml *.csv + + +# Ignore pdf datasheets +datasheets \ No newline at end of file diff --git a/assets/laser.svg b/assets/laser.svg new file mode 100644 index 0000000..7f81f3a --- /dev/null +++ b/assets/laser.svg @@ -0,0 +1,142 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/docs/datasheets.md b/docs/datasheets.md new file mode 100644 index 0000000..7b3d942 --- /dev/null +++ b/docs/datasheets.md @@ -0,0 +1,31 @@ +# Datasheet links + +Datasheets can be downloaded in bulk by running `download-datasheets.sh`. + +## ESP32 datasheets +- [ESP32-C3-WROOM-02](https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf) +- [ESP32-C3](https://www.espressif.com/sites/default/files/documentation/esp32-c3_datasheet_en.pdf) +- [ESP32-C3 technical reference manual](https://www.espressif.com/sites/default/files/documentation/esp32-c3_technical_reference_manual_en.pdf) + +## User interface +### LCD +- [DFRobot Gravity I2C LCD1602 Display module](https://raw.githubusercontent.com/Arduinolibrary/DFRobot_Gravity_I2C_LCD1602_Display_module/master/LCD%20Datasheet.pdf) + +### Pushbutton +- [PS1057ABLK](https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/967/PS1057ABLK.pdf) + +### Battery switch +- [EG1224](https://sten-eswitch-13110800-production.s3.amazonaws.com/system/asset/product_line/data_sheet/119/EG.pdf) + +## Phototransistor +- [ALS-PT204-6C/L177](https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/885/ALS-PT204-6C%2CL177.pdf) + +## Misc ICs +- [LIPO charger](https://ww1.microchip.com/downloads/en/DeviceDoc/MCP73831-Family-Data-Sheet-DS20001984H.pdf) +- [LDO regulator](https://www.mouser.com/datasheet/2/389/ld39150-1849393.pdf) +- [MAX40200 power distribution OR controller](https://www.analog.com/media/en/technical-documentation/data-sheets/max40200.pdf) + +## LIPOs +- [350 mAH (for laser)](https://cdn-shop.adafruit.com/product-files/4237/4237_ds_LP552530+350mAh+3.7V.pdf) +- [2000 mAH (for ESP32 boards)](https://cdn-shop.adafruit.com/datasheets/LiIon2000mAh37V.pdf) + diff --git a/docs/download-datasheets.sh b/docs/download-datasheets.sh new file mode 100755 index 0000000..4bd7345 --- /dev/null +++ b/docs/download-datasheets.sh @@ -0,0 +1,11 @@ +#!/usr/bin/env bash +# +# Download datasheets that are listed in datasheets.md +# Right now, only PDF URLs are supported. +# Datasheet PDFs are not tracked in git. + +readarray -t datasheet_urls < <(grep -E 'https://.*\.pdf' -o datasheets.md) + +for pdf in "${datasheet_urls[@]}"; do + wget -N --directory-prefix datasheets "${pdf}" +done \ No newline at end of file diff --git a/pcb/esp32-boards/README.md b/pcb/esp32-boards/README.md new file mode 100644 index 0000000..f0b5b08 --- /dev/null +++ b/pcb/esp32-boards/README.md @@ -0,0 +1,3 @@ +# ESP32 wireless photogate hardware + +[The KiCAD design files can be viewed online using KiCanvas.](https://kicanvas.org/?github=https%3A%2F%2Fgithub.com%2Ftvannoy%2Flaser-photogate%2Ftree%2Fhardware%2Fpcb%2Fesp32-boards) diff --git a/pcb/esp32-boards/esp32-boards.kicad_pcb b/pcb/esp32-boards/esp32-boards.kicad_pcb new file mode 100644 index 0000000..470603f --- /dev/null +++ b/pcb/esp32-boards/esp32-boards.kicad_pcb @@ -0,0 +1,26472 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "USLetter") + (title_block + (title "laser photogate ESP32 board") + (date "2024-03-26") + (rev "1") + (company "Trevor Vannoy") + ) + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (stackup + (layer "F.SilkS" + (type "Top Silk Screen") + ) + (layer "F.Paste" + (type "Top Solder Paste") + ) + (layer "F.Mask" + (type "Top Solder Mask") + (thickness 0.01) + ) + (layer "F.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 1" + (type "core") + (thickness 1.51) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "B.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "B.Mask" + (type "Bottom Solder Mask") + (thickness 0.01) + ) + (layer "B.Paste" + (type "Bottom Solder Paste") + ) + (layer "B.SilkS" + (type "Bottom Silk Screen") + ) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (grid_origin 179.5 137.25) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions yes) + (usegerberattributes yes) + (usegerberadvancedattributes no) + (creategerberjobfile no) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk yes) + (outputformat 1) + (mirror no) + (drillshape 0) + (scaleselection 1) + (outputdirectory "production/") + ) + ) + (net 0 "") + (net 1 "/EN") + (net 2 "GND") + (net 3 "+3V3") + (net 4 "/USB_D-") + (net 5 "/USB_D+") + (net 6 "VDD") + (net 7 "VBAT") + (net 8 "Net-(D1-A)") + (net 9 "Net-(D2-A)") + (net 10 "Net-(D3-A)") + (net 11 "Net-(D4-K)") + (net 12 "VBUS") + (net 13 "/IO9") + (net 14 "unconnected-(J2-ID-Pad4)") + (net 15 "/~{LASER_TRIPPED}") + (net 16 "/IO2") + (net 17 "/HEARTBEAT") + (net 18 "Net-(U3-STAT)") + (net 19 "Net-(U3-PROG)") + (net 20 "/IO8") + (net 21 "/SDA") + (net 22 "unconnected-(U1-GPIO20{slash}U0RXD-Pad11)") + (net 23 "unconnected-(U1-GPIO21{slash}U0TXD-Pad12)") + (net 24 "/SCL") + (net 25 "/START") + (net 26 "unconnected-(U1-GPIO3{slash}ADC1_CH3-Pad15)") + (net 27 "unconnected-(U1-GPIO4{slash}ADC1_CH4-Pad3)") + (net 28 "unconnected-(U1-GPIO10-Pad10)") + (net 29 "unconnected-(U2-NC-Pad4)") + (net 30 "/D+") + (net 31 "/D-") + (net 32 "Net-(C2-Pad1)") + (net 33 "Net-(SW4-B)") + (footprint "Package_TO_SOT_SMD:SOT-23-5" + (layer "F.Cu") + (uuid "04341c38-e1a3-4ed5-bf83-4cb8b198893a") + (at 206 128.25) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Reference" "U3" + (at 0 -2.4 0) + (layer "F.SilkS") + (uuid "9ba2ab0d-01f5-4bc5-939c-cf50a3fd54ce") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 0 2.4 0) + (layer "F.Fab") + (uuid "c7e28193-a7f3-47b6-9763-5c1a276bf65c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "51307130-4208-4e36-9a26-bafd82297eae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "99586e2e-41d6-499b-a06d-9eaf572ef3b0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "016f847f-0ffc-4288-8ee2-af60ade9697e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "MCP73831T-2ACI/OT" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e223b412-fd6f-4547-8b70-7cb01ab61faf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "SOT?23*") + (path "/73435e64-45f4-4cf8-b9e3-999484089e40") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start 0 -1.56) + (end -0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "50951248-8867-417e-a36a-e55e84bede67") + ) + (fp_line + (start 0 -1.56) + (end 0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "56bc77b7-7d76-4b34-9340-783db4a35891") + ) + (fp_line + (start 0 1.56) + (end -0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fa574d51-993d-4ac1-b75f-9db172c60bda") + ) + (fp_line + (start 0 1.56) + (end 0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "41e6e64d-f95d-40b1-a429-9fe5e8cc3d81") + ) + (fp_poly + (pts + (xy -1.3 -1.51) (xy -1.54 -1.84) (xy -1.06 -1.84) (xy -1.3 -1.51) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "9848a40b-99c1-49af-a3a6-f3984a79d7ed") + ) + (fp_line + (start -2.05 -1.7) + (end -2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e9febd8-9201-4679-9ea3-af3698337974") + ) + (fp_line + (start -2.05 1.7) + (end 2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a56eefbf-407f-4e4a-bdbb-19bdda8a55eb") + ) + (fp_line + (start 2.05 -1.7) + (end -2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0c3354b0-5a4a-4425-8193-1931dc69ad12") + ) + (fp_line + (start 2.05 1.7) + (end 2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e22a00f1-9f9a-4664-a484-22deaa6e59bb") + ) + (fp_line + (start -0.8 -1.05) + (end -0.4 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9af017b6-8703-4750-9825-55ab0fa8a57c") + ) + (fp_line + (start -0.8 1.45) + (end -0.8 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "47d87165-6da8-41db-b199-7b84990108d1") + ) + (fp_line + (start -0.4 -1.45) + (end 0.8 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8517f214-cf9b-4a88-8d60-fef830af7ad7") + ) + (fp_line + (start 0.8 -1.45) + (end 0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "22a9fe30-0d3a-42ca-880a-172e45870616") + ) + (fp_line + (start 0.8 1.45) + (end -0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "068a9526-65da-43c7-b2d6-31127ce701b1") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "375b6c46-c51e-471a-bb54-95395c50633a") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -1.1375 -0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 18 "Net-(U3-STAT)") + (pinfunction "STAT") + (pintype "tri_state") + (uuid "b74b2a62-38d5-4bc3-8887-3b2b09b421b0") + ) + (pad "2" smd roundrect + (at -1.1375 0) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "V_{SS}") + (pintype "power_in") + (uuid "151b600f-987f-451c-8867-a56c12a117c1") + ) + (pad "3" smd roundrect + (at -1.1375 0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "VBAT") + (pinfunction "V_{BAT}") + (pintype "power_out") + (uuid "8c17387e-da46-4617-b6bd-17c58afffecc") + ) + (pad "4" smd roundrect + (at 1.1375 0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 6 "VDD") + (pinfunction "V_{DD}") + (pintype "power_in") + (uuid "91a0ec98-584f-4627-912d-04c501e7793b") + ) + (pad "5" smd roundrect + (at 1.1375 -0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 19 "Net-(U3-PROG)") + (pinfunction "PROG") + (pintype "input") + (uuid "076a23b3-2cf8-4de5-87a8-85affbf13c99") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (layer "F.Cu") + (uuid "0b5803a9-59d1-4437-80fd-a714be1cdf39") + (at 222.75 116 180) + (descr "tactile push button, 6x6mm e.g. PHAP33xx series, height=4.3mm") + (tags "tact sw push 6mm") + (property "Reference" "SW1" + (at 3.25 2.25 180) + (layer "F.SilkS") + (uuid "b2be76fe-0ce8-489e-a3c0-ec10709b0586") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "BOOT_MODE" + (at 3.25 -2.5 180) + (layer "F.SilkS") + (uuid "20a46816-d025-4d1d-852b-ed400ca9e7e2") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6829cfdb-f7c1-4980-bef3-dc592d6fd5d3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.cuidevices.com/product/resource/ts02.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2e11b45e-ae71-42ce-af9e-d8cefe486df3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ae7b041c-8eac-482f-809f-6450b5176258") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "TS02-66-43-BK-260-LCR-D" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b8771336-8ccc-4774-9d4e-c5e4821c9bb5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/17bb85d7-35ee-4b02-ade0-d8e4a053c53c") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start 6.75 3) + (end 6.75 1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c20e1a19-e893-4bf0-b7e1-3d031d460d11") + ) + (fp_line + (start 5.5 -1) + (end 1 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6890b6d3-7b15-4739-b74b-5a381192e0b8") + ) + (fp_line + (start 1 5.5) + (end 5.5 5.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e039b66b-2fb1-41ed-a82b-7686a0c47582") + ) + (fp_line + (start -0.25 1.5) + (end -0.25 3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8b7a7992-ea9e-4c5f-8394-6ab90a041827") + ) + (fp_line + (start 8 6) + (end 8 5.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a22893f6-18c2-4fdd-b8e2-51f25d57a3b5") + ) + (fp_line + (start 8 -1.25) + (end 8 5.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "184ad4d8-e551-455d-a4b3-4c4a9dbf9e58") + ) + (fp_line + (start 8 -1.5) + (end 8 -1.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "21ac716f-5932-4868-8375-4c5d9313624e") + ) + (fp_line + (start 7.75 6) + (end 8 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b6106fd9-0394-4a3a-b8f3-85c215aff559") + ) + (fp_line + (start 7.75 6) + (end -1.25 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "558d4121-9438-4d83-bc27-d506b6c50ffe") + ) + (fp_line + (start 7.75 -1.5) + (end 8 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "de69b487-0dd0-4b2f-8e84-722270ec3fc0") + ) + (fp_line + (start -1.25 -1.5) + (end 7.75 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c4ba067f-8f72-44ac-88e6-34df28424d8b") + ) + (fp_line + (start -1.5 6) + (end -1.25 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "52f81a7d-aa6f-44bd-b8b1-20d08904c559") + ) + (fp_line + (start -1.5 5.75) + (end -1.5 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "48180574-c0a1-4524-b868-365cb55bc66e") + ) + (fp_line + (start -1.5 5.75) + (end -1.5 -1.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dd7e804d-81a3-4d02-8094-f4a65f845304") + ) + (fp_line + (start -1.5 -1.25) + (end -1.5 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a3b5b77f-a633-43b8-b5a0-0f460873f587") + ) + (fp_line + (start -1.5 -1.5) + (end -1.25 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ddc1f318-3bf1-4076-950c-a8ba64346cb5") + ) + (fp_line + (start 6.25 5.25) + (end 0.25 5.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "85801d99-31bb-4713-a766-b824fb533130") + ) + (fp_line + (start 6.25 -0.75) + (end 6.25 5.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7a2d18f8-dc4c-4bc2-8cd2-fa4bcf7555c1") + ) + (fp_line + (start 3.25 -0.75) + (end 6.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0b54f34b-e200-4ae4-9caa-037e2b6e8049") + ) + (fp_line + (start 0.25 5.25) + (end 0.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "04f65111-2ea8-4a54-bdbc-d9d46be5b528") + ) + (fp_line + (start 0.25 -0.75) + (end 3.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ae3d71f5-c15d-48ed-9968-47e721bb1028") + ) + (fp_circle + (center 3.25 2.25) + (end 1.25 2.5) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "0d294316-2eb4-48f1-bb5c-a26cc127ae36") + ) + (fp_text user "${REFERENCE}" + (at 3.25 2.25 180) + (layer "F.Fab") + (uuid "30e304d8-1ad3-4879-bcde-58b68c5ca22e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole circle + (at 0 0 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "1") + (pintype "passive") + (uuid "f3e4922e-f6b7-47e9-9d8a-e0eb6d64454a") + ) + (pad "1" thru_hole circle + (at 6.5 0 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "1") + (pintype "passive") + (uuid "158525d2-809f-40bc-9eda-a7e44f81436b") + ) + (pad "2" thru_hole circle + (at 0 4.5 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "/IO9") + (pinfunction "2") + (pintype "passive") + (uuid "7becd53f-a2de-495e-82ad-d0601c4478a6") + ) + (pad "2" thru_hole circle + (at 6.5 4.5 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "/IO9") + (pinfunction "2") + (pintype "passive") + (uuid "cd0a3418-ff9b-4627-8502-2efe85ae3414") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_PUSH_6mm_H4.3mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "0ccabf5e-6248-45bd-a4e7-4e328620c298") + (at 227.0625 115.75 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C8" + (at 0 -2 180) + (layer "F.SilkS") + (uuid "c797fa17-0a57-42e1-993a-39d35ba42406") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "22pF" + (at 0 1.68 180) + (layer "F.Fab") + (uuid "91b181df-203e-4e2e-98a0-ed779174e18f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "281cde09-bfef-4cd3-a850-701e2204ca8f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "83acf011-b6a1-4906-9ece-764012b034bd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3adec9d8-d778-4a95-9081-a2e208a425ae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Notes" "50V" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a7e5e47c-0077-4430-9a7b-bbd9409116cf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/2cdae28f-c7e2-4858-aee9-333143666e79") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd dnp) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8d9afe41-a4ef-4440-a876-11620d2282e0") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a25a6d9f-8076-4ea3-8cba-f0ccd6c8716e") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c4dfb623-da20-4c56-9f1b-f57c0d2b5ad1") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "60c9293a-dd7c-4db1-90e9-e748004a59c0") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8119b4a5-d43e-42a2-a252-b85bc06b2a1b") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c40dccb-26e0-41fd-aacd-1b2335f9b519") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b4fb055e-cbed-40aa-9151-bafb29849307") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1e04ccdf-0f1f-4d53-8142-e18966ee1ca2") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6cc867a4-116d-47bf-87d3-92b2829e26fc") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d2585d37-291c-479b-8a51-3945de68b331") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "2ae8a035-7322-4725-b863-0a66ac8d6592") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 5 "/USB_D+") + (pintype "passive") + (uuid "2aa7f7dd-d8e8-4753-8e37-4708cd0ad84d") + ) + (pad "2" smd roundrect + (at 1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "3685700b-feba-4bdc-bf83-c5e2bcd66d1d") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "14bd3d48-c166-48cd-9df9-85a2de0d99c2") + (at 208.5 97.3375 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R4" + (at 0 -1.65 -90) + (layer "F.SilkS") + (uuid "633b4220-c171-40e1-8715-e703dbe37dcb") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10k" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "5a90015c-d9b9-40a5-af95-0a18c4e2e348") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c4f7f551-73f4-4fd2-be3a-7fd88976b613") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5dee9007-1757-4c6d-8fad-cb5279da2d45") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f8871be3-8624-44d6-b4dd-56e3ac4dd200") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/473496c9-d03e-498a-8fd0-a78bdefb5805") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3c4946c4-fef7-428e-87a7-a4277ffe08b3") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f7d05bf-196b-44a1-a21a-3bc0aba899e4") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9559fe8f-cc83-444d-b7af-5a7752ba5ff1") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "348a544e-7d4d-4706-89ce-c1001b6cd60c") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9b2e45d5-3c83-4c7b-81a1-36743cadecfa") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "25aa38c2-ecb2-4630-be59-f4c0e02a295e") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "35504da2-9dd2-4ccd-841f-a5745409a5bd") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5a92682e-90c4-471a-bd17-9360ba8c31a2") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "93aa8a73-a921-439e-ba05-6f520f98d8c8") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b4193ffd-63aa-4e5a-9c8d-bdb206dd8c38") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "46146d4c-6dbf-47a2-8e38-6b16a0cd7f81") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 1 "/EN") + (pintype "passive") + (uuid "d902c8d7-ea11-4726-875d-20a6011ea4eb") + ) + (pad "2" smd roundrect + (at 1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "adc991fb-135f-40fd-9fb6-28e56d7e5aa2") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "15e6f45f-1d32-46cb-9c21-9200707dca83") + (at 191.25 111.75 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R1" + (at 0.75 1.75 180) + (layer "F.SilkS") + (uuid "07a55b68-5f75-4031-ba81-7f681c73ce7b") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10k" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "366dc6c3-2d72-48ca-864d-2a67dec30d4b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1929e195-f54e-4222-ab4e-81209ce50c0a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7e54f3fd-f467-41a3-ab10-33bae6c9c626") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "99efe523-9208-4995-ba35-0d520eeebfe7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/cbac06a7-e3d2-489a-9802-000da5a70bea") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "346b388d-60c5-42e4-951e-04e56b3a40b8") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9a6c02f9-491f-4aa5-a448-a94137ebdb4f") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ed5e0407-665e-4051-8e67-1614cd5ea42f") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ef58b06e-1a71-44d6-b346-5e05ce17b97c") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ec677659-9954-4c06-ab7b-2f2e017417d8") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3c646277-8c22-4756-b936-ff2230e31bdf") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4f3fac1f-7913-4345-a766-8f729169f0b4") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a36e2fd2-aa05-4d1a-a334-a640054f0c16") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "92dafffc-d9e0-406b-ba8a-db4ecdbd4005") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "70022133-0f5e-41e0-b941-e44f457e2205") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "a0e7dbb3-d9ec-430d-a9f9-5d8e4e89c50a") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 20 "/IO8") + (pintype "passive") + (uuid "687cc2e3-0daf-454a-a5de-753186f7d83b") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "6218e1dd-f1ed-43d2-a8b8-f5e7e18ccbdd") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "1d9923db-c4da-475d-b2a4-4a70f4f20e6b") + (at 199.25 106.5 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C2" + (at -0.7 1.85 -90) + (layer "F.SilkS") + (uuid "302ff965-4aeb-421a-9e2f-268e43d3fe9c") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "1uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "d6a75303-0176-4e85-b8ab-8b386aaa83af") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6e67ef8c-bd07-49df-8587-26b9a2122b10") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bca4462d-7d47-49f8-a0de-33872c90c2fd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5a57317f-87e9-4c3a-ab61-8604e495acb0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/3cb16c53-caaf-4f68-adad-3f5416ea1ee0") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "afc3572a-ca72-4dab-aefe-caaf8958aab5") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "61bc117a-bb1c-4b06-9d7d-45331df6c56b") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "118309da-f07a-4884-bdd4-38d48a83fddc") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5c98ec70-6c08-4814-869b-219d697a3bed") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6959d125-3779-46c2-befa-b65d54715d26") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "14b8b354-bab1-4308-8b1e-0e6eaebed452") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a27bb248-bbe6-44d0-aa47-760ccdef2ba2") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d11d6049-f2f2-4b31-9cb3-56e84448f105") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7c35bb2a-0c84-4daa-907d-b86252a5a2d9") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "23027e3e-019c-485c-a25a-3c5747a61eae") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "864fa295-95fa-4115-8655-9c36ec2b344a") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 32 "Net-(C2-Pad1)") + (pintype "passive") + (uuid "1c5b7c70-ba72-4cff-bb2d-cea820ee4df7") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "7ddca1de-2367-428f-bddd-03e170e3b1a6") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "2466d5c9-5bda-4e1a-95d7-37f249a4e157") + (at 230.9375 115.75 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C7" + (at 0 -2 180) + (layer "F.SilkS") + (uuid "3d32ad76-7c5b-4446-a7cf-0aa39174012b") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "22pF" + (at 0 1.68 180) + (layer "F.Fab") + (uuid "d4165112-71b0-445c-9e5a-b07f7b996821") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "97edb3e6-d381-4826-ae7c-ec980198c436") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cd26f56a-3488-47ac-90a4-dae75175edbd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d9e59e9e-2042-4d94-86ac-641bdaa2ba97") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Notes" "50V" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cd5c8675-3ce4-4746-b5bd-824e7a5ebd3b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/d52a491d-881d-4a4c-8ed7-a3816473cbe7") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd dnp) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6df075ad-b824-4631-8881-0dfc6e7e32fe") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "53b06bbb-b439-4794-8abe-c2d1f8f77307") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b00c4a1d-efdf-4d67-985e-8a289ea37b71") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f50ce6e9-0b60-41e1-8c55-be8681c87980") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8e7b5d78-a193-48f3-a2f8-fb3644adb18f") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "459f7073-7d84-45de-8ae8-5bc60d29396a") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6b13cb04-a04b-45c7-99f3-78a8a07d0a6d") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ab1cbd67-5fae-4381-a8f0-aa1377a10d8c") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e295ae3f-47d5-4945-ba10-5bfc3106d8ec") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "545c0223-34fc-48ee-aa06-fb0303b44bc5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "0e4283a7-7282-4966-8292-18e5f10b9096") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "bb9db797-b6fb-487f-9d78-218aeff44fb3") + ) + (pad "2" smd roundrect + (at 1.0375 0 180) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 4 "/USB_D-") + (pintype "passive") + (uuid "b3658f55-7d9c-486b-98fd-79b8843a824d") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_THT:LED_D3.0mm_Clear" + (layer "F.Cu") + (uuid "2a6d60f4-c6b0-4822-8f11-222716343862") + (at 182 110.225 -90) + (descr "IR-LED, diameter 3.0mm, 2 pins, color: clear") + (tags "IR infrared LED diameter 3.0mm 2 pins clear") + (property "Reference" "Q1" + (at 4.775 0 90) + (layer "F.SilkS") + (uuid "7f4c8c19-078f-4a7c-aef4-b49e5bb81f2d") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "Q_Photo_NPN" + (at 1.27 2.96 90) + (layer "F.Fab") + (uuid "fdcaa423-1456-46f1-b98f-3c6c72761c70") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "LED_THT:LED_D3.0mm_Clear" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "77e08634-70b3-48a7-b4d2-dc8ec37e35d3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/885/ALS-PT204-6C%2CL177.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "86861526-fb37-4072-9101-eaabc79aec51") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "NPN phototransistor, collector/emitter" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d08fdaf8-9308-42a6-b91b-939c9c824a50") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "ALS-PT204-6C/L177" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "096e778d-d818-4032-814d-4fc2caa08c7f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/2d482a1d-f7ab-4768-9cd3-f2fa4d992e15") + (attr through_hole) + (fp_line + (start -0.29 1.08) + (end -0.29 1.236) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7de46955-32ea-475e-b5f2-ca257636070b") + ) + (fp_line + (start -0.29 -1.236) + (end -0.29 -1.08) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3721f104-ca63-495e-ae0d-2d5bf93a7f8d") + ) + (fp_arc + (start 2.31113 1.079837) + (mid 1.270117 1.5) + (end 0.229039 1.08) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e80c8904-902a-4fc1-85c6-e0f1970fac1f") + ) + (fp_arc + (start 2.942335 1.078608) + (mid 1.366487 1.987659) + (end -0.29 1.235516) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ba335d11-9a90-4d73-b642-07c675e643ed") + ) + (fp_arc + (start 0.229039 -1.08) + (mid 1.270117 -1.5) + (end 2.31113 -1.079837) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f30398d8-1453-4161-99e2-84353bc68eda") + ) + (fp_arc + (start -0.29 -1.235516) + (mid 1.366487 -1.987659) + (end 2.942335 -1.078608) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1489b7b9-e645-4b15-bada-94a974e6135a") + ) + (fp_line + (start -1.15 2.25) + (end 3.7 2.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d788a0a5-b5a8-423a-ac8a-0ba0e3d3fe77") + ) + (fp_line + (start 3.7 2.25) + (end 3.7 -2.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "85a1e2fe-b57c-4958-83dc-b86f02fa57b6") + ) + (fp_line + (start -1.15 -2.25) + (end -1.15 2.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c6bb2fb-de3d-4c9d-92aa-fb4bf459641a") + ) + (fp_line + (start 3.7 -2.25) + (end -1.15 -2.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fe791e4b-e0f9-404d-8661-abfd9a32a9d2") + ) + (fp_line + (start -0.23 -1.16619) + (end -0.23 1.16619) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "93e1ecbc-7a46-4c83-bab6-915809e88203") + ) + (fp_arc + (start -0.23 -1.16619) + (mid 3.17 0.000452) + (end -0.230555 1.165476) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7b1c70b1-feb3-4ef7-a58d-4750be9eddee") + ) + (fp_circle + (center 1.27 0) + (end 2.77 0) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "f22e47ec-ee3e-433c-aaec-bfc3ef7e72bd") + ) + (fp_text user "${REFERENCE}" + (at 3.87 0 90) + (layer "F.Fab") + (uuid "073bc137-bce3-47ef-8285-2d617b63e1f2") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 1.8 1.8) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "+3V3") + (pinfunction "C") + (pintype "passive") + (uuid "b580674a-b868-4f78-b756-e420aaabac97") + ) + (pad "2" thru_hole circle + (at 2.54 0 270) + (size 1.8 1.8) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 15 "/~{LASER_TRIPPED}") + (pinfunction "E") + (pintype "passive") + (uuid "7d3af55e-103f-47d0-b9c2-34c16d2eb3ee") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_THT.3dshapes/LED_D3.0mm_Clear.wrl" + (offset + (xyz 0 0 17.3) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + (model "${KIPRJMOD}/../lib/7379.STEP" + (offset + (xyz 1.25 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz -90 0 90) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "2b3ab45c-c06f-44aa-bb97-82d00ce8553d") + (at 204.5 123.25 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R14" + (at -0.05 2 -90) + (layer "F.SilkS") + (uuid "dc4bfba7-b286-40ba-b3d9-486d409c7da0") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "390" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "d3a2fdc9-6410-4a90-9c98-5275adeea026") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "86f7d130-d9e8-421e-874f-8c07cbd4bf4a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3cab5d13-e33c-44fd-a0d3-8e9f2c8602ab") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "033d04c7-de84-49d7-b344-b6b0bdcb9657") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/30bd750a-0bca-484f-9fb6-3bce80478129") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "400f8ed8-ab4c-4630-8839-5ad0cca021a9") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2627082e-02e3-43f6-8cb2-9277c3a83399") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "acb27c15-ad1a-46a3-85d7-9f547df2d946") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a5a18586-e315-408e-9b29-151be3b3f878") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7752f918-3d92-41f3-b599-6e170660df4b") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c0b477de-7bfc-45dc-a97d-d5b0c41404b1") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d9778a65-779a-45a0-9858-8296f0cc3c15") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "587c7e92-4c56-4e2a-9c29-276562d64799") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f686d375-1763-4554-af33-5815f1db7a1e") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "367cdb85-954d-49ae-a380-1ad59111bc10") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "26c27ec2-5d58-4cd4-b8b9-ef324fcf2ce6") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 11 "Net-(D4-K)") + (pintype "passive") + (uuid "f8ad8e36-6c44-4d64-b4a1-1f0f07db7001") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 18 "Net-(U3-STAT)") + (pintype "passive") + (uuid "a5b338bf-130a-45f9-89ab-040ed093732b") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (layer "F.Cu") + (uuid "2cb2003a-e629-4e3b-8b13-56c795e98bd0") + (at 190 131.6675) + (descr "E-Switch slide switch, EG series, SPDT, right angle, http://spec_sheets.e-switch.com/specs/P040042.pdf") + (tags "switch SPDT") + (property "Reference" "SW4" + (at 4 2.3325 180) + (layer "F.SilkS") + (uuid "06e743ca-654c-4416-9f34-50996183e37a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "BATTERY_EN" + (at 4 -3 0) + (layer "F.SilkS") + (uuid "9c3bb7df-143f-4075-bde8-66f73690e73c") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ddf139b5-a012-4b9f-a9e1-13281df40f39") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://sten-eswitch-13110800-production.s3.amazonaws.com/system/asset/product_line/data_sheet/119/EG.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "23ffd019-25ac-4870-a0f9-b40e41a7529b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6d7e3d20-ba2c-4d44-8b9d-46792d12cbc8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "EG1224" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "77c251f6-9c35-49b9-bb88-9989be26ed68") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/ac70717d-986e-46b7-a395-c6c06fc0fb3c") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start -3.3 -3.05) + (end -2 -3.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e77ed12f-543f-4812-90de-3dc726363f55") + ) + (fp_line + (start -3.3 -1.75) + (end -3.3 -3.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "aa2b622d-d5a7-46cb-bd98-8f17b5759312") + ) + (fp_line + (start -3 3.85) + (end -3 -1.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4fbbf8ef-e77e-42c5-b198-e79b33a249bb") + ) + (fp_line + (start -0.95 -2.1) + (end 8.95 -2.1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e14357fb-c4d1-4597-9d3b-2a0daa4c9062") + ) + (fp_line + (start 8.95 4.6) + (end -0.95 4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a3186cf3-ad90-43ba-b58b-95762c73f621") + ) + (fp_line + (start 11 -1.35) + (end 11 3.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ff4502ed-95bd-46c1-8426-0a36767b3c53") + ) + (fp_line + (start -3.25 -3) + (end 11.25 -3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "54ac99e8-9707-4920-ab01-282ec4fbdb63") + ) + (fp_line + (start -3.25 5.5) + (end -3.25 -3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0df3494b-0548-48da-ba01-be10c2d9aaae") + ) + (fp_line + (start -0.75 5.5) + (end -3.25 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e8599839-a74b-45dd-ba7c-1c7e7dc48753") + ) + (fp_line + (start -0.75 13.75) + (end -0.75 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "91646e48-ed26-4122-9cae-e4fffee3acd5") + ) + (fp_line + (start 8.75 5.5) + (end 8.75 13.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2387a3d1-8bfd-4959-8ad1-59856d292c88") + ) + (fp_line + (start 8.75 13.75) + (end -0.75 13.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f42df97d-9a59-4e4a-8deb-6b4419af1e93") + ) + (fp_line + (start 11.25 -3) + (end 11.25 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b7f41794-ef1d-449a-8461-3b87f746e72f") + ) + (fp_line + (start 11.25 5.5) + (end 8.75 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "22db451b-89d6-4380-96af-deb8c438e634") + ) + (fp_line + (start -2.9 4.5) + (end -2.9 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8f126a54-7a55-40a1-abfc-a72c99b3093a") + ) + (fp_line + (start -1.9 -2) + (end -2.9 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ec1ba203-4d20-496f-806e-8fb4d45febff") + ) + (fp_line + (start -1.9 -2) + (end 10.9 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "49c156fb-c5e4-4cba-adef-16e6a9ee20f5") + ) + (fp_line + (start -0.5 13.5) + (end -0.5 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cdece5d1-21e9-4542-8a17-057af348ee94") + ) + (fp_line + (start 4.5 4.5) + (end 4.5 13.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "db68072f-8c0a-4ddb-932b-1efe48e120d3") + ) + (fp_line + (start 4.5 13.5) + (end -0.5 13.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1df216d7-b4f2-4871-805a-a237e6759bec") + ) + (fp_line + (start 10.9 -2) + (end 10.9 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b8be4010-171b-43b9-b40a-facfa6e5ea18") + ) + (fp_line + (start 10.9 4.5) + (end -2.9 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e4f1b139-de13-449e-9e6b-d6c3d96e5612") + ) + (fp_text user "${REFERENCE}" + (at 4 1.25 0) + (layer "F.Fab") + (uuid "b3922cdb-3062-454a-9afb-cb16c02e2d01") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + ) + ) + (pad "" thru_hole circle + (at -2 -1.75) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "5b4b6e5e-55ee-4df5-924e-e86542f60951") + ) + (pad "" thru_hole circle + (at -2 4.25) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "a874dca4-1449-48fd-96f0-52cf68624d38") + ) + (pad "" thru_hole circle + (at 10 -1.75) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "8f5a2b6f-8ff3-40e2-b3e4-3024e6c5e0de") + ) + (pad "" thru_hole circle + (at 10 4.25) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "3b803562-66e5-4e63-9400-ac2181a641d1") + ) + (pad "1" thru_hole circle + (at 0 0) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "A") + (pintype "passive") + (uuid "df99bfb6-d2d5-482a-bb91-276655dece6b") + ) + (pad "2" thru_hole circle + (at 4 0) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 33 "Net-(SW4-B)") + (pinfunction "B") + (pintype "passive") + (uuid "6c242003-4754-4cd8-8b65-9914a633dbaf") + ) + (pad "3" thru_hole circle + (at 8 0) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "VBAT") + (pinfunction "C") + (pintype "passive") + (uuid "9f1ad75c-9c69-41ab-bcc2-1d1c5695e5b0") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_E-Switch_EG1224_SPDT_Angled.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "391e2561-b874-4d56-8c5a-2c0b5452915f") + (at 182.8 125.25 -90) + (descr "JST PH series connector, B2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "Reference" "J3" + (at -1.5 -3.45 180) + (layer "F.SilkS") + (uuid "c07efb36-e33a-453f-b52b-23ac1dec20f0") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "BATTERY" + (at -3.25 0.05 180) + (layer "F.SilkS") + (uuid "e832dc59-5337-4ee9-a7e9-5b0420a0891a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7e3e66d6-d737-4b4d-a16e-da533f3d243d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "503fe7ed-3e41-49af-917b-4217f3936078") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3369eb0e-a8c3-4587-8867-7f38b85a0ec0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "aed5b443-6194-4ad2-b498-063a30d27724") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/ba09a0f4-a9d3-49ef-bf0f-9db04e3511cd") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start -2.06 2.91) + (end 4.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1ecc7840-6b33-493e-b0c5-1fe4f90c4ed5") + ) + (fp_line + (start 4.06 2.91) + (end 4.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bbc832fa-2357-40e8-9a23-28647140b4e3") + ) + (fp_line + (start -1.45 2.3) + (end 3.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e50e0c8b-173e-4f51-b711-ae97c2d87402") + ) + (fp_line + (start 0.9 2.3) + (end 0.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8ec8602a-89df-4aa6-87b1-90f440e6b48b") + ) + (fp_line + (start 1 2.3) + (end 1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c7a5fa92-9eec-43f6-a9ce-d767858dc18e") + ) + (fp_line + (start 3.45 2.3) + (end 3.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "42167a3d-1438-414d-b6f2-dfcb67abbeef") + ) + (fp_line + (start 0.9 1.8) + (end 1.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2dad3701-5082-447a-bb90-f3b64c47f866") + ) + (fp_line + (start 1.1 1.8) + (end 1.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "cf8f444c-79ba-450c-a609-b153e508b0ab") + ) + (fp_line + (start -2.06 0.8) + (end -1.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5919736a-08cb-4ef3-8b58-e45eb08c57bc") + ) + (fp_line + (start 4.06 0.8) + (end 3.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c20474b3-a196-429e-82bf-fb8b6bdb4bd3") + ) + (fp_line + (start -2.06 -0.5) + (end -1.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4c337d9c-5299-4765-9e94-5826481f98eb") + ) + (fp_line + (start 4.06 -0.5) + (end 3.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4565cae6-2189-43b8-b35e-944211f734ad") + ) + (fp_line + (start -1.45 -1.2) + (end -1.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3fe524c3-90de-4e4a-aab2-d833566287e3") + ) + (fp_line + (start 0.5 -1.2) + (end -1.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a5abec5e-3207-4662-b347-d988ab3c4325") + ) + (fp_line + (start 1.5 -1.2) + (end 1.5 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c51181bd-5559-4887-8d43-a1582db5ac6f") + ) + (fp_line + (start 3.45 -1.2) + (end 1.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "246512e5-e551-4d1f-abdc-db14244b2e51") + ) + (fp_line + (start -2.06 -1.81) + (end -2.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d6b859a8-4c21-462a-b883-39ac6df8e287") + ) + (fp_line + (start -0.3 -1.81) + (end -0.3 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5cd2a0df-695f-400b-a031-218ed70476a9") + ) + (fp_line + (start 0.5 -1.81) + (end 0.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39669f91-ab81-4272-9ccb-d03a1d7d72e8") + ) + (fp_line + (start 4.06 -1.81) + (end -2.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "80f98059-9d17-496c-adfd-59288f4815b4") + ) + (fp_line + (start -0.3 -1.91) + (end -0.6 -1.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1013b1e7-fb06-49f8-9fda-665c9578e591") + ) + (fp_line + (start -0.6 -2.01) + (end -0.6 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c9777517-8569-4278-bce2-63c0f8625d38") + ) + (fp_line + (start -0.3 -2.01) + (end -0.6 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a97985a5-60f9-4608-8720-be7416adcf5a") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c198c305-9361-44c8-ae61-2c2c21999add") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68494966-f500-416f-a4b1-1cffa634b62e") + ) + (fp_line + (start -2.45 3.3) + (end 4.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "807ecafa-a156-4282-ba1e-7403b6b32af7") + ) + (fp_line + (start 4.45 3.3) + (end 4.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0ea00091-328e-4bd5-b732-8954487dea76") + ) + (fp_line + (start -2.45 -2.2) + (end -2.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "68843654-72ee-4fb9-877b-a756a933261a") + ) + (fp_line + (start 4.45 -2.2) + (end -2.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7eb5d7b1-f1bb-4a62-b6a0-b330a7a25081") + ) + (fp_line + (start -1.95 2.8) + (end 3.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d24c130b-3c08-4be8-ac44-713c941e6f4a") + ) + (fp_line + (start 3.95 2.8) + (end 3.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e3bcfb3b-80c4-475a-995c-113364f9b024") + ) + (fp_line + (start -1.95 -1.7) + (end -1.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bf8e0969-e027-4ff0-b117-e4b376aafb83") + ) + (fp_line + (start 3.95 -1.7) + (end -1.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "de354aaa-898a-45be-af9b-6ab401ca9881") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7c1bff80-56da-4c01-959a-390f9857d09d") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4725416d-1be6-40ac-83c3-52eb0acf225d") + ) + (fp_text user "${REFERENCE}" + (at 1 1.5 90) + (layer "F.Fab") + (uuid "e2d56218-a94a-4add-a9bb-48ab1b1cb353") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole roundrect + (at 0 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.208333) + (net 2 "GND") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "92170fb7-e627-43a6-ae23-b6d42639737a") + ) + (pad "2" thru_hole oval + (at 2 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "VBAT") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "7f412b69-9e71-46b5-9f88-034456e4f52a") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (layer "F.Cu") + (uuid "3970f736-70d0-4f02-8599-e91b02a63ec8") + (at 185 118.25 -90) + (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D3" + (at -3.25 0.75 180) + (layer "F.SilkS") + (uuid "28302b06-dd99-408a-ba1d-acc4f43fe6f9") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "3V3" + (at 0 2 90) + (layer "F.SilkS") + (uuid "457dd689-6209-4fe8-9694-46e507c29d24") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cf617219-4f98-48df-8027-d38c13608cfc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "935dbd39-8e35-4614-aa6a-db1c45d7fa45") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b261db67-32f4-469c-a6c1-0f516a250a26") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7f79c090-d23c-4b28-b169-2f29dcc02c68") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/76fb557b-6824-4641-b6c7-9004189a26ea") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -2.46 1.135) + (end 1.6 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3045ad2b-42ef-4e51-99ff-f6e481b3b7ba") + ) + (fp_line + (start -2.46 -1.135) + (end -2.46 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b71a8e86-0476-4a7c-b681-f4c575ca684f") + ) + (fp_line + (start 1.6 -1.135) + (end -2.46 -1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ea0f7619-c3c3-43d7-8c97-8a91c5b705d3") + ) + (fp_line + (start -2.45 1.12) + (end -2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c46ad3aa-83af-451f-bd19-24a24915dc20") + ) + (fp_line + (start 2.45 1.12) + (end -2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "11164ff3-c978-453e-9d8b-ce6da5062302") + ) + (fp_line + (start -2.45 -1.12) + (end 2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "daa60785-aa20-457a-b84f-dd75fee503af") + ) + (fp_line + (start 2.45 -1.12) + (end 2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1b2b972f-be3f-4921-b692-e7afd9dae107") + ) + (fp_line + (start -1.6 0.8) + (end 1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6c92e123-72ce-4166-af35-3f1b85e8158e") + ) + (fp_line + (start 1.6 0.8) + (end 1.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "61f952f4-f690-4433-8ec3-45d70728ce0e") + ) + (fp_line + (start -1.6 -0.4) + (end -1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0cc6ae1f-abb6-4fe5-ad03-d97154447aef") + ) + (fp_line + (start -1.2 -0.8) + (end -1.6 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "07267211-c24d-4922-9e8e-5fd8a0f97910") + ) + (fp_line + (start 1.6 -0.8) + (end -1.2 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "31db6d8d-1bf7-4ca7-b435-7620ae07fe89") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "b5a811b6-80c5-4a3d-93cc-3dba217cd147") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4875 0 270) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 2 "GND") + (pinfunction "K") + (pintype "passive") + (uuid "4ec18a2c-04d9-4a7c-9a3a-3d4eb2bc8651") + ) + (pad "2" smd roundrect + (at 1.4875 0 270) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 10 "Net-(D3-A)") + (pinfunction "A") + (pintype "passive") + (uuid "db733859-0b22-4abf-b26f-16c97534ee6c") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (layer "F.Cu") + (uuid "3c27033b-e2c7-4406-b0d2-51dbdd22c4f1") + (at 208.5 110.75 180) + (descr "tactile push button, 6x6mm e.g. PHAP33xx series, height=4.3mm") + (tags "tact sw push 6mm") + (property "Reference" "SW3" + (at 3.25 2.25 180) + (layer "F.SilkS") + (uuid "c7e5fddb-8763-475e-bf12-2145c56da17c") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "RESET" + (at 10.5 0.35 180) + (layer "F.SilkS") + (uuid "d1d313c2-bfb9-4939-8ed5-d45295de91bf") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "53416083-28d7-48a7-9011-f895f33cce56") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.cuidevices.com/product/resource/ts02.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "594cdd9a-2b7b-4b10-921f-7c36ff8ce351") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f3b6eac1-9c4f-44e4-8bb0-b75885c17bc0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "TS02-66-43-BK-260-LCR-D" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5da894ca-248e-41db-a827-c0ecc794d1e3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/de9fc6ea-07f8-47b9-89d8-98e7e329bca9") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start 6.75 3) + (end 6.75 1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8f89991b-c256-4f36-b620-362f657ee26b") + ) + (fp_line + (start 5.5 -1) + (end 1 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "43248621-377d-43dc-a761-02c44fddc848") + ) + (fp_line + (start 1 5.5) + (end 5.5 5.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "395edc58-6542-473e-bacf-7b2fc5983dc0") + ) + (fp_line + (start -0.25 1.5) + (end -0.25 3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7d110889-f56f-4134-a802-18c365b6aa88") + ) + (fp_line + (start 8 6) + (end 8 5.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d649ce3b-6fd5-46ea-8498-e6a2eac437a3") + ) + (fp_line + (start 8 -1.25) + (end 8 5.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7fd9ecb4-029a-4d3a-a59f-ef1c37c8ab8b") + ) + (fp_line + (start 8 -1.5) + (end 8 -1.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4eaec145-7964-4929-a3e8-8c27d63cbff7") + ) + (fp_line + (start 7.75 6) + (end 8 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7f4681d3-4a95-4f13-8386-02356650435a") + ) + (fp_line + (start 7.75 6) + (end -1.25 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0a82b4f2-20c6-465d-9288-aafd2403373f") + ) + (fp_line + (start 7.75 -1.5) + (end 8 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a9fa407c-e8e0-4847-bab2-b3002cc8d40e") + ) + (fp_line + (start -1.25 -1.5) + (end 7.75 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f794946b-1b4b-46d4-9cdf-c37c7097cd6a") + ) + (fp_line + (start -1.5 6) + (end -1.25 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4ab9b1e1-743d-40c8-8776-064c9f026cb7") + ) + (fp_line + (start -1.5 5.75) + (end -1.5 6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb9a1436-87aa-4edf-82c7-1c0ba5ec757b") + ) + (fp_line + (start -1.5 5.75) + (end -1.5 -1.25) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "856174ad-12dd-4912-a696-319da892dcb9") + ) + (fp_line + (start -1.5 -1.25) + (end -1.5 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d0b295b3-2e6a-4d37-819c-1bceb0d8ef7b") + ) + (fp_line + (start -1.5 -1.5) + (end -1.25 -1.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5dc98a0c-4ef1-4335-a37e-257f9afe537f") + ) + (fp_line + (start 6.25 5.25) + (end 0.25 5.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1d7de13a-7915-48c4-8183-0df8ce7ff481") + ) + (fp_line + (start 6.25 -0.75) + (end 6.25 5.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cd4c4d05-72b6-4dc3-9fc7-0b1aab7cf5ab") + ) + (fp_line + (start 3.25 -0.75) + (end 6.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2b632af5-9baa-4925-bfa2-f4fbe8a10142") + ) + (fp_line + (start 0.25 5.25) + (end 0.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "08197908-22f2-49d9-8620-972e172902e4") + ) + (fp_line + (start 0.25 -0.75) + (end 3.25 -0.75) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3e3b29ca-71a5-4a7e-84c6-c74256876965") + ) + (fp_circle + (center 3.25 2.25) + (end 1.25 2.5) + (stroke + (width 0.1) + (type solid) + ) + (fill none) + (layer "F.Fab") + (uuid "1e09d7f8-7242-443c-bb9b-efc3012213e9") + ) + (fp_text user "${REFERENCE}" + (at 3.25 2.25 180) + (layer "F.Fab") + (uuid "0ec547df-afb1-4411-a6bc-213587ca27dc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole circle + (at 0 0 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "1") + (pintype "passive") + (uuid "725d583a-04c4-4f02-988a-16235ce525cd") + ) + (pad "1" thru_hole circle + (at 6.5 0 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "1") + (pintype "passive") + (uuid "165f2e7c-7429-4d20-b652-e68c9efbf111") + ) + (pad "2" thru_hole circle + (at 0 4.5 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "Net-(C2-Pad1)") + (pinfunction "2") + (pintype "passive") + (uuid "c09d8b37-70af-4f13-ad4f-7aff3a9dc0d0") + ) + (pad "2" thru_hole circle + (at 6.5 4.5 270) + (size 2 2) + (drill 1.1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 32 "Net-(C2-Pad1)") + (pinfunction "2") + (pintype "passive") + (uuid "aa4b3b71-d0ed-4d12-864f-3fc406122925") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_PUSH_6mm_H4.3mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "PCM_Espressif:ESP32-C3-WROOM-02" + (layer "F.Cu") + (uuid "3da9fedf-1235-42e5-9a55-1205853e6b96") + (at 224.44 102.15) + (descr "ESP32-C3-WROOM-02: https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf") + (tags "ESP32-C3") + (property "Reference" "U1" + (at 0 5.1 0) + (layer "F.SilkS") + (uuid "5d0fed7b-546c-480c-9cc8-43846617981a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "ESP32-C3-WROOM-02" + (at 0 8.75 0) + (layer "F.Fab") + (uuid "5947b2af-298d-4f58-9a5c-5ab6734bf27e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "PCM_Espressif:ESP32-C3-WROOM-02" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e7cace1f-df71-470e-8a43-562e33d4dc1a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5b829563-c8c0-46c3-9e7a-2d3332984a03") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "ESP32-C3-WROOM-02 is a general-purpose Wi-Fi and Bluetooth LE module. This module features a rich set of peripherals and high performance, which makes it an ideal choice for smart home, industrial automation, health care, consumer electronics, etc." + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "81a4338e-9971-4d13-ad73-d06a82ebbc79") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "ESP32-C3-WROOM-02-N4" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2e5f3254-76fd-4a2c-8b5a-47cfad33fd8f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/7a739e65-f2c0-4a16-bbad-f4b78883366c") + (attr smd) + (fp_line + (start -9 7) + (end -9 6.75) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ae8b31c2-3a0c-455f-a8bd-09fabb660785") + ) + (fp_line + (start 9 6.75) + (end 9 7) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "446ba122-af51-4421-9be9-4c18830eae57") + ) + (fp_line + (start 9 7) + (end -9 7) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ae95a5d4-3dc6-4e5f-be61-f8ac12ac7f26") + ) + (fp_poly + (pts + (xy 9.8 7.3) (xy -9.8 7.3) (xy -9.8 -13.3) (xy 9.8 -13.3) + ) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "376872e0-7ca2-4cf4-a9d8-49444bdee174") + ) + (fp_line + (start -9 -13) + (end -9 -6.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.Fab") + (uuid "241a47a0-8ce7-46d3-8fb0-536d66f7ef5d") + ) + (fp_line + (start -9 -13) + (end 9 -13) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f51a62eb-62cc-47ad-ac7b-e27165e83756") + ) + (fp_line + (start -9 -13) + (end 9 -13) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.Fab") + (uuid "4bbea4dc-671c-4960-b19d-50aad07a1f89") + ) + (fp_line + (start -9 -7) + (end 9 -7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f87df8eb-3714-4e32-8b9d-1cdac34224ae") + ) + (fp_line + (start -9 7) + (end -9 -13) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "20f9d295-43f4-477d-9270-6809fb4c06db") + ) + (fp_line + (start -7.7 -7) + (end -9 -5.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3d26be22-c261-427a-a487-d51edbc8fdef") + ) + (fp_line + (start 9 -13) + (end 9 -6.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.Fab") + (uuid "5887af5c-72fa-4257-9da3-9dbd652402b0") + ) + (fp_line + (start 9 -13) + (end 9 7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "27630631-54cf-4698-a8e2-edf7915d9d3b") + ) + (fp_line + (start 9 -7) + (end -8.95 -7) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.Fab") + (uuid "8cde063d-3783-4dec-af4c-3c0493196573") + ) + (fp_line + (start 9 7) + (end -9 7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5e4315a3-3ccc-460a-8155-1f510974bc8e") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.75 0) + (layer "F.Fab") + (uuid "711a1c20-3732-4752-a70b-dd8175d11e94") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (fp_text user "Antenna Area" + (at 0 -10.4 0) + (layer "F.Fab") + (uuid "be2613eb-a826-4a81-89db-ca6cd7c5a9dd") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd rect + (at -8.75 -5.9) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") + (pinfunction "3V3") + (pintype "power_in") + (uuid "efd52026-32a3-4aeb-8b2c-71cd3c97f6b8") + ) + (pad "2" smd rect + (at -8.75 -4.4) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "/EN") + (pinfunction "EN/CHIP_PU") + (pintype "input") + (uuid "29d33af0-a487-477f-bed6-607882d429e7") + ) + (pad "3" smd rect + (at -8.75 -2.9) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "unconnected-(U1-GPIO4{slash}ADC1_CH4-Pad3)") + (pinfunction "GPIO4/ADC1_CH4") + (pintype "bidirectional+no_connect") + (uuid "e8bd92ba-b6a6-40d4-a13c-1881b89f0587") + ) + (pad "4" smd rect + (at -8.75 -1.4) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "/START") + (pinfunction "GPIO5/ADC2_CH0") + (pintype "bidirectional") + (uuid "ae3e4185-4b68-4974-ae0c-371fc0339edf") + ) + (pad "5" smd rect + (at -8.75 0.1) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "/SCL") + (pinfunction "GPIO6") + (pintype "bidirectional") + (uuid "cc7bf2ae-9889-41ce-a250-08579e21edff") + ) + (pad "6" smd rect + (at -8.75 1.6) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "/SDA") + (pinfunction "GPIO7") + (pintype "bidirectional") + (uuid "4eb68e8c-4bd0-47a3-9c4f-62b08f681994") + ) + (pad "7" smd rect + (at -8.75 3.1) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "/IO8") + (pinfunction "GPIO8") + (pintype "bidirectional") + (uuid "66ae91ce-a1d4-418f-85af-02a2d2409b3e") + ) + (pad "8" smd rect + (at -8.75 4.6) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "/IO9") + (pinfunction "GPIO9") + (pintype "bidirectional") + (uuid "d9c45d46-2469-4168-b06c-0ab8d2ca83e8") + ) + (pad "9" smd rect + (at -8.75 6.1) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "passive") + (uuid "4c5e486c-6ee4-45ca-9a56-d52f38d48cf7") + ) + (pad "10" smd rect + (at 8.75 6.1 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "unconnected-(U1-GPIO10-Pad10)") + (pinfunction "GPIO10") + (pintype "bidirectional+no_connect") + (uuid "f435b74a-5c7c-4d30-a51a-244c87f1f90f") + ) + (pad "11" smd rect + (at 8.75 4.6 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "unconnected-(U1-GPIO20{slash}U0RXD-Pad11)") + (pinfunction "GPIO20/U0RXD") + (pintype "bidirectional+no_connect") + (uuid "7653c9bc-65c1-49d8-a33a-5751cb9341ca") + ) + (pad "12" smd rect + (at 8.75 3.1 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "unconnected-(U1-GPIO21{slash}U0TXD-Pad12)") + (pinfunction "GPIO21/U0TXD") + (pintype "bidirectional+no_connect") + (uuid "7f0e468e-a0ab-49ca-8a28-eac302731e9f") + ) + (pad "13" smd rect + (at 8.75 1.6 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "/D+") + (pinfunction "GPIO18/USB_D-") + (pintype "bidirectional") + (uuid "0d9fab6d-bfda-4f68-b3bf-c45c04355ec1") + ) + (pad "14" smd rect + (at 8.75 0.1 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "/D-") + (pinfunction "GPIO19/USB_D+") + (pintype "bidirectional") + (uuid "529e6466-b2a5-4c3b-a4f6-a04ffac17d6a") + ) + (pad "15" smd rect + (at 8.75 -1.4 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 26 "unconnected-(U1-GPIO3{slash}ADC1_CH3-Pad15)") + (pinfunction "GPIO3/ADC1_CH3") + (pintype "bidirectional+no_connect") + (uuid "cde8c822-c3ac-4cf0-b8db-9c7d20506c85") + ) + (pad "16" smd rect + (at 8.75 -2.9 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "/IO2") + (pinfunction "GPIO2/ADC1_CH2") + (pintype "bidirectional") + (uuid "a106f26c-2d13-4649-b11e-2303bcd10bef") + ) + (pad "17" smd rect + (at 8.75 -4.4 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "/HEARTBEAT") + (pinfunction "GPIO1/ADC1_CH1/XTAL_32K_N") + (pintype "bidirectional") + (uuid "a3cfd6da-fd5e-462a-b4c9-e27915c4e40c") + ) + (pad "18" smd rect + (at 8.75 -5.9 180) + (size 1.5 0.9) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "/~{LASER_TRIPPED}") + (pinfunction "GPIO0/ADC1_CH0/XTAL_32K_P") + (pintype "bidirectional") + (uuid "3b3aa0f7-6de1-403c-8aed-0be223058b94") + ) + (pad "19" smd rect + (at -0.14 -0.8 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "642c755e-7033-4168-8a20-dd69b33955ff") + ) + (pad "19" smd rect + (at -0.14 0.3 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "edc8a32f-6cab-4588-903f-99d5dfc39bd7") + ) + (pad "19" smd rect + (at -0.14 1.4 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "2c60d1d9-ab0a-41da-a2e2-81f324c21415") + ) + (pad "19" smd rect + (at 0.91 -0.8 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "295103e0-22cb-4662-b826-8a823c26c203") + ) + (pad "19" smd rect + (at 0.91 0.3 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "a20613fe-02a2-4862-943e-fa70cfb23084") + ) + (pad "19" smd rect + (at 0.91 1.4 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "f5abbb5f-ac7e-44a2-a4da-fc6355852088") + ) + (pad "19" smd rect + (at 2.06 -0.8 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "dbd8d36b-7c22-4529-aa3e-00b1c1a22c71") + ) + (pad "19" smd rect + (at 2.06 0.3 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "1eb21991-3452-4932-8682-f47ed996e3dc") + ) + (pad "19" smd rect + (at 2.06 1.4 180) + (size 0.7 0.7) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "788afe8d-67da-4079-a73d-ca8e286d399b") + ) + (zone + (net 0) + (net_name "") + (layers "*.Cu") + (uuid "b22a347f-c8cc-4674-bcc8-fba7da7ac0f9") + (name "antenna keepout") + (hatch edge 0.508) + (connect_pads + (clearance 0) + ) + (min_thickness 0.254) + (filled_areas_thickness no) + (keepout + (tracks not_allowed) + (vias not_allowed) + (pads not_allowed) + (copperpour not_allowed) + (footprints not_allowed) + ) + (fill + (thermal_gap 0.508) + (thermal_bridge_width 0.508) + ) + (polygon + (pts + (xy 233.44 95.15) (xy 215.44 95.15) (xy 215.44 89.15) (xy 233.44 89.15) + ) + ) + ) + (model "${KICAD8_3RD_PARTY}/3dmodels/com_github_espressif_kicad-libraries/espressif.3dshapes/ESP32-C3-WROOM-02.STEP" + (offset + (xyz -9 -7 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "49e6bbd3-e2f0-4f35-8fb3-117caf6c38a6") + (at 228 112.75 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R11" + (at 0 1.75 -90) + (layer "F.SilkS") + (uuid "5d87d53c-ff28-42a8-a219-403928fa4eba") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "0" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "0cdc3da1-5564-4e73-b312-faabf8bc2a70") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "77248511-14af-40cc-8eb8-b6b4e5786f48") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3094cf38-4d0d-42eb-91f3-8ccc7be9d730") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8cbb952c-d715-42fd-b7e9-1f7079e9b7de") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Notes" "1%" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "74bb57fb-8c11-4246-927a-74f0376c080d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/f19d6492-609c-4b19-8a9b-6d47873469df") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "faf7cf60-e196-4c14-9702-32f927f20868") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c9574935-f4ba-4614-9362-a5d57933d9bf") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bbcabffb-38c1-40ef-ac31-a43f3527bdb8") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "467bf1cb-b268-48a1-8946-f64e95cdfe20") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "071fd18e-0359-4864-b4d7-920924eac4b1") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ceb3ab91-fa56-4e85-b77a-0976c464384a") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "43c9c97c-d39f-4214-97d4-c98f2780e1da") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "650be181-5a9f-4659-827a-5c3cf000d852") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f6a27e6-8209-4b2f-8eac-5881eb5b5aa8") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7bdeffe6-47cf-4cd6-8d60-6b97c5d0ca4e") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "856614a2-1dcd-48c6-85cb-7c3ecc0761a5") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 31 "/D-") + (pintype "passive") + (uuid "857dc2e5-627a-43e4-a55c-0951b5a09bd4") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 5 "/USB_D+") + (pintype "passive") + (uuid "6266a739-4858-437f-a89b-bace430ca7cc") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123F" + (layer "F.Cu") + (uuid "4ab48f67-002d-41eb-ac6a-96d1bb64daa5") + (at 216.4 127.4 -90) + (descr "D_SOD-123F") + (tags "D_SOD-123F") + (property "Reference" "D8" + (at -3.2 0 180) + (layer "F.SilkS") + (uuid "3d51d5ec-b405-4a18-8694-c83c0be5f489") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "NRVB120VLSF" + (at 0 2.1 90) + (layer "F.Fab") + (uuid "fa11af0c-348f-4a95-94c8-94bea77e261c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123F" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1572e60a-599c-4f64-bf31-dc7879673af0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.onsemi.com/pdf/datasheet/mbr120vlsft1-d.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "98ec02e6-6637-46de-bb6b-6ee620b34dcd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d3b8280a-5d7a-4ee7-8d51-ecc52b8cdbd5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "NRVB120VLSF" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f421be45-bdba-4041-8064-5d9f8bf40931") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/538b3edf-4111-4643-8f1e-b6f8c9cee92f") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -2.21 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "df3ee8c0-e8e9-4ca6-8cc6-52b2800dde06") + ) + (fp_line + (start -2.21 -1) + (end -2.21 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8934ec10-a64b-4169-b475-765dea0b358c") + ) + (fp_line + (start -2.21 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "09c51f84-71d4-4ded-855a-65b7d2339e1b") + ) + (fp_line + (start 2.2 1.15) + (end -2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "458912b4-0e5f-4e0e-88e9-8bb604b14520") + ) + (fp_line + (start -2.2 -1.15) + (end -2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d538d45b-c48f-4f95-8bea-8fbcaab6ee03") + ) + (fp_line + (start -2.2 -1.15) + (end 2.2 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b9780d42-0591-4f9e-aacf-5d2e0a3e139a") + ) + (fp_line + (start 2.2 -1.15) + (end 2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "41e816fd-54a9-465e-ac5e-f6c47fb9589d") + ) + (fp_line + (start -1.4 0.9) + (end -1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a410ad14-92ab-4a26-aa4f-19986b223699") + ) + (fp_line + (start 1.4 0.9) + (end -1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "76d111ba-81f8-4a0e-87c5-4a3aef7350c1") + ) + (fp_line + (start 0.25 0.4) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "52e3b5da-7f6b-4013-81ec-5c5e7714319d") + ) + (fp_line + (start -0.75 0) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f8ad4ce7-d8fc-4ff5-ba3c-715cc899b68c") + ) + (fp_line + (start -0.35 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0f63c208-19b0-443c-827e-d987e3815092") + ) + (fp_line + (start -0.35 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0867f623-3ae5-464a-bd8b-5a4d5a65ad0d") + ) + (fp_line + (start -0.35 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4ef54560-32df-44c5-a025-31a8ca547f40") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d65953c3-fb55-4fd5-8ee2-55ff7559a108") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4474b2b7-1b06-42f9-a833-f4e720aeeba0") + ) + (fp_line + (start -1.4 -0.9) + (end 1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0b3b8532-6db8-4afb-b938-2c868d15b701") + ) + (fp_line + (start 1.4 -0.9) + (end 1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5c8d8531-abfc-4b29-a2d0-9bb459ba6fce") + ) + (fp_text user "${REFERENCE}" + (at -0.127 -1.905 90) + (layer "F.Fab") + (uuid "ab97076e-2c90-4283-98c4-8e4dcc4bfa21") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4 0 270) + (size 1.1 1.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.2272727273) + (net 6 "VDD") + (pinfunction "K") + (pintype "passive") + (uuid "a49d9a49-63b6-4db1-a9ce-14a4df9cfca2") + ) + (pad "2" smd roundrect + (at 1.4 0 270) + (size 1.1 1.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.2272727273) + (net 12 "VBUS") + (pinfunction "A") + (pintype "passive") + (uuid "1d6ff4f1-52b9-4bb8-99af-4cb00d9af20a") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123F.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "4d66d425-d26d-46d5-b882-6c6f0f0243cf") + (at 188.75 103.7 90) + (descr "JST PH series connector, B4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "Reference" "J1" + (at -3.35 -1 0) + (layer "F.SilkS") + (uuid "9919ce4e-bce2-400d-b941-ca4b20e3c5cf") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "LCD" + (at -3.35 2 180) + (layer "F.SilkS") + (uuid "25893ced-992b-4211-97f1-94fdc7d5cbdf") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fad36b2b-7adc-4ac9-9d37-384f69617a52") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ad1621ce-3d6f-4640-8027-57f0fd0a1856") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f7f49a3e-bdc1-4e64-9483-0636b8a2bade") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B4B-PH-K-S" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b8282b00-9442-4269-b12a-c5a777909c10") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/523c089d-82f7-40d7-ae73-44133eca02e7") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fca7571c-e09e-4a91-aa3a-116c2f099486") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "09665d44-fb74-4f52-a4c6-241945a3fba2") + ) + (fp_line + (start -0.3 -2.01) + (end -0.6 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ab23ec9a-679d-40a4-b5d4-a632eba72366") + ) + (fp_line + (start -0.6 -2.01) + (end -0.6 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "958beb72-d12f-4c27-864f-eb2d1fe37607") + ) + (fp_line + (start -0.3 -1.91) + (end -0.6 -1.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "af231b29-5fb8-4468-9823-303437d784af") + ) + (fp_line + (start 8.06 -1.81) + (end -2.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6d38feb0-f05f-41be-a622-047e4a332b0f") + ) + (fp_line + (start 0.5 -1.81) + (end 0.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f1b6891a-738d-4f10-9d7b-cb8e3a6f6be5") + ) + (fp_line + (start -0.3 -1.81) + (end -0.3 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "628d544b-3acf-494a-a7b1-976d56df3293") + ) + (fp_line + (start -2.06 -1.81) + (end -2.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "53332768-8f71-42ee-848b-2393029ce5b3") + ) + (fp_line + (start 7.45 -1.2) + (end 5.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bde298b8-6e78-412f-81ff-703e56eb91aa") + ) + (fp_line + (start 5.5 -1.2) + (end 5.5 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "03ab1e8a-bdc6-4792-9f54-a98523a41e8f") + ) + (fp_line + (start 0.5 -1.2) + (end -1.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "da9ad388-d8d2-43d5-b0e3-ebe42b045684") + ) + (fp_line + (start -1.45 -1.2) + (end -1.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8304109e-5a74-42ce-8bae-edafdd81fc11") + ) + (fp_line + (start 8.06 -0.5) + (end 7.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7d5be888-b254-414b-9f63-62c672a0ad38") + ) + (fp_line + (start -2.06 -0.5) + (end -1.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "77d54558-8df1-4189-a5c5-cc692577525e") + ) + (fp_line + (start 8.06 0.8) + (end 7.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ba74ef7c-a331-40b7-9aaa-abe909a64c57") + ) + (fp_line + (start -2.06 0.8) + (end -1.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "cee7d4d6-df77-4453-ba49-5c1e799e9ba5") + ) + (fp_line + (start 5.1 1.8) + (end 5.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c5759d8c-0a41-41b2-ab70-c03ccbe616fc") + ) + (fp_line + (start 4.9 1.8) + (end 5.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6d8555a4-7d81-4dc3-b626-fbadbb782d39") + ) + (fp_line + (start 3.1 1.8) + (end 3.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a09958df-e02a-4308-8122-c7f7d40ea3df") + ) + (fp_line + (start 2.9 1.8) + (end 3.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0d0c3d95-825f-4c41-8771-9975c4917769") + ) + (fp_line + (start 1.1 1.8) + (end 1.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b7323d60-8801-4bf7-b28b-98f0867f7beb") + ) + (fp_line + (start 0.9 1.8) + (end 1.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "247e00df-7580-45fe-8cb4-14b68733d30a") + ) + (fp_line + (start 7.45 2.3) + (end 7.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dd69ea5f-6077-4c45-8e9a-1c3885c4d43c") + ) + (fp_line + (start 5 2.3) + (end 5 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "178b5160-de58-404b-b0cc-ee609eb3c2e4") + ) + (fp_line + (start 4.9 2.3) + (end 4.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "081f12f3-7aa0-47a7-b86d-9669b13cd51e") + ) + (fp_line + (start 3 2.3) + (end 3 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "491f7054-9dfb-4761-8bbb-67096008e51d") + ) + (fp_line + (start 2.9 2.3) + (end 2.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8edb31d6-c5fc-4f7e-aae4-ff0c11d98fe0") + ) + (fp_line + (start 1 2.3) + (end 1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c0041964-cba9-4cfe-bb83-349b3d08bfb0") + ) + (fp_line + (start 0.9 2.3) + (end 0.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0970f2cc-300f-4d58-a4bd-0dca265cf0b0") + ) + (fp_line + (start -1.45 2.3) + (end 7.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "57ce6ff5-a0d1-4527-89fe-5a8f2c8fa3d2") + ) + (fp_line + (start 8.06 2.91) + (end 8.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bce9cd76-2c99-4cf0-b485-48b3e5989f3c") + ) + (fp_line + (start -2.06 2.91) + (end 8.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "799536c0-d519-4ebf-a025-e188c2674ab4") + ) + (fp_line + (start 8.45 -2.2) + (end -2.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4df5dd96-86e4-45c6-9da5-0cdd9cd81625") + ) + (fp_line + (start -2.45 -2.2) + (end -2.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0c3dda24-72fb-48b3-be3a-7421be1333b3") + ) + (fp_line + (start 8.45 3.3) + (end 8.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d3e90978-2570-4b31-90d5-1de54e8e2d64") + ) + (fp_line + (start -2.45 3.3) + (end 8.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0ca7507a-2ac1-4aeb-a2c0-c1f90f3cff0d") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "88181954-d43e-4144-9597-79f647fe8203") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "920ef775-dad5-46fd-ba46-f83b1e24066c") + ) + (fp_line + (start 7.95 -1.7) + (end -1.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ea99635e-5fd3-4aaa-a66f-b05f733e65ad") + ) + (fp_line + (start -1.95 -1.7) + (end -1.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "554d0396-3c4e-4dde-a56b-5401a43ed674") + ) + (fp_line + (start 7.95 2.8) + (end 7.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7060224c-feb9-4143-88b3-2ac781f5067e") + ) + (fp_line + (start -1.95 2.8) + (end 7.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3bd41239-c92b-4455-8643-3469bfafd5b5") + ) + (fp_text user "${REFERENCE}" + (at 3 1.5 -90) + (layer "F.Fab") + (uuid "022da95b-159c-4b50-b7c3-712574932076") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole roundrect + (at 0 0 90) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.208333) + (net 21 "/SDA") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "0bc12d69-5477-4a99-bf42-48cc7423c918") + ) + (pad "2" thru_hole oval + (at 2 0 90) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "/SCL") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "ce10e450-55b9-40b8-be79-a87ce0178bf1") + ) + (pad "3" thru_hole oval + (at 4 0 90) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Pin_3") + (pintype "passive") + (uuid "bb1751ac-959e-4956-a2b7-7695ccf1c74f") + ) + (pad "4" thru_hole oval + (at 6 0 90) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "+3V3") + (pinfunction "Pin_4") + (pintype "passive") + (uuid "f0d6e261-910e-4631-82a9-7f32bd7a5a2b") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "4e59bc90-46c5-4dde-a23a-3b2d47807d02") + (at 202.5 133.25 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C5" + (at 2.75 0 180) + (layer "F.SilkS") + (uuid "a47b481f-8843-41a9-aeb8-318876590919") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "0.1uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "26addbb4-f707-4fc6-9a1e-6aa66aa49c3b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "72d116e8-d406-419a-a08a-4360d34e5cc5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4d98ca5b-2a6a-4591-9caa-bcb199d16867") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "66e065ab-b153-42d7-912d-e9a020c387f9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/7a469ce7-cb2e-47bf-b6fe-87d61e525599") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e1cb2212-a915-4f8e-a659-25de774bb7cf") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4610e09c-8921-4842-a5d2-6d5728b046a9") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "86988072-d513-4ad2-a5aa-5ac0a5bd0769") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc44ccae-0a4d-44ba-89a1-b5c4bab80116") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c48088c9-5767-4947-b896-7de186832be3") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c5ed81a4-c2e9-4a03-8cf7-cbe7e6468208") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "388dbe22-95d3-49de-b526-f25cd357fc85") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c21396d5-5279-4d7f-9c53-70a66d46d988") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2ed1757e-766a-4448-8910-b35cf4bee446") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bec27367-cfa5-4bda-b376-f3ae6ac42479") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "e800bb44-006a-4904-9f53-80ba46382871") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 7 "VBAT") + (pintype "passive") + (uuid "642a1941-51f7-496a-8034-15084cef102b") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "2f8f93dd-f039-4791-9004-256a5ce65a41") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "55987ec3-14cc-4400-b85e-960ba93eac7d") + (at 186 111.5 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R9" + (at -2.75 1 0) + (layer "F.SilkS") + (uuid "be71dd7b-25bf-441e-868c-aa7dcec7a4d5") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10k" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "8f46c867-b59d-4738-ba1a-a48a8d72b38f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3cd920f6-bf46-4903-b457-4a84909d08ee") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0f39181f-6b8a-4727-bb71-872eec18dc39") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c2bcae7a-2000-441d-af92-076cce25fdae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/bb56aee8-b4b3-465a-b04e-a93322fef9de") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5784c61d-fd8c-47d7-ab2e-469698b069a5") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "aa46713b-e20b-40ce-9232-0e0a1f11c3c9") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5ef2366c-ca78-4584-94be-dd047a889103") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "41691513-910e-433b-a3d5-9a43667c71ad") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "070d7aac-6357-4c66-9a6a-47288d1e8e8e") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3f601a18-6538-41de-98ae-fe6615de78f0") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9cc9fba2-0691-414d-8277-0184d1837375") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ca6b566e-02a1-4d98-a038-7afb53e16a7f") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "396937c6-502b-4f03-8b30-895d4d1dd54e") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e2bb8ad2-1d41-4a84-b4a2-c4b16585b613") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "6c6d6b83-c609-46c3-bdd8-4fdc16480683") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 15 "/~{LASER_TRIPPED}") + (pintype "passive") + (uuid "a37701ce-3e20-430c-a04c-65d0a13177cc") + ) + (pad "2" smd roundrect + (at 1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "9351c96a-bf7f-4265-96d9-2596c247068d") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (layer "F.Cu") + (uuid "5a2c5a92-728e-487b-b9f2-cce850d7ee7d") + (at 211.5 118.25) + (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D1" + (at 0 2 0) + (layer "F.SilkS") + (uuid "7e3b5270-9f9e-43f0-b2b4-bbcdd3493531") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "STATUS" + (at 0 -2 180) + (layer "F.SilkS") + (uuid "6861a207-07e5-496a-8a45-5f8c4c93c070") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "69f6b36e-c6f9-47b3-ab19-a651a4994f46") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "98acd7f4-fd32-49ca-8665-e1c31e959f51") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8e8f71ac-5532-49c8-85fd-7981f5dbb695") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "44e2b253-bc72-4cc4-a7a3-1440b3e5a1cb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/179703f9-7822-4c90-b4dd-428858cfec89") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -2.46 -1.135) + (end -2.46 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2f853099-2b93-4115-9319-c3fde6e4bda1") + ) + (fp_line + (start -2.46 1.135) + (end 1.6 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a9ef1ed9-8f15-4d2a-9a1a-05b12f0291d8") + ) + (fp_line + (start 1.6 -1.135) + (end -2.46 -1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1992a7e6-a6d3-48be-88cc-82240f8cb66c") + ) + (fp_line + (start -2.45 -1.12) + (end 2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "de5614f9-122e-482e-b057-86fef2c9b1ac") + ) + (fp_line + (start -2.45 1.12) + (end -2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3924ae97-5b6b-463c-96e8-4542207d2fec") + ) + (fp_line + (start 2.45 -1.12) + (end 2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "280a00cf-839e-4100-934b-e473b3423c7e") + ) + (fp_line + (start 2.45 1.12) + (end -2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cb8ea901-1529-410c-8def-aea8bea944f5") + ) + (fp_line + (start -1.6 -0.4) + (end -1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "df04ab2a-7214-4dd4-b95e-4e3e5ca39881") + ) + (fp_line + (start -1.6 0.8) + (end 1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "641f6e76-31e5-49fb-9779-1ff2593b71a8") + ) + (fp_line + (start -1.2 -0.8) + (end -1.6 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2f4734a4-10a8-4a83-9d6d-ed2858c49032") + ) + (fp_line + (start 1.6 -0.8) + (end -1.2 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5a3d4ad7-92e4-4894-9a5c-3c82a9872fb9") + ) + (fp_line + (start 1.6 0.8) + (end 1.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3f00f0e5-81f7-4baf-971c-b26863cfb071") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "2ebae059-b77a-43ef-80cd-dd909262b39f") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4875 0) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 2 "GND") + (pinfunction "K") + (pintype "passive") + (uuid "2953a526-5ae9-4bb8-9972-31361bf1bfc9") + ) + (pad "2" smd roundrect + (at 1.4875 0) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 8 "Net-(D1-A)") + (pinfunction "A") + (pintype "passive") + (uuid "5972c225-99f9-4cc8-9b8f-df07ef57f8b9") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "5c3c9010-5b01-4211-9300-2f2f3f16caca") + (at 207.5 123.25 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R15" + (at 0 1.75 90) + (layer "F.SilkS") + (uuid "0e28b597-a475-4cab-aa93-1a48dcf1e5a8") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "2k" + (at 0 1.65 90) + (layer "F.Fab") + (uuid "be9f86c4-ae33-4626-82b9-9cc3f854fcba") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "788886d6-d5bb-4f86-ae43-722cef5046fe") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "eca6bd1e-151f-45e1-9867-685469ead14a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a9b986e7-5f33-4d82-a2f0-f636eaa70de9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/488c8b47-f8be-44d0-8535-762211171c8b") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e0d7c36c-037b-4624-8cd4-88b3dda8503e") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a15fe3e3-84db-4c90-9d5a-bb52729562d8") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bb20ff0c-ba82-4238-94e7-ee3cda31c6c8") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b2bcbf57-b3a1-4bef-9251-6adc50de58fc") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "483000e4-862f-4a2a-a3b7-2d953ac2a382") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e52a0273-9f17-4d47-aaf1-8bcaf0497537") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "678c4940-9818-4275-9997-cab40926775f") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "95ea47c1-8747-44ef-bf3e-b7e15f285f31") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2439cd96-7a6f-4de8-8c20-ec2760dbb24b") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1e1d82ce-f008-498d-b0d0-fc572604dbcc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "1d3b961e-0e2c-46e3-bd9a-084d5d974f98") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 19 "Net-(U3-PROG)") + (pintype "passive") + (uuid "1e10cb06-4b54-4d55-9dce-0d1bbac148ad") + ) + (pad "2" smd roundrect + (at 1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "dfb7069f-6c79-40b9-a638-94a51bd57903") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "5dda7eaf-7a2b-4071-837b-07bbb357784b") + (at 202.5 127.75 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C11" + (at 0.25 -2.5 180) + (layer "F.SilkS") + (uuid "53cda736-5d19-41c3-8693-6c83259745e0") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "e35c6f66-53fa-4843-817c-f6d61d2f4d45") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d8bd49a1-6cbe-4059-b93d-9806c6bd9b7d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7157c390-dc28-4f94-b5a4-3cad1e5578ad") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7848cca1-3f45-4528-b4f3-5d666c307962") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/f9fdae09-69ea-4bb2-8930-790da735355e") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "862ef590-127d-4919-bfd1-5562146aba6a") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "595122e8-726e-4cb7-9d2a-57f4eedc9408") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a78dd229-452a-4107-b294-5b7bd714df69") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7a485ac9-bf97-4d74-b1b9-11bab77df8bf") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9c8a733d-ec2c-4720-9bf2-8148504762f7") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "55c4d909-7211-4370-8f36-22bf499af4a0") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b6ad3608-3f02-42ef-8876-7c77c0ad6412") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "440930c7-caa0-42a3-9df2-17a92d408650") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f8a80624-8c28-416b-8cfd-d22fbcab7e5e") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7ca371e1-e9a7-47ba-b09d-63c3daf79957") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "0b4f4a29-b3b0-49b5-bbd3-c1cb9e9c4880") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 7 "VBAT") + (pintype "passive") + (uuid "36a10e48-c463-4bdf-a203-92b9998ff9d3") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "f87bece8-43fb-4d5d-bdc8-31b0f8fcb945") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "63472cc0-3fd2-452f-a7d2-d4f26dbc95af") + (at 205.75 100.65 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R6" + (at 2.6 0 0) + (layer "F.SilkS") + (uuid "cd0aef80-8844-4217-a79a-dee4709e86a1") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10k" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "06fbb184-281f-4d9f-8934-9c1a68f6adf9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bb4a3e66-b8ba-4cde-9289-02ac1739241a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "57ab9d2c-b392-497a-be45-a032a4620828") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b472b292-003f-4b17-ab04-b5a6aeec2a20") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/32511ded-dba6-451a-b728-f39d6e26796c") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6b9f0110-058d-4ea3-bd36-22313ebd133c") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a04c6c92-0139-4694-90dc-ae2b8657619e") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4cb416c1-da75-4d96-8e89-ffc52c476a3b") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e2e8557f-a024-4b79-ba22-986ab119c7e0") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c6e5fdb9-7c6c-4f3f-b045-a7fdf670e026") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5baa45b9-39ed-4e3a-9e27-cbfa09fefb7e") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d905ed26-db64-459e-8972-c447332a4d96") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d4d813c6-a277-41e3-8c46-5f4a33216801") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fa84c882-ce37-431f-a306-85ba393519a7") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7a757947-4dc4-43f8-af16-2879a8a892af") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "a2d886c1-8881-4940-b879-5f43e4346b64") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 25 "/START") + (pintype "passive") + (uuid "61a3d824-dee0-40f7-8635-11263d6612b4") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pintype "passive") + (uuid "64f006c1-9185-4bb3-a3e4-9fafff02124a") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "65d01a75-cc3e-4033-bb27-bf191e562793") + (at 214 122 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R2" + (at 0 1.75 180) + (layer "F.SilkS") + (uuid "3aaf96e9-5399-4522-9fa4-ea15b4879e27") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "180" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "92b1dd9a-bebe-4f1a-9d95-70b94882d8cc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "17a78f37-a621-4bb9-9a39-9d4ae17a0ded") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d44025a6-4e50-4f5a-8c81-1ef5d53bfd34") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "21793c8d-96f3-494a-874d-bf215e697703") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/c17cd14a-a83d-49c9-b625-ea11d9d50601") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7382981a-d61c-49f5-919d-89b165c82348") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "cea73d38-90ad-412e-82b2-8ef1a6864eff") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5d131493-387f-4828-9c97-032381831671") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2b6fc97d-4ae0-4bf0-9745-818f9974d819") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d678914f-34db-4989-bd71-4e5d8693a5ee") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ad885a59-137c-4bdc-8160-f981e511022f") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "319a1826-904d-4565-a549-33aa35eb8a79") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c8c58190-d807-4487-bda5-27a22632a2a7") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e7cc7dd0-b711-4d2c-a0e0-b9111e90541a") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "047470d4-3456-4849-af39-e2e01046670a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "46ff0a96-6f36-4bb7-ac9b-8407315eba47") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 17 "/HEARTBEAT") + (pintype "passive") + (uuid "373ee605-8122-4d94-a634-24dec236d30e") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 8 "Net-(D1-A)") + (pintype "passive") + (uuid "c414d003-e2fb-435e-98ca-772cb1850e97") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-323" + (layer "F.Cu") + (uuid "6766f2bd-9cab-452d-8575-37d5a6e2de43") + (at 218.9 127.9 90) + (descr "SOD-323") + (tags "SOD-323") + (property "Reference" "D5" + (at 0 1.7 -90) + (layer "F.SilkS") + (uuid "fdce0fe7-7d7a-48be-8b6b-493a33c7cd33") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0.1 1.9 -90) + (layer "F.Fab") + (uuid "551bd2c7-3dd8-4069-9d23-5743ee0d10c5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7886a38c-b5bd-4d42-85c6-825e8e07b42c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9c090737-4dcf-4a15-8c1d-3e4808037e38") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bb5faefd-218b-4023-9c8d-fb9b0350c3c8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "SD05C-7" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b15bbb97-1cc8-4d0d-9853-86949c56631b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "D?SOD?323*") + (path "/229ccc7e-c7b9-42ae-a0ae-6b7e277ec726") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -1.61 -0.85) + (end 1.05 -0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3a4a7d1e-0f8a-4edb-8f64-ad601c8d20a4") + ) + (fp_line + (start -1.61 -0.85) + (end -1.61 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6c811837-bed0-4cb2-b927-c5a427035eff") + ) + (fp_line + (start -1.61 0.85) + (end 1.05 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "60fae699-1ad6-4262-95f5-cff7bbfaab2c") + ) + (fp_line + (start 1.6 -0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "723f027e-dcf8-4f3f-a172-d587d2a227dd") + ) + (fp_line + (start -1.6 -0.95) + (end 1.6 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1c54ad66-59f7-4647-96f6-114c3381770f") + ) + (fp_line + (start -1.6 -0.95) + (end -1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "de695fd9-ac84-4d2b-8b80-af7a5d026b17") + ) + (fp_line + (start -1.6 0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1e4e088c-3548-45ff-9b9f-96ff72ae83ca") + ) + (fp_line + (start 0.9 -0.7) + (end 0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "69e0bbc8-8cc8-459c-a5bb-05c165f65d57") + ) + (fp_line + (start -0.9 -0.7) + (end 0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "63b0673a-0e7b-4af8-a5fd-b74d37c06e9e") + ) + (fp_line + (start 0.2 -0.35) + (end 0.2 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4cff5cfe-d584-403e-8e15-acfe0998dc66") + ) + (fp_line + (start -0.3 -0.35) + (end -0.3 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c5a3afd2-308a-4fc2-afa2-cb0390716cb4") + ) + (fp_line + (start 0.2 0) + (end 0.45 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0cc145b1-3627-43b2-8aeb-c6914092c7b0") + ) + (fp_line + (start -0.3 0) + (end 0.2 -0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a02c605e-718b-4b73-ae10-dc36dd80e892") + ) + (fp_line + (start -0.3 0) + (end -0.5 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "000248a2-c11d-4977-a32b-82ddbb58a842") + ) + (fp_line + (start 0.2 0.35) + (end -0.3 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6fa18729-c034-46ef-af3f-f94abec0efd7") + ) + (fp_line + (start 0.9 0.7) + (end -0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f6425db7-99f9-437b-9f2b-51ddc48e0495") + ) + (fp_line + (start -0.9 0.7) + (end -0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3bf945e5-2742-463f-9978-c38f36ae8601") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.85 -90) + (layer "F.Fab") + (uuid "9415264e-d75c-42ed-b902-a946b09b731b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.05 0 90) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 12 "VBUS") + (pinfunction "A1") + (pintype "passive") + (uuid "f5225bc2-d16d-40c4-b038-917a947c526b") + ) + (pad "2" smd roundrect + (at 1.05 0 90) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "A2") + (pintype "passive") + (uuid "111ab26e-54c7-4eee-a499-108bb3316943") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "6789de7d-42af-4a61-96cc-9da3c9ba2302") + (at 231 133.75) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "24275925-b9de-4f82-afa3-d48f599abdd7") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "0e5959c1-a8c2-47e3-b7d2-7baadf3b809d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "90499f1e-43bf-4748-8f05-85904474b13c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "62930e1d-0801-4e08-acad-221f01adf572") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c0761bad-b685-4f7e-aea5-eb02f38da8ff") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "b85771bf-3dae-4e7b-8be6-1c429ed6321c") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "f2688125-b413-4c89-9c2d-3f629f91ec80") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "6a047922-2061-4907-a0db-1cde2145d119") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "*.Cu" "*.Mask") + (uuid "e37fd521-90d4-4793-ac27-426c0a0c548a") + ) + ) + (footprint "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (layer "F.Cu") + (uuid "6a0449e6-53c5-4f03-92e2-82af70bd51ae") + (at 183 105.5) + (descr "Open Source Hardware Logo") + (tags "Logo OSHW") + (property "Reference" "REF**" + (at 0 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "a57b1ffc-b835-4e89-aefc-52380caa752a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "OSHW-Logo_5.7x6mm_SilkScreen" + (at 0.75 0 0) + (layer "F.Fab") + (hide yes) + (uuid "d9af17e3-b7be-450c-a6d4-5e768de202af") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6f152a65-6992-4b1b-9e79-6886976351d2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e99334a9-4721-4df7-b235-4a098fc15ad2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e45359a7-f276-4594-a553-cda474c3684f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.79946 1.45803) (xy 1.842711 1.471245) (xy 1.870558 1.487941) (xy 1.879629 1.501145) (xy 1.877132 1.516797) + (xy 1.860931 1.541385) (xy 1.847232 1.5588) (xy 1.818992 1.590283) (xy 1.797775 1.603529) (xy 1.779688 1.602664) + (xy 1.726035 1.58901) (xy 1.68663 1.58963) (xy 1.654632 1.605104) (xy 1.64389 1.614161) (xy 1.609505 1.646027) + (xy 1.609505 2.062179) (xy 1.471188 2.062179) (xy 1.471188 1.458614) (xy 1.540347 1.458614) (xy 1.581869 1.460256) + (xy 1.603291 1.466087) (xy 1.609502 1.477461) (xy 1.609505 1.477798) (xy 1.612439 1.489713) (xy 1.625704 1.488159) + (xy 1.644084 1.479563) (xy 1.682046 1.463568) (xy 1.712872 1.453945) (xy 1.752536 1.451478) (xy 1.79946 1.45803) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "84cf6e6e-e773-42d8-b55e-315bc5cdb6ef") + ) + (fp_poly + (pts + (xy 1.635255 2.401486) (xy 1.683595 2.411015) (xy 1.711114 2.425125) (xy 1.740064 2.448568) (xy 1.698876 2.500571) + (xy 1.673482 2.532064) (xy 1.656238 2.547428) (xy 1.639102 2.549776) (xy 1.614027 2.542217) (xy 1.602257 2.537941) + (xy 1.55427 2.531631) (xy 1.510324 2.545156) (xy 1.47806 2.57571) (xy 1.472819 2.585452) (xy 1.467112 2.611258) + (xy 1.462706 2.658817) (xy 1.459811 2.724758) (xy 1.458631 2.80571) (xy 1.458614 2.817226) (xy 1.458614 3.017822) + (xy 1.320297 3.017822) (xy 1.320297 2.401683) (xy 1.389456 2.401683) (xy 1.429333 2.402725) (xy 1.450107 2.407358) + (xy 1.457789 2.417849) (xy 1.458614 2.427745) (xy 1.458614 2.453806) (xy 1.491745 2.427745) (xy 1.529735 2.409965) + (xy 1.58077 2.401174) (xy 1.635255 2.401486) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "46a18571-2ee3-4920-b518-20cc18d63bbe") + ) + (fp_poly + (pts + (xy -0.993356 2.40302) (xy -0.974539 2.40866) (xy -0.968473 2.421053) (xy -0.968218 2.426647) (xy -0.967129 2.44223) + (xy -0.959632 2.444676) (xy -0.939381 2.433993) (xy -0.927351 2.426694) (xy -0.8894 2.411063) (xy -0.844072 2.403334) + (xy -0.796544 2.40274) (xy -0.751995 2.408513) (xy -0.715602 2.419884) (xy -0.692543 2.436088) (xy -0.687996 2.456355) + (xy -0.690291 2.461843) (xy -0.70702 2.484626) (xy -0.732963 2.512647) (xy -0.737655 2.517177) (xy -0.762383 2.538005) + (xy -0.783718 2.544735) (xy -0.813555 2.540038) (xy -0.825508 2.536917) (xy -0.862705 2.529421) + (xy -0.888859 2.532792) (xy -0.910946 2.544681) (xy -0.931178 2.560635) (xy -0.946079 2.5807) (xy -0.956434 2.608702) + (xy -0.963029 2.648467) (xy -0.966649 2.703823) (xy -0.968078 2.778594) (xy -0.968218 2.82374) (xy -0.968218 3.017822) + (xy -1.09396 3.017822) (xy -1.09396 2.401683) (xy -1.031089 2.401683) (xy -0.993356 2.40302) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "cb08afba-a920-4cfa-829d-fae2e9e877f0") + ) + (fp_poly + (pts + (xy 0.993367 1.654342) (xy 0.994555 1.746563) (xy 0.998897 1.81661) (xy 1.007558 1.867381) (xy 1.021704 1.901772) + (xy 1.0425 1.922679) (xy 1.07111 1.933) (xy 1.106535 1.935636) (xy 1.143636 1.932682) (xy 1.171818 1.921889) + (xy 1.192243 1.90036) (xy 1.206079 1.865199) (xy 1.214491 1.81351) (xy 1.218643 1.742394) (xy 1.219703 1.654342) + (xy 1.219703 1.458614) (xy 1.35802 1.458614) (xy 1.35802 2.062179) (xy 1.288862 2.062179) (xy 1.24717 2.060489) + (xy 1.225701 2.054556) (xy 1.219703 2.043293) (xy 1.216091 2.033261) (xy 1.201714 2.035383) (xy 1.172736 2.04958) + (xy 1.106319 2.07148) (xy 1.035875 2.069928) (xy 0.968377 2.046147) (xy 0.936233 2.027362) (xy 0.911715 2.007022) + (xy 0.893804 1.981573) (xy 0.881479 1.947458) (xy 0.873723 1.901121) (xy 0.869516 1.839007) (xy 0.86784 1.757561) + (xy 0.867624 1.694578) (xy 0.867624 1.458614) (xy 0.993367 1.458614) (xy 0.993367 1.654342) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3eaca54b-50b7-41c9-90a8-47f4dccd6c58") + ) + (fp_poly + (pts + (xy -0.754012 1.469002) (xy -0.722717 1.48395) (xy -0.692409 1.505541) (xy -0.669318 1.530391) (xy -0.6525 1.562087) + (xy -0.641006 1.604214) (xy -0.633891 1.660358) (xy -0.630207 1.734106) (xy -0.629008 1.829044) + (xy -0.628989 1.838985) (xy -0.628713 2.062179) (xy -0.76703 2.062179) (xy -0.76703 1.856418) (xy -0.767128 1.780189) + (xy -0.767809 1.724939) (xy -0.769651 1.686501) (xy -0.773233 1.660706) (xy -0.779132 1.643384) + (xy -0.787927 1.630368) (xy -0.80018 1.617507) (xy -0.843047 1.589873) (xy -0.889843 1.584745) (xy -0.934424 1.602217) + (xy -0.949928 1.615221) (xy -0.96131 1.627447) (xy -0.969481 1.64054) (xy -0.974974 1.658615) (xy -0.97832 1.685787) + (xy -0.980051 1.72617) (xy -0.980697 1.783879) (xy -0.980792 1.854132) (xy -0.980792 2.062179) (xy -1.119109 2.062179) + (xy -1.119109 1.458614) (xy -1.04995 1.458614) (xy -1.008428 1.460256) (xy -0.987006 1.466087) (xy -0.980795 1.477461) + (xy -0.980792 1.477798) (xy -0.97791 1.488938) (xy -0.965199 1.487674) (xy -0.939926 1.475434) (xy -0.882605 1.457424) + (xy -0.817037 1.455421) (xy -0.754012 1.469002) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d539c03f-c685-4c1c-a72b-501e57ecaf50") + ) + (fp_poly + (pts + (xy 2.217226 1.46388) (xy 2.29008 1.49483) (xy 2.313027 1.509895) (xy 2.342354 1.533048) (xy 2.360764 1.551253) + (xy 2.363961 1.557183) (xy 2.354935 1.57034) (xy 2.331837 1.592667) (xy 2.313344 1.60825) (xy 2.262728 1.648926) + (xy 2.22276 1.615295) (xy 2.191874 1.593584) (xy 2.161759 1.58609) (xy 2.127292 1.58792) (xy 2.072561 1.601528) + (xy 2.034886 1.629772) (xy 2.011991 1.675433) (xy 2.001597 1.741289) (xy 2.001595 1.741331) (xy 2.002494 1.814939) + (xy 2.016463 1.868946) (xy 2.044328 1.905716) (xy 2.063325 1.918168) (xy 2.113776 1.933673) (xy 2.167663 1.933683) + (xy 2.214546 1.918638) (xy 2.225644 1.911287) (xy 2.253476 1.892511) (xy 2.275236 1.889434) (xy 2.298704 1.903409) + (xy 2.324649 1.92851) (xy 2.365716 1.97088) (xy 2.320121 2.008464) (xy 2.249674 2.050882) (xy 2.170233 2.071785) + (xy 2.087215 2.070272) (xy 2.032694 2.056411) (xy 1.96897 2.022135) (xy 1.918005 1.968212) (xy 1.894851 1.930149) + (xy 1.876099 1.875536) (xy 1.866715 1.806369) (xy 1.866643 1.731407) (xy 1.875824 1.659409) (xy 1.894199 1.599137) + (xy 1.897093 1.592958) (xy 1.939952 1.532351) (xy 1.997979 1.488224) (xy 2.066591 1.461493) (xy 2.141201 1.453073) + (xy 2.217226 1.46388) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "8b057050-9e1f-4a02-b54c-aae5fe803d2d") + ) + (fp_poly + (pts + (xy 0.610762 1.466055) (xy 0.674363 1.500692) (xy 0.724123 1.555372) (xy 0.747568 1.599842) (xy 0.757634 1.639121) + (xy 0.764156 1.695116) (xy 0.766951 1.759621) (xy 0.765836 1.824429) (xy 0.760626 1.881334) (xy 0.754541 1.911727) + (xy 0.734014 1.953306) (xy 0.698463 1.997468) (xy 0.655619 2.036087) (xy 0.613211 2.061034) (xy 0.612177 2.06143) + (xy 0.559553 2.072331) (xy 0.497188 2.072601) (xy 0.437924 2.062676) (xy 0.41504 2.054722) (xy 0.356102 2.0213) + (xy 0.31389 1.977511) (xy 0.286156 1.919538) (xy 0.270651 1.843565) (xy 0.267143 1.803771) (xy 0.26759 1.753766) + (xy 0.402376 1.753766) (xy 0.406917 1.826732) (xy 0.419986 1.882334) (xy 0.440756 1.917861) (xy 0.455552 1.92802) + (xy 0.493464 1.935104) (xy 0.538527 1.933007) (xy 0.577487 1.922812) (xy 0.587704 1.917204) (xy 0.614659 1.884538) + (xy 0.632451 1.834545) (xy 0.640024 1.773705) (xy 0.636325 1.708497) (xy 0.628057 1.669253) (xy 0.60432 1.623805) + (xy 0.566849 1.595396) (xy 0.52172 1.585573) (xy 0.475011 1.595887) (xy 0.439132 1.621112) (xy 0.420277 1.641925) + (xy 0.409272 1.662439) (xy 0.404026 1.690203) (xy 0.402449 1.732762) (xy 0.402376 1.753766) (xy 0.26759 1.753766) + (xy 0.268094 1.69758) (xy 0.285388 1.610501) (xy 0.319029 1.54253) (xy 0.369018 1.493664) (xy 0.435356 1.463899) + (xy 0.449601 1.460448) (xy 0.53521 1.452345) (xy 0.610762 1.466055) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "2cbcd934-730c-4e3b-afcd-08040fe1628a") + ) + (fp_poly + (pts + (xy 0.281524 2.404237) (xy 0.331255 2.407971) (xy 0.461291 2.797773) (xy 0.481678 2.728614) (xy 0.493946 2.685874) + (xy 0.510085 2.628115) (xy 0.527512 2.564625) (xy 0.536726 2.53057) (xy 0.571388 2.401683) (xy 0.714391 2.401683) + (xy 0.671646 2.536857) (xy 0.650596 2.603342) (xy 0.625167 2.683539) (xy 0.59861 2.767193) (xy 0.574902 2.841782) + (xy 0.520902 3.011535) (xy 0.462598 3.015328) (xy 0.404295 3.019122) (xy 0.372679 2.914734) (xy 0.353182 2.849889) + (xy 0.331904 2.7784) (xy 0.313308 2.715263) (xy 0.312574 2.71275) (xy 0.298684 2.669969) (xy 0.286429 2.640779) + (xy 0.277846 2.629741) (xy 0.276082 2.631018) (xy 0.269891 2.64813) (xy 0.258128 2.684787) (xy 0.242225 2.736378) + (xy 0.223614 2.798294) (xy 0.213543 2.832352) (xy 0.159007 3.017822) (xy 0.043264 3.017822) (xy -0.049263 2.725471) + (xy -0.075256 2.643462) (xy -0.098934 2.568987) (xy -0.11918 2.505544) (xy -0.134874 2.456632) (xy -0.144898 2.425749) + (xy -0.147945 2.416726) (xy -0.145533 2.407487) (xy -0.126592 2.403441) (xy -0.087177 2.403846) + (xy -0.081007 2.404152) (xy -0.007914 2.407971) (xy 0.039957 2.58401) (xy 0.057553 2.648211) (xy 0.073277 2.704649) + (xy 0.085746 2.748422) (xy 0.093574 2.77463) (xy 0.09502 2.778903) (xy 0.101014 2.77399) (xy 0.113101 2.748532) + (xy 0.129893 2.705997) (xy 0.150003 2.64985) (xy 0.167003 2.59913) (xy 0.231794 2.400504) (xy 0.281524 2.404237) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7fe7eb1d-4475-4ded-a56f-59e36edb53cd") + ) + (fp_poly + (pts + (xy -2.538261 1.465148) (xy -2.472479 1.494231) (xy -2.42254 1.542793) (xy -2.388374 1.610908) (xy -2.369907 1.698651) + (xy -2.368583 1.712351) (xy -2.367546 1.808939) (xy -2.380993 1.893602) (xy -2.408108 1.962221) + (xy -2.422627 1.984294) (xy -2.473201 2.031011) (xy -2.537609 2.061268) (xy -2.609666 2.073824) + (xy -2.683185 2.067439) (xy -2.739072 2.047772) (xy -2.787132 2.014629) (xy -2.826412 1.971175) + (xy -2.827092 1.970158) (xy -2.843044 1.943338) (xy -2.85341 1.916368) (xy -2.859688 1.882332) (xy -2.863373 1.83431) + (xy -2.864997 1.794931) (xy -2.865672 1.759219) (xy -2.739955 1.759219) (xy -2.738726 1.79477) (xy -2.734266 1.842094) + (xy -2.726397 1.872465) (xy -2.712207 1.894072) (xy -2.698917 1.906694) (xy -2.651802 1.933122) + (xy -2.602505 1.936653) (xy -2.556593 1.917639) (xy -2.533638 1.896331) (xy -2.517096 1.874859) + (xy -2.507421 1.854313) (xy -2.503174 1.827574) (xy -2.50292 1.787523) (xy -2.504228 1.750638) (xy -2.507043 1.697947) + (xy -2.511505 1.663772) (xy -2.519548 1.64148) (xy -2.533103 1.624442) (xy -2.543845 1.614703) (xy -2.588777 1.589123) + (xy -2.637249 1.587847) (xy -2.677894 1.602999) (xy -2.712567 1.634642) (xy -2.733224 1.68662) (xy -2.739955 1.759219) + (xy -2.865672 1.759219) (xy -2.866479 1.716621) (xy -2.863948 1.658056) (xy -2.856362 1.614007) + (xy -2.842681 1.579248) (xy -2.821865 1.548551) (xy -2.814147 1.539436) (xy -2.765889 1.494021) + (xy -2.714128 1.467493) (xy -2.650828 1.456379) (xy -2.619961 1.455471) (xy -2.538261 1.465148) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "be089e53-c332-4f25-ab12-dcb08092ffa4") + ) + (fp_poly + (pts + (xy -0.201188 3.017822) (xy -0.270346 3.017822) (xy -0.310488 3.016645) (xy -0.331394 3.011772) + (xy -0.338922 3.001186) (xy -0.339505 2.994029) (xy -0.340774 2.979676) (xy -0.348779 2.976923) + (xy -0.369815 2.985771) (xy -0.386173 2.994029) (xy -0.448977 3.013597) (xy -0.517248 3.014729) + (xy -0.572752 3.000135) (xy -0.624438 2.964877) (xy -0.663838 2.912835) (xy -0.685413 2.85145) (xy -0.685962 2.848018) + (xy -0.689167 2.810571) (xy -0.690761 2.756813) (xy -0.690633 2.716155) (xy -0.553279 2.716155) + (xy -0.550097 2.770194) (xy -0.542859 2.814735) (xy -0.53306 2.839888) (xy -0.495989 2.87426) (xy -0.451974 2.886582) + (xy -0.406584 2.876618) (xy -0.367797 2.846895) (xy -0.353108 2.826905) (xy -0.344519 2.80305) (xy -0.340496 2.76823) + (xy -0.339505 2.71593) (xy -0.341278 2.664139) (xy -0.345963 2.618634) (xy -0.352603 2.588181) (xy -0.35371 2.585452) + (xy -0.380491 2.553) (xy -0.419579 2.535183) (xy -0.463315 2.532306) (xy -0.504038 2.544674) (xy -0.534087 2.572593) + (xy -0.537204 2.578148) (xy -0.546961 2.612022) (xy -0.552277 2.660728) (xy -0.553279 2.716155) + (xy -0.690633 2.716155) (xy -0.690568 2.69554) (xy -0.689664 2.662563) (xy -0.683514 2.580981) (xy -0.670733 2.51973) + (xy -0.649471 2.474449) (xy -0.617878 2.440779) (xy -0.587207 2.421014) (xy -0.544354 2.40712) (xy -0.491056 2.402354) + (xy -0.43648 2.406236) (xy -0.389792 2.418282) (xy -0.365124 2.432693) (xy -0.339505 2.455878) (xy -0.339505 2.162773) + (xy -0.201188 2.162773) (xy -0.201188 3.017822) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "e2061008-05ce-4605-8746-7296dc3217cb") + ) + (fp_poly + (pts + (xy 2.677898 1.456457) (xy 2.710096 1.464279) (xy 2.771825 1.492921) (xy 2.82461 1.536667) (xy 2.861141 1.589117) + (xy 2.86616 1.600893) (xy 2.873045 1.63174) (xy 2.877864 1.677371) (xy 2.879505 1.723492) (xy 2.879505 1.810693) + (xy 2.697178 1.810693) (xy 2.621979 1.810978) (xy 2.569003 1.812704) (xy 2.535325 1.817181) (xy 2.51802 1.82572) + (xy 2.514163 1.83963) (xy 2.520829 1.860222) (xy 2.53277 1.884315) (xy 2.56608 1.924525) (xy 2.612368 1.944558) + (xy 2.668944 1.943905) (xy 2.733031 1.922101) (xy 2.788417 1.895193) (xy 2.834375 1.931532) (xy 2.880333 1.967872) + (xy 2.837096 2.007819) (xy 2.779374 2.045563) (xy 2.708386 2.06832) (xy 2.632029 2.074688) (xy 2.558199 2.063268) + (xy 2.546287 2.059393) (xy 2.481399 2.025506) (xy 2.43313 1.974986) (xy 2.400465 1.906325) (xy 2.382385 1.818014) + (xy 2.382175 1.816121) (xy 2.380556 1.719878) (xy 2.3871 1.685542) (xy 2.514852 1.685542) (xy 2.526584 1.690822) + (xy 2.558438 1.694867) (xy 2.605397 1.697176) (xy 2.635154 1.697525) (xy 2.690648 1.697306) (xy 2.725346 1.695916) + (xy 2.743601 1.692251) (xy 2.749766 1.68521) (xy 2.748195 1.67369) (xy 2.746878 1.669233) (xy 2.724382 1.627355) + (xy 2.689003 1.593604) (xy 2.65778 1.578773) (xy 2.616301 1.579668) (xy 2.574269 1.598164) (xy 2.539012 1.628786) + (xy 2.517854 1.666062) (xy 2.514852 1.685542) (xy 2.3871 1.685542) (xy 2.39669 1.635229) (xy 2.428698 1.564191) + (xy 2.474701 1.508779) (xy 2.532821 1.471009) (xy 2.60118 1.452896) (xy 2.677898 1.456457) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "bfd1315d-884b-422b-8e29-1d189d5b1f22") + ) + (fp_poly + (pts + (xy 0.014017 1.456452) (xy 0.061634 1.465482) (xy 0.111034 1.48437) (xy 0.116312 1.486777) (xy 0.153774 1.506476) + (xy 0.179717 1.524781) (xy 0.188103 1.536508) (xy 0.180117 1.555632) (xy 0.16072 1.58385) (xy 0.15211 1.594384) + (xy 0.116628 1.635847) (xy 0.070885 1.608858) (xy 0.02735 1.590878) (xy -0.02295 1.581267) (xy -0.071188 1.58066) + (xy -0.108533 1.589691) (xy -0.117495 1.595327) (xy -0.134563 1.621171) (xy -0.136637 1.650941) + (xy -0.123866 1.674197) (xy -0.116312 1.678708) (xy -0.093675 1.684309) (xy -0.053885 1.690892) + (xy -0.004834 1.697183) (xy 0.004215 1.69817) (xy 0.082996 1.711798) (xy 0.140136 1.734946) (xy 0.17803 1.769752) + (xy 0.199079 1.818354) (xy 0.205635 1.877718) (xy 0.196577 1.945198) (xy 0.167164 1.998188) (xy 0.117278 2.036783) + (xy 0.0468 2.061081) (xy -0.031435 2.070667) (xy -0.095234 2.070552) (xy -0.146984 2.061845) (xy -0.182327 2.049825) + (xy -0.226983 2.02888) (xy -0.268253 2.004574) (xy -0.282921 1.993876) (xy -0.320643 1.963084) (xy -0.275148 1.917049) + (xy -0.229653 1.871013) (xy -0.177928 1.905243) (xy -0.126048 1.930952) (xy -0.070649 1.944399) + (xy -0.017395 1.945818) (xy 0.028049 1.935443) (xy 0.060016 1.913507) (xy 0.070338 1.894998) (xy 0.068789 1.865314) + (xy 0.04314 1.842615) (xy -0.00654 1.82694) (xy -0.060969 1.819695) (xy -0.144736 1.805873) (xy -0.206967 1.779796) + (xy -0.248493 1.740699) (xy -0.270147 1.68782) (xy -0.273147 1.625126) (xy -0.258329 1.559642) (xy -0.224546 1.510144) + (xy -0.171495 1.476408) (xy -0.098874 1.458207) (xy -0.045072 1.454639) (xy 0.014017 1.456452) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3982dc97-d605-49f2-b59f-b34ff3b15a06") + ) + (fp_poly + (pts + (xy 2.032581 2.40497) (xy 2.092685 2.420597) (xy 2.143021 2.452848) (xy 2.167393 2.47694) (xy 2.207345 2.533895) + (xy 2.230242 2.599965) (xy 2.238108 2.681182) (xy 2.238148 2.687748) (xy 2.238218 2.753763) (xy 1.858264 2.753763) + (xy 1.866363 2.788342) (xy 1.880987 2.819659) (xy 1.906581 2.852291) (xy 1.911935 2.8575) (xy 1.957943 2.885694) + (xy 2.01041 2.890475) (xy 2.070803 2.871926) (xy 2.08104 2.866931) (xy 2.112439 2.851745) (xy 2.13347 2.843094) + (xy 2.137139 2.842293) (xy 2.149948 2.850063) (xy 2.174378 2.869072) (xy 2.186779 2.87946) (xy 2.212476 2.903321) + (xy 2.220915 2.919077) (xy 2.215058 2.933571) (xy 2.211928 2.937534) (xy 2.190725 2.954879) (xy 2.155738 2.975959) + (xy 2.131337 2.988265) (xy 2.062072 3.009946) (xy 1.985388 3.016971) (xy 1.912765 3.008647) (xy 1.892426 3.002686) + (xy 1.829476 2.968952) (xy 1.782815 2.917045) (xy 1.752173 2.846459) (xy 1.737282 2.756692) (xy 1.735647 2.709753) + (xy 1.740421 2.641413) (xy 1.86099 2.641413) (xy 1.872652 2.646465) (xy 1.903998 2.650429) (xy 1.949571 2.652768) + (xy 1.980446 2.653169) (xy 2.035981 2.652783) (xy 2.071033 2.650975) (xy 2.090262 2.646773) (xy 2.09833 2.639203) + (xy 2.099901 2.628218) (xy 2.089121 2.594381) (xy 2.06198 2.56094) (xy 2.026277 2.535272) (xy 1.99056 2.524772) + (xy 1.942048 2.534086) (xy 1.900053 2.561013) (xy 1.870936 2.599827) (xy 1.86099 2.641413) (xy 1.740421 2.641413) + (xy 1.742599 2.610236) (xy 1.764055 2.530949) (xy 1.80047 2.471263) (xy 1.852297 2.430549) (xy 1.91999 2.408179) + (xy 1.956662 2.403871) (xy 2.032581 2.40497) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "5fb9f82f-5db0-4053-bc2c-4d2b1859a56e") + ) + (fp_poly + (pts + (xy -1.356699 1.472614) (xy -1.344168 1.478514) (xy -1.300799 1.510283) (xy -1.25979 1.556646) (xy -1.229168 1.607696) + (xy -1.220459 1.631166) (xy -1.212512 1.673091) (xy -1.207774 1.723757) (xy -1.207199 1.744679) + (xy -1.207129 1.810693) (xy -1.587083 1.810693) (xy -1.578983 1.845273) (xy -1.559104 1.88617) (xy -1.524347 1.921514) + (xy -1.482998 1.944282) (xy -1.456649 1.94901) (xy -1.420916 1.943273) (xy -1.378282 1.928882) (xy -1.363799 1.922262) + (xy -1.31024 1.895513) (xy -1.264533 1.930376) (xy -1.238158 1.953955) (xy -1.224124 1.973417) (xy -1.223414 1.979129) + (xy -1.235951 1.992973) (xy -1.263428 2.014012) (xy -1.288366 2.030425) (xy -1.355664 2.05993) (xy -1.43111 2.073284) + (xy -1.505888 2.069812) (xy -1.565495 2.051663) (xy -1.626941 2.012784) (xy -1.670608 1.961595) + (xy -1.697926 1.895367) (xy -1.710322 1.811371) (xy -1.711421 1.772936) (xy -1.707022 1.684861) + (xy -1.706482 1.682299) (xy -1.580582 1.682299) (xy -1.577115 1.690558) (xy -1.562863 1.695113) + (xy -1.53347 1.697065) (xy -1.484575 1.697517) (xy -1.465748 1.697525) (xy -1.408467 1.696843) (xy -1.372141 1.694364) + (xy -1.352604 1.689443) (xy -1.34569 1.681434) (xy -1.345445 1.678862) (xy -1.353336 1.658423) (xy -1.373085 1.629789) + (xy -1.381575 1.619763) (xy -1.413094 1.591408) (xy -1.445949 1.580259) (xy -1.463651 1.579327) + (xy -1.511539 1.590981) (xy -1.551699 1.622285) (xy -1.577173 1.667752) (xy -1.577625 1.669233) + (xy -1.580582 1.682299) (xy -1.706482 1.682299) (xy -1.692392 1.61551) (xy -1.666038 1.560025) (xy -1.633807 1.520639) + (xy -1.574217 1.477931) (xy -1.504168 1.455109) (xy -1.429661 1.453046) (xy -1.356699 1.472614) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "2c646cf4-9c81-4193-9454-29dd234c91cb") + ) + (fp_poly + (pts + (xy 1.038411 2.405417) (xy 1.091411 2.41829) (xy 1.106731 2.42511) (xy 1.136428 2.442974) (xy 1.15922 2.463093) + (xy 1.176083 2.488962) (xy 1.187998 2.524073) (xy 1.195942 2.57192) (xy 1.200894 2.635996) (xy 1.203831 2.719794) + (xy 1.204947 2.775768) (xy 1.209052 3.017822) (xy 1.138932 3.017822) (xy 1.096393 3.016038) (xy 1.074476 3.009942) + (xy 1.068812 2.999706) (xy 1.065821 2.988637) (xy 1.052451 2.990754) (xy 1.034233 2.999629) (xy 0.988624 3.013233) + (xy 0.930007 3.016899) (xy 0.868354 3.010903) (xy 0.813638 2.995521) (xy 0.80873 2.993386) (xy 0.758723 2.958255) + (xy 0.725756 2.909419) (xy 0.710587 2.852333) (xy 0.711746 2.831824) (xy 0.835508 2.831824) (xy 0.846413 2.859425) + (xy 0.878745 2.879204) (xy 0.93091 2.889819) (xy 0.958787 2.891228) (xy 1.005247 2.88762) (xy 1.036129 2.873597) + (xy 1.043664 2.866931) (xy 1.064076 2.830666) (xy 1.068812 2.797773) (xy 1.068812 2.753763) (xy 1.007513 2.753763) + (xy 0.936256 2.757395) (xy 0.886276 2.768818) (xy 0.854696 2.788824) (xy 0.847626 2.797743) (xy 0.835508 2.831824) + (xy 0.711746 2.831824) (xy 0.713971 2.792456) (xy 0.736663 2.735244) (xy 0.767624 2.69658) (xy 0.786376 2.679864) + (xy 0.804733 2.668878) (xy 0.828619 2.66218) (xy 0.863957 2.658326) (xy 0.916669 2.655873) (xy 0.937577 2.655168) + (xy 1.068812 2.650879) (xy 1.06862 2.611158) (xy 1.063537 2.569405) (xy 1.045162 2.544158) (xy 1.008039 2.52803) + (xy 1.007043 2.527742) (xy 0.95441 2.5214) (xy 0.902906 2.529684) (xy 0.86463 2.549827) (xy 0.849272 2.559773) + (xy 0.83273 2.558397) (xy 0.807275 2.543987) (xy 0.792328 2.533817) (xy 0.763091 2.512088) (xy 0.74498 2.4958) + (xy 0.742074 2.491137) (xy 0.75404 2.467005) (xy 0.789396 2.438185) (xy 0.804753 2.428461) (xy 0.848901 2.411714) + (xy 0.908398 2.402227) (xy 0.974487 2.400095) (xy 1.038411 2.405417) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "3b235a47-db30-4dbc-9ad2-a6363d98b2be") + ) + (fp_poly + (pts + (xy -1.38421 2.406555) (xy -1.325055 2.422339) (xy -1.280023 2.450948) (xy -1.248246 2.488419) (xy -1.238366 2.504411) + (xy -1.231073 2.521163) (xy -1.225974 2.542592) (xy -1.222679 2.572616) (xy -1.220797 2.615154) + (xy -1.219937 2.674122) (xy -1.219707 2.75344) (xy -1.219703 2.774484) (xy -1.219703 3.017822) (xy -1.280059 3.017822) + (xy -1.318557 3.015126) (xy -1.347023 3.008295) (xy -1.354155 3.004083) (xy -1.373652 2.996813) + (xy -1.393566 3.004083) (xy -1.426353 3.01316) (xy -1.473978 3.016813) (xy -1.526764 3.015228) (xy -1.575036 3.008589) + (xy -1.603218 3.000072) (xy -1.657753 2.965063) (xy -1.691835 2.916479) (xy -1.707157 2.851882) + (xy -1.707299 2.850223) (xy -1.705955 2.821566) (xy -1.584356 2.821566) (xy -1.573726 2.854161) + (xy -1.55641 2.872505) (xy -1.521652 2.886379) (xy -1.475773 2.891917) (xy -1.428988 2.889191) (xy -1.391514 2.878274) + (xy -1.381015 2.871269) (xy -1.362668 2.838904) (xy -1.35802 2.802111) (xy -1.35802 2.753763) (xy -1.427582 2.753763) + (xy -1.493667 2.75885) (xy -1.543764 2.773263) (xy -1.574929 2.795729) (xy -1.584356 2.821566) (xy -1.705955 2.821566) + (xy -1.703987 2.779647) (xy -1.68071 2.723845) (xy -1.636948 2.681647) (xy -1.630899 2.677808) (xy -1.604907 2.665309) + (xy -1.572735 2.65774) (xy -1.52776 2.654061) (xy -1.474331 2.653216) (xy -1.35802 2.653169) (xy -1.35802 2.604411) + (xy -1.362953 2.566581) (xy -1.375543 2.541236) (xy -1.377017 2.539887) (xy -1.405034 2.5288) (xy -1.447326 2.524503) + (xy -1.494064 2.526615) (xy -1.535418 2.534756) (xy -1.559957 2.546965) (xy -1.573253 2.556746) + (xy -1.587294 2.558613) (xy -1.606671 2.5506) (xy -1.635976 2.530739) (xy -1.679803 2.497063) (xy -1.683825 2.493909) + (xy -1.681764 2.482236) (xy -1.664568 2.462822) (xy -1.638433 2.441248) (xy -1.609552 2.423096) + (xy -1.600478 2.418809) (xy -1.56738 2.410256) (xy -1.51888 2.404155) (xy -1.464695 2.401708) (xy -1.462161 2.401703) + (xy -1.38421 2.406555) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "2ed621fd-c9fa-4165-9bff-57fb7c36b85c") + ) + (fp_poly + (pts + (xy -1.908759 1.469184) (xy -1.882247 1.482282) (xy -1.849553 1.505106) (xy -1.825725 1.529996) + (xy -1.809406 1.561249) (xy -1.79924 1.603166) (xy -1.793872 1.660044) (xy -1.791944 1.736184) (xy -1.791831 1.768917) + (xy -1.792161 1.840656) (xy -1.793527 1.891927) (xy -1.7965 1.927404) (xy -1.801649 1.951763) (xy -1.809543 1.96968) + (xy -1.817757 1.981902) (xy -1.870187 2.033905) (xy -1.93193 2.065184) (xy -1.998536 2.074592) (xy -2.065558 2.06098) + (xy -2.086792 2.051354) (xy -2.137624 2.024859) (xy -2.137624 2.440052) (xy -2.100525 2.420868) + (xy -2.051643 2.406025) (xy -1.991561 2.402222) (xy -1.931564 2.409243) (xy -1.886256 2.425013) + (xy -1.848675 2.455047) (xy -1.816564 2.498024) (xy -1.81415 2.502436) (xy -1.803967 2.523221) (xy -1.79653 2.54417) + (xy -1.791411 2.569548) (xy -1.788181 2.603618) (xy -1.786413 2.650641) (xy -1.785677 2.714882) + (xy -1.785544 2.787176) (xy -1.785544 3.017822) (xy -1.923861 3.017822) (xy -1.923861 2.592533) + (xy -1.962549 2.559979) (xy -2.002738 2.53394) (xy -2.040797 2.529205) (xy -2.079066 2.541389) (xy -2.099462 2.55332) + (xy -2.114642 2.570313) (xy -2.125438 2.595995) (xy -2.132683 2.633991) (xy -2.137208 2.687926) + (xy -2.139844 2.761425) (xy -2.140772 2.810347) (xy -2.143911 3.011535) (xy -2.209926 3.015336) + (xy -2.27594 3.019136) (xy -2.27594 1.77065) (xy -2.137624 1.77065) (xy -2.134097 1.840254) (xy -2.122215 1.888569) + (xy -2.10002 1.918631) (xy -2.065559 1.933471) (xy -2.030742 1.936436) (xy -1.991329 1.933028) (xy -1.965171 1.919617) + (xy -1.948814 1.901896) (xy -1.935937 1.882835) (xy -1.928272 1.861601) (xy -1.924861 1.831849) + (xy -1.924749 1.787236) (xy -1.925897 1.74988) (xy -1.928532 1.693604) (xy -1.932456 1.656658) (xy -1.939063 1.633223) + (xy -1.949749 1.61748) (xy -1.959833 1.60838) (xy -2.00197 1.588537) (xy -2.05184 1.585332) (xy -2.080476 1.592168) + (xy -2.108828 1.616464) (xy -2.127609 1.663728) (xy -2.136712 1.733624) (xy -2.137624 1.77065) (xy -2.27594 1.77065) + (xy -2.27594 1.458614) (xy -2.206782 1.458614) (xy -2.16526 1.460256) (xy -2.143838 1.466087) (xy -2.137626 1.477461) + (xy -2.137624 1.477798) (xy -2.134742 1.488938) (xy -2.12203 1.487673) (xy -2.096757 1.475433) (xy -2.037869 1.456707) + (xy -1.971615 1.454739) (xy -1.908759 1.469184) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "e1ba41a7-c572-47d4-a841-9d21d535f731") + ) + (fp_poly + (pts + (xy 0.376964 -2.709982) (xy 0.433812 -2.40843) (xy 0.853338 -2.235488) (xy 1.104984 -2.406605) (xy 1.175458 -2.45425) + (xy 1.239163 -2.49679) (xy 1.293126 -2.532285) (xy 1.334373 -2.55879) (xy 1.359934 -2.574364) (xy 1.366895 -2.577722) + (xy 1.379435 -2.569086) (xy 1.406231 -2.545208) (xy 1.44428 -2.509141) (xy 1.490579 -2.463933) (xy 1.542123 -2.412636) + (xy 1.595909 -2.358299) (xy 1.648935 -2.303972) (xy 1.698195 -2.252705) (xy 1.740687 -2.207549) + (xy 1.773407 -2.171554) (xy 1.793351 -2.14777) (xy 1.798119 -2.13981) (xy 1.791257 -2.125135) (xy 1.77202 -2.092986) + (xy 1.74243 -2.046508) (xy 1.70451 -1.988844) (xy 1.660282 -1.92314) (xy 1.634654 -1.885664) (xy 1.587941 -1.817232) + (xy 1.546432 -1.75548) (xy 1.51214 -1.703481) (xy 1.48708 -1.664308) (xy 1.473264 -1.641035) (xy 1.471188 -1.636145) + (xy 1.475895 -1.622245) (xy 1.488723 -1.58985) (xy 1.507738 -1.543515) (xy 1.531003 -1.487794) (xy 1.556584 -1.427242) + (xy 1.582545 -1.366414) (xy 1.60695 -1.309864) (xy 1.627863 -1.262148) (xy 1.643349 -1.227819) (xy 1.651472 -1.211432) + (xy 1.651952 -1.210788) (xy 1.664707 -1.207659) (xy 1.698677 -1.200679) (xy 1.75034 -1.190533) (xy 1.816176 -1.177908) + (xy 1.892664 -1.163491) (xy 1.93729 -1.155177) (xy 2.019021 -1.139616) (xy 2.092843 -1.124808) (xy 2.155021 -1.111564) + (xy 2.201822 -1.100695) (xy 2.229509 -1.093011) (xy 2.235074 -1.090573) (xy 2.240526 -1.07407) (xy 2.244924 -1.0368) + (xy 2.248272 -0.98312) (xy 2.250574 -0.917388) (xy 2.251832 -0.843963) (xy 2.252048 -0.767204) (xy 2.251227 -0.691468) + (xy 2.249371 -0.621114) (xy 2.246482 -0.5605) (xy 2.242565 -0.513984) (xy 2.237622 -0.485925) (xy 2.234657 -0.480084) + (xy 2.216934 -0.473083) (xy 2.179381 -0.463073) (xy 2.126964 -0.451231) (xy 2.064652 -0.438733) + (xy 2.0429 -0.43469) (xy 1.938024 -0.41548) (xy 1.85518 -0.400009) (xy 1.79163 -0.387663) (xy 1.744637 -0.377827) + (xy 1.711463 -0.369886) (xy 1.689371 -0.363224) (xy 1.675624 -0.357227) (xy 1.667484 -0.351281) + (xy 1.666345 -0.350106) (xy 1.654977 -0.331174) (xy 1.637635 -0.294331) (xy 1.61605 -0.244087) (xy 1.591954 -0.184954) + (xy 1.567079 -0.121444) (xy 1.543157 -0.058068) (xy 1.521919 0.000662) (xy 1.505097 0.050235) (xy 1.494422 0.086139) + (xy 1.491627 0.103862) (xy 1.49186 0.104483) (xy 1.501331 0.11897) (xy 1.522818 0.150844) (xy 1.554063 0.196789) + (xy 1.592807 0.253485) (xy 1.636793 0.317617) (xy 1.649319 0.335842) (xy 1.693984 0.401914) (xy 1.733288 0.4622) + (xy 1.765088 0.513235) (xy 1.787245 0.55156) (xy 1.797617 0.573711) (xy 1.798119 0.576432) (xy 1.789405 0.590736) + (xy 1.765325 0.619072) (xy 1.728976 0.658396) (xy 1.683453 0.705661) (xy 1.631852 0.757823) (xy 1.577267 0.811835) + (xy 1.522794 0.864653) (xy 1.471529 0.913231) (xy 1.426567 0.954523) (xy 1.391004 0.985485) (xy 1.367935 1.00307) + (xy 1.361554 1.005941) (xy 1.346699 0.999178) (xy 1.316286 0.980939) (xy 1.275268 0.954297) (xy 1.243709 0.932852) + (xy 1.186525 0.893503) (xy 1.118806 0.847171) (xy 1.05088 0.800913) (xy 1.014361 0.776155) (xy 0.890752 0.692547) + (xy 0.786991 0.74865) (xy 0.73972 0.773228) (xy 0.699523 0.792331) (xy 0.672326 0.803227) (xy 0.665402 0.804743) + (xy 0.657077 0.793549) (xy 0.640654 0.761917) (xy 0.617357 0.712765) (xy 0.588414 0.64901) (xy 0.55505 0.573571) + (xy 0.518491 0.489364) (xy 0.479964 0.399308) (xy 0.440694 0.306321) (xy 0.401908 0.21332) (xy 0.36483 0.123223) + (xy 0.330689 0.038948) (xy 0.300708 -0.036587) (xy 0.276116 -0.100466) (xy 0.258136 -0.149769) (xy 0.247997 -0.181579) + (xy 0.246366 -0.192504) (xy 0.259291 -0.206439) (xy 0.287589 -0.22906) (xy 0.325346 -0.255667) (xy 0.328515 -0.257772) + (xy 0.4261 -0.335886) (xy 0.504786 -0.427018) (xy 0.563891 -0.528255) (xy 0.602732 -0.636682) (xy 0.620628 -0.749386) + (xy 0.616897 -0.863452) (xy 0.590857 -0.975966) (xy 0.541825 -1.084015) (xy 0.5274 -1.107655) (xy 0.452369 -1.203113) + (xy 0.36373 -1.279768) (xy 0.264549 -1.33722) (xy 0.157895 -1.375071) (xy 0.046836 -1.392922) (xy -0.065561 -1.390375) + (xy -0.176227 -1.36703) (xy -0.282094 -1.32249) (xy -0.380095 -1.256355) (xy -0.41041 -1.229513) + (xy -0.487562 -1.145488) (xy -0.543782 -1.057034) (xy -0.582347 -0.957885) (xy -0.603826 -0.859697) + (xy -0.609128 -0.749303) (xy -0.591448 -0.63836) (xy -0.552581 -0.530619) (xy -0.494323 -0.429831) + (xy -0.418469 -0.339744) (xy -0.326817 -0.264108) (xy -0.314772 -0.256136) (xy -0.276611 -0.230026) + (xy -0.247601 -0.207405) (xy -0.233732 -0.192961) (xy -0.233531 -0.192504) (xy -0.236508 -0.176879) + (xy -0.248311 -0.141418) (xy -0.267714 -0.089038) (xy -0.293488 -0.022655) (xy -0.324409 0.054814) + (xy -0.359249 0.14045) (xy -0.396783 0.231337) (xy -0.435783 0.324559) (xy -0.475023 0.417197) (xy -0.513276 0.506335) + (xy -0.549317 0.589055) (xy -0.581917 0.662441) (xy -0.609852 0.723575) (xy -0.631895 0.769541) + (xy -0.646818 0.797421) (xy -0.652828 0.804743) (xy -0.671191 0.799041) (xy -0.705552 0.783749) + (xy -0.749984 0.761599) (xy -0.774417 0.74865) (xy -0.878178 0.692547) (xy -1.001787 0.776155) (xy -1.064886 0.818987) + (xy -1.13397 0.866122) (xy -1.198707 0.910503) (xy -1.231134 0.932852) (xy -1.276741 0.963477) (xy -1.31536 0.987747) + (xy -1.341952 1.002587) (xy -1.35059 1.005724) (xy -1.363161 0.997261) (xy -1.390984 0.973636) (xy -1.431361 0.937302) + (xy -1.481595 0.890711) (xy -1.538988 0.836317) (xy -1.575286 0.801392) (xy -1.63879 0.738996) (xy -1.693673 0.683188) + (xy -1.737714 0.636354) (xy -1.768695 0.600882) (xy -1.784398 0.579161) (xy -1.785905 0.574752) + (xy -1.778914 0.557985) (xy -1.759594 0.524082) (xy -1.730091 0.476476) (xy -1.692545 0.418599) + (xy -1.6491 0.353884) (xy -1.636745 0.335842) (xy -1.591727 0.270267) (xy -1.55134 0.211228) (xy -1.51784 0.162042) + (xy -1.493486 0.126028) (xy -1.480536 0.106502) (xy -1.479285 0.104483) (xy -1.481156 0.088922) + (xy -1.491087 0.054709) (xy -1.507347 0.006355) (xy -1.528205 -0.051629) (xy -1.551927 -0.11473) + (xy -1.576784 -0.178437) (xy -1.601042 -0.238239) (xy -1.622971 -0.289624) (xy -1.640838 -0.328081) + (xy -1.652913 -0.349098) (xy -1.653771 -0.350106) (xy -1.661154 -0.356112) (xy -1.673625 -0.362052) + (xy -1.69392 -0.36854) (xy -1.724778 -0.376191) (xy -1.768934 -0.38562) (xy -1.829126 -0.397441) + (xy -1.908093 -0.412271) (xy -2.00857 -0.430723) (xy -2.030325 -0.43469) (xy -2.094802 -0.447147) + (xy -2.151011 -0.459334) (xy -2.193987 -0.470074) (xy -2.21876 -0.478191) (xy -2.222082 -0.480084) + (xy -2.227556 -0.496862) (xy -2.232006 -0.534355) (xy -2.235428 -0.588206) (xy -2.237819 -0.654056) + (xy -2.239177 -0.727547) (xy -2.239499 -0.80432) (xy -2.238781 -0.880017) (xy -2.237021 -0.95028) + (xy -2.234216 -1.01075) (xy -2.230362 -1.05707) (xy -2.225457 -1.084881) (xy -2.2225 -1.090573) + (xy -2.206037 -1.096314) (xy -2.168551 -1.105655) (xy -2.113775 -1.117785) (xy -2.045445 -1.131893) + (xy -1.967294 -1.14717) (xy -1.924716 -1.155177) (xy -1.843929 -1.170279) (xy -1.771887 -1.18396) + (xy -1.712111 -1.195533) (xy -1.668121 -1.204313) (xy -1.643439 -1.209613) (xy -1.639377 -1.210788) + (xy -1.632511 -1.224035) (xy -1.617998 -1.255943) (xy -1.597771 -1.301953) (xy -1.573766 -1.357508) + (xy -1.547918 -1.418047) (xy -1.52216 -1.479014) (xy -1.498427 -1.535849) (xy -1.478654 -1.583994) + (xy -1.464776 -1.61889) (xy -1.458726 -1.635979) (xy -1.458614 -1.636726) (xy -1.465472 -1.650207) + (xy -1.484698 -1.68123) (xy -1.514272 -1.726711) (xy -1.552173 -1.783568) (xy -1.59638 -1.848717) + (xy -1.622079 -1.886138) (xy -1.668907 -1.954753) (xy -1.710499 -2.017048) (xy -1.744825 -2.069871) + (xy -1.769857 -2.110073) (xy -1.783565 -2.1345) (xy -1.785544 -2.139976) (xy -1.777034 -2.152722) + (xy -1.753507 -2.179937) (xy -1.717968 -2.218572) (xy -1.673423 -2.265577) (xy -1.622877 -2.317905) + (xy -1.569336 -2.372505) (xy -1.515805 -2.42633) (xy -1.465289 -2.47633) (xy -1.420794 -2.519457) + (xy -1.385325 -2.552661) (xy -1.361887 -2.572894) (xy -1.354046 -2.577722) (xy -1.34128 -2.570933) + (xy -1.310744 -2.551858) (xy -1.26541 -2.522439) (xy -1.208244 -2.484619) (xy -1.142216 -2.440339) + (xy -1.09241 -2.406605) (xy -0.840764 -2.235488) (xy -0.631001 -2.321959) (xy -0.421237 -2.40843) + (xy -0.364389 -2.709982) (xy -0.30754 -3.011534) (xy 0.320115 -3.011534) (xy 0.376964 -2.709982) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d5eac0df-edf2-4ca1-b0f6-a2bcc048e7c7") + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "6a9ccd6a-e8d3-4360-8427-8f7de8e9ae7b") + (at 183 98.65) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "6be3eb82-f5b7-4edb-8f1b-5da0f7ea77c3") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "3346da4a-abd8-4a6b-804a-47acf1668afa") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "90499f1e-43bf-4748-8f05-85904474b13c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "62930e1d-0801-4e08-acad-221f01adf572") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c0761bad-b685-4f7e-aea5-eb02f38da8ff") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "fb23ec66-173c-4267-b538-ad5a2855e817") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "3d5ee76d-f00c-423f-80e6-f2816a36cf96") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "56697804-a59c-498c-a6e8-0ab9b596279d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "F&B.Cu" "*.Mask") + (uuid "e0641e14-5f2d-4679-86dc-7e36ed4ecebf") + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "6f2dbf45-1453-4d43-8981-a0e71d42e2c7") + (at 183 133.75) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "cfc9406e-c0d1-4a1d-bf87-31163c15165d") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0.25 4.5 0) + (layer "F.Fab") + (uuid "ed3a38c4-a7fe-47ef-b852-b4cb46d68c27") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "90499f1e-43bf-4748-8f05-85904474b13c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "62930e1d-0801-4e08-acad-221f01adf572") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c0761bad-b685-4f7e-aea5-eb02f38da8ff") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "95329b6a-e6cd-4e81-b4b5-34b1de1768ef") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "ae0ff4c4-a0ec-4a5c-8c3a-7dccd566c843") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "9169d2a9-6a5c-4705-aa0e-c677f528833d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "F&B.Cu" "*.Mask") + (uuid "259f78f2-fade-4420-9d6f-6054e2928930") + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "72d17c7a-697d-4b71-b31f-f05678090ac9") + (at 211.25 106.5 90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R8" + (at 0.9 1.95 90) + (layer "F.SilkS") + (uuid "16feaaca-9240-49e8-b820-32235966df03") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "0" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "c4b58ecf-e4f2-469b-a8fe-3bae41af5bb5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d68f30a8-7639-4701-81da-f74a65619424") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "32df78ce-f7fc-4df9-bd92-4ca92d1e14bb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5bbaed30-f872-4550-8d6d-2ff3d84efe8a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/ae8dccd3-6b86-49bf-97f9-37495143e8a6") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9d9952b4-112f-4f06-926e-c90137d73f9d") + ) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "327a8046-f96f-4902-87fd-565bc510814e") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "039112a9-4177-4b8a-bcaf-4ad79312735f") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "003ba4ea-d0bf-41fe-819a-b8630afd5c08") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d7105f50-bfd0-4958-859b-6d14aaab1907") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "10d9f2d4-e7c6-4616-8e5f-abfda6375bce") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "89c92dab-f830-4258-b840-6aa7472364af") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c2c28cf0-bf62-40d8-a8eb-5d53ebb47681") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a9343678-679b-4e9d-b4c3-8cca150f7559") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "27ece08e-a8e4-4e6e-868c-d19116c96b48") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "9749120c-668e-4692-a484-02b5316d6546") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 32 "Net-(C2-Pad1)") + (pintype "passive") + (uuid "cd3dd452-c1b1-4023-800b-6aec013c0d6e") + ) + (pad "2" smd roundrect + (at 1 0 90) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 1 "/EN") + (pintype "passive") + (uuid "6509adbc-1772-43df-8d9d-5ed0eaea6e86") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-23-5" + (layer "F.Cu") + (uuid "7372e2a8-4627-4f63-8baf-1c861193f017") + (at 206 133.25) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Reference" "U2" + (at 0 2.75 0) + (layer "F.SilkS") + (uuid "f118a3e8-fb4a-4d88-8d5f-237642dfc967") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MAX40200AUK" + (at 0 2.4 0) + (layer "F.Fab") + (uuid "0c55d307-4c4f-4f98-b6b0-c9e0f3532db5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8c05d155-9a4f-4116-9e65-6d245d2b17d5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX40200.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b7126da1-e772-4c5f-8605-3d047970e066") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Ideal Diode, Ultra-Low Voltage Drop, 1.5-5.5V, 1A, SOT-23-5" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0e2c243f-efba-46e1-9a59-4fdd70dbc730") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "MAX40200AUK+T" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d07a93a7-d2f7-423b-847b-564cda9851c3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "SOT?23*") + (path "/d87e0efb-6e6d-4210-a090-4143bd9ef61b") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start 0 -1.56) + (end -0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fe394c5c-817e-47c2-8671-5daa9ecd9eef") + ) + (fp_line + (start 0 -1.56) + (end 0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3b4fa747-5289-4b37-8c23-54e78d142cb3") + ) + (fp_line + (start 0 1.56) + (end -0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2aee3953-a580-4783-849e-8f5dd83d6dde") + ) + (fp_line + (start 0 1.56) + (end 0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "faef20e6-6386-4884-b176-fe33a51241b2") + ) + (fp_poly + (pts + (xy -1.3 -1.51) (xy -1.54 -1.84) (xy -1.06 -1.84) (xy -1.3 -1.51) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "420ecd7c-8343-425d-90ba-5b158f7329e4") + ) + (fp_line + (start -2.05 -1.7) + (end -2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c92bb9fa-7d10-43c3-a322-542d8a680a95") + ) + (fp_line + (start -2.05 1.7) + (end 2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "141cda80-ff79-4dfb-887f-7f380e3c08b1") + ) + (fp_line + (start 2.05 -1.7) + (end -2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cddf3292-5152-4b8f-9de4-e23becdf3e23") + ) + (fp_line + (start 2.05 1.7) + (end 2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d55bddf7-273d-4136-953c-a3f03eaba87c") + ) + (fp_line + (start -0.8 -1.05) + (end -0.4 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "57f113db-fbf6-43bf-b250-8e15d4ac9938") + ) + (fp_line + (start -0.8 1.45) + (end -0.8 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "51558fd8-89c4-48d1-9e08-a648eec7ae73") + ) + (fp_line + (start -0.4 -1.45) + (end 0.8 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8a5f24b0-4348-4690-a595-a11b311e0585") + ) + (fp_line + (start 0.8 -1.45) + (end 0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "93ac7320-b338-4afd-bc84-4646524422f4") + ) + (fp_line + (start 0.8 1.45) + (end -0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0c229341-55b9-4b91-a585-a2cca26d2fbe") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "5ea2e415-c419-42e4-8b11-cd8e8cbcf7be") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -1.1375 -0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 7 "VBAT") + (pinfunction "VDD") + (pintype "power_in") + (uuid "434c4705-854e-4bcf-98d4-5810e9b26faf") + ) + (pad "2" smd roundrect + (at -1.1375 0) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "9fade37f-ae1a-43bf-95db-2c70bd17af84") + ) + (pad "3" smd roundrect + (at -1.1375 0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 33 "Net-(SW4-B)") + (pinfunction "EN") + (pintype "input") + (uuid "0d28c221-4cb1-463c-844f-31c52de3659c") + ) + (pad "4" smd roundrect + (at 1.1375 0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 29 "unconnected-(U2-NC-Pad4)") + (pinfunction "NC") + (pintype "no_connect") + (uuid "85129d5d-a12b-4b43-a1a5-89fdda6bd89e") + ) + (pad "5" smd roundrect + (at 1.1375 -0.95) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 6 "VDD") + (pinfunction "OUT") + (pintype "passive") + (uuid "6025aa5b-0481-4ac7-96ee-1d4d65917b07") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "7833745e-de37-4472-9253-4de437c51cc8") + (at 202.2 97.7 -90) + (descr "JST PH series connector, B2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "Reference" "SW2" + (at -0.65 -2.9 90) + (layer "F.SilkS") + (uuid "8b85709f-9d75-43f0-9b33-4b6478d68c8a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "START" + (at 5.35 0.5 180) + (layer "F.SilkS") + (uuid "f6b15e4c-e0e8-433a-a8b7-afbac3e7310e") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3beffd0d-7572-48b3-afc3-4ba7fee7c38b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fd2940a9-e8fe-4edb-b0d5-afc5e975940f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d9ec4c81-d2fe-4095-8bf6-c7b0053f8158") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bc2bc79f-2ef0-4c4b-a78b-8a7b41b7c672") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/e85857b2-8ef2-49d5-926d-de92ec2f29e2") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr through_hole) + (fp_line + (start -2.06 2.91) + (end 4.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2f276763-8ff0-4e42-8be8-f78dde45d670") + ) + (fp_line + (start 4.06 2.91) + (end 4.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4bedf388-d0cc-4a97-9a8b-e33f9279a967") + ) + (fp_line + (start -1.45 2.3) + (end 3.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8dbc6ed0-8a35-44c3-9405-a1027a647ffb") + ) + (fp_line + (start 0.9 2.3) + (end 0.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "99e683f3-7637-414c-b9e7-4e221bc6a5e4") + ) + (fp_line + (start 1 2.3) + (end 1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a4244ccc-a376-4512-9ccd-269b12d4aae3") + ) + (fp_line + (start 3.45 2.3) + (end 3.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b2508820-2adb-4e9f-851f-30df904d04bb") + ) + (fp_line + (start 0.9 1.8) + (end 1.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e3ecdf21-d87f-4fff-95d0-8fbba1a12a92") + ) + (fp_line + (start 1.1 1.8) + (end 1.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6ab01b00-5518-48e5-8d67-3eb87d1fd582") + ) + (fp_line + (start -2.06 0.8) + (end -1.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d7547d79-5d2d-4992-af78-354931c9c243") + ) + (fp_line + (start 4.06 0.8) + (end 3.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6ec123b0-2619-4f1f-b8b7-ac8eb87eb8d7") + ) + (fp_line + (start -2.06 -0.5) + (end -1.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "121f22a2-9a91-4162-b15b-15b658f855fb") + ) + (fp_line + (start 4.06 -0.5) + (end 3.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3087cd6f-1430-480e-86af-11c14c76cae6") + ) + (fp_line + (start -1.45 -1.2) + (end -1.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ab9e0645-b673-42d4-af01-05e2c2898517") + ) + (fp_line + (start 0.5 -1.2) + (end -1.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "369be1a7-db84-40f9-b3bf-a10d44e77df7") + ) + (fp_line + (start 1.5 -1.2) + (end 1.5 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "18e1a4b3-4f05-4d44-af68-9307fca309a8") + ) + (fp_line + (start 3.45 -1.2) + (end 1.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "654292f3-095f-41d8-a259-b6aa0a1f8d86") + ) + (fp_line + (start -2.06 -1.81) + (end -2.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f5a0e718-928e-4f68-a1a3-7da0cbb994ca") + ) + (fp_line + (start -0.3 -1.81) + (end -0.3 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "cd16bac7-45bb-4aff-a60c-02c95269ab22") + ) + (fp_line + (start 0.5 -1.81) + (end 0.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "66326a35-4a34-4b06-b5da-461090dd8c2e") + ) + (fp_line + (start 4.06 -1.81) + (end -2.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "912590b2-fb2a-4f6d-a11c-f9b9176c2ff9") + ) + (fp_line + (start -0.3 -1.91) + (end -0.6 -1.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "22df013c-809c-4d2a-859f-cf4596d33511") + ) + (fp_line + (start -0.6 -2.01) + (end -0.6 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "866793d3-28d5-4cd0-8365-f9f96944cc83") + ) + (fp_line + (start -0.3 -2.01) + (end -0.6 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "de4a0ef5-c9ea-4ac0-951d-ded15ff0c336") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "39d03246-4571-49f0-a7f3-0ce0b725af8a") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f4982a97-e242-49ef-a762-188514f3b745") + ) + (fp_line + (start -2.45 3.3) + (end 4.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "07d47947-10c0-41b0-a8a9-369fd451d66b") + ) + (fp_line + (start 4.45 3.3) + (end 4.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c49e0b37-21ac-4702-b631-5d824d8d100a") + ) + (fp_line + (start -2.45 -2.2) + (end -2.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c41fae58-b7e1-44de-9206-d774004fb6b0") + ) + (fp_line + (start 4.45 -2.2) + (end -2.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "12f0d7e8-3e9e-4357-9177-9d4e16b92e66") + ) + (fp_line + (start -1.95 2.8) + (end 3.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "74cdaa7f-3bd4-45ba-b346-ecba9dda52a4") + ) + (fp_line + (start 3.95 2.8) + (end 3.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7fd68ec8-bf8e-4164-8f56-2306ec49f618") + ) + (fp_line + (start -1.95 -1.7) + (end -1.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a162b104-5ad1-4cfb-a0b1-a24a5da7207e") + ) + (fp_line + (start 3.95 -1.7) + (end -1.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f3ca93c-2c9c-46b1-8bfc-00e5f8c67ba4") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8f8f0a7a-ac4e-403b-a764-a4893073ce4c") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "acfa58e3-1f5c-4d3e-bd21-cc15a353a9b5") + ) + (fp_text user "${REFERENCE}" + (at 1 1.5 90) + (layer "F.Fab") + (uuid "729fab50-1bcd-4868-b848-bdf333886077") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole roundrect + (at 0 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pinfunction "1") + (pintype "passive") + (uuid "8226194d-08bb-40c6-ae9c-51348132de8c") + ) + (pad "2" thru_hole oval + (at 2 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "/START") + (pinfunction "2") + (pintype "passive") + (uuid "ad1a42e1-bbaf-4601-ba73-c464e1bc47a5") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "7a5f0b59-f0a1-437e-8268-f77577748cf9") + (at 197.75 102.6 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R13" + (at -3.35 0 -90) + (layer "F.SilkS") + (uuid "4d828713-de13-4cf9-80d0-68d8396fb5ae") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7k" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "7f33e91d-4f67-4bba-bb5b-3b7c8984f86f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "71bd3af4-5dc2-451d-83b9-dd0b9f4f6d3c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5a917b4f-3f82-4f23-a8f2-f27b18b7aeb0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4f0d3a91-ae5a-4f68-a000-6d8898eff5ca") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/a966b45e-913b-42f0-ba5f-08b538c1ab2e") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fbeadd6f-c9a2-4336-b3a5-c3f36a94a1e6") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3ef9430c-0518-4f29-bae1-79ac2352c236") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9d4725cc-0630-4f4b-b7d5-062696ee8c1d") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "08eb2eec-4d85-4c28-9dc1-1928627d3c80") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c2cc73bc-86d5-46b0-b1de-3b0aa8157f03") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "04873b76-c525-4b18-a8eb-8094c715b012") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "16ff023b-6477-420a-90f4-1ad911535f04") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "852ce895-3fef-4d93-8a7e-aa7669e14cd6") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "35fb2b56-538f-409f-84a8-c2d5d0fdb8eb") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "74eeb3a8-7efe-4078-a3bf-2bc1bd51d59a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "e34927f9-3ed8-4dc9-b5c2-aae9f897a060") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "15a0d822-af26-4ed9-a2da-454327bc6dd8") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 21 "/SDA") + (pintype "passive") + (uuid "b92c86e8-3781-450d-9a8d-d8750ed0670b") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (layer "F.Cu") + (uuid "84872c4b-c656-4e52-a5a1-66ba79c48c4e") + (at 212.594548 132.7375 -90) + (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D2" + (at 3.2625 0 180) + (layer "F.SilkS") + (uuid "3bc995e4-bbbf-4106-b422-7dd25e861366") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "VBUS" + (at -3.4875 0 180) + (layer "F.SilkS") + (uuid "a6eab98c-f357-4ba0-a202-190869c09254") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b65d4063-1227-471b-a250-f60d1e9fb05c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a63c7940-9878-4e8c-9712-9c49cdced63b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "116bede2-4c57-474c-a756-ae748e0223eb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c5596cb6-174a-4dc3-b407-32ab9e787ec0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/b0ad350a-04e6-427c-97c9-54e750aa5604") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -2.46 1.135) + (end 1.6 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "37be39e4-d706-4292-b93e-fe5eb15c46eb") + ) + (fp_line + (start -2.46 -1.135) + (end -2.46 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "50db7bc9-bfce-4ef2-9ca1-92cd5f4a6409") + ) + (fp_line + (start 1.6 -1.135) + (end -2.46 -1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "83005bc2-58b4-4da6-9faf-c920f8522abb") + ) + (fp_line + (start -2.45 1.12) + (end -2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bfeaf5e6-75f7-469b-8964-068462aa3d28") + ) + (fp_line + (start 2.45 1.12) + (end -2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5417fcea-36ca-4475-8669-c5c0e922fd00") + ) + (fp_line + (start -2.45 -1.12) + (end 2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5097deae-f321-425d-92b7-06f8e08e85d7") + ) + (fp_line + (start 2.45 -1.12) + (end 2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8225a3c5-c813-4937-8f15-97c9c7aa0a98") + ) + (fp_line + (start -1.6 0.8) + (end 1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3cdd4797-d65e-4e10-933f-d7d491397714") + ) + (fp_line + (start 1.6 0.8) + (end 1.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "64434840-23b6-4734-99b2-73921c58c43c") + ) + (fp_line + (start -1.6 -0.4) + (end -1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1c4a6a39-ab7d-4708-b60b-718ad8e0d9b0") + ) + (fp_line + (start -1.2 -0.8) + (end -1.6 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "af18ed9d-9143-454b-b5cd-2f00b5345271") + ) + (fp_line + (start 1.6 -0.8) + (end -1.2 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7c0681ca-a919-4549-b95c-a4b856703463") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "3925f319-585d-4df2-b5be-62a8d445e31f") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4875 0 270) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 2 "GND") + (pinfunction "K") + (pintype "passive") + (uuid "ecc253d7-0f8f-4c34-8b5e-e891967dc327") + ) + (pad "2" smd roundrect + (at 1.4875 0 270) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 9 "Net-(D2-A)") + (pinfunction "A") + (pintype "passive") + (uuid "e3f76945-df56-4276-a60a-c1d37789ef9f") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "88cd1312-6c7e-4fb3-b160-3a884cdd3afc") + (at 209.5 133.25 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C6" + (at 2.75 0 180) + (layer "F.SilkS") + (uuid "4787355f-a5d0-4f75-8ad4-3e2edffd44dd") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10uF" + (at 0 1.68 -90) + (layer "F.Fab") + (uuid "1db4b330-c258-456b-814d-8e5eea3f0ced") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4b2d758e-e860-40a3-959e-5c4ed91666ac") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "945ba305-76e5-4b3c-bcc6-36c9cc12c899") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5acf7f7c-97ca-4cfe-acfb-86029ac3ccae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/f79d7719-728c-40ab-a7d4-3575bef4d058") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "46b3773a-3c86-4a32-ab00-6734d5f53227") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "98c1952d-8377-45b2-8f46-e4b683bc5fdc") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc7cc36f-ad51-4e3e-91c4-61b2abc5ec44") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d6d1bf73-2d3b-42e2-a6f7-8b889b681f30") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c69e35a5-8097-4329-ad6a-035e95b8d6e2") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "76bb1635-c941-473a-89d2-dae89e80472a") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4b4bd6ae-3bc7-40f8-8b40-e6a013912348") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "606789f7-132d-4888-9fcc-2c87e5e64816") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "24053ad1-48f8-460f-a27b-2d927817aef3") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3e311afb-1ff7-4c10-8f7e-5757e115fb30") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "457e49bf-3b7f-4791-beab-2339782acfe5") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 6 "VDD") + (pintype "passive") + (uuid "3c5ed990-2adc-4caf-bc9d-105035b364fa") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "d56ce35b-8313-4119-8785-92a92c85e697") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (layer "F.Cu") + (uuid "905da460-1996-44b1-a5fd-56f852050243") + (at 221.569548 133.375) + (descr "USB Micro-B receptacle, http://www.mouser.com/ds/2/445/629105150521-469306.pdf") + (tags "usb micro receptacle") + (property "Reference" "J2" + (at 0.930452 -4.125 0) + (layer "F.SilkS") + (uuid "0a17fb3b-ed80-44e6-bc7d-92d28f4b4e1e") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "USB_B_Micro" + (at 0 5.6 0) + (layer "F.Fab") + (uuid "94145d85-c549-41cf-8ac2-c175a61e8c3f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "618845a5-fcb4-4605-b507-33b1fc28af4f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.we-online.com/katalog/datasheet/629105150521.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c6584d11-eeae-4953-ba5e-ae5aa04efe4c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2d70d6cf-cc1f-4943-b53f-9a105eafcae3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "629105150521" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "581f0235-38bb-40d6-b0ff-9df2dee4f47e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/8ed77342-f3a7-4684-877d-dda9b88f12b7") + (attr smd) + (fp_line + (start -4.15 -0.65) + (end -4.15 0.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "839304a0-8f26-492c-a386-e9886792b8c9") + ) + (fp_line + (start -4.15 3.15) + (end -4.15 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "ab17b765-cedd-4074-9aed-42f12d2a6a7b") + ) + (fp_line + (start -4.15 3.3) + (end -3.85 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "db9fd420-ccde-4786-8598-bd68b23ffe35") + ) + (fp_line + (start -3.85 3.3) + (end -3.85 3.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "786bdf5b-6671-4068-b003-ed2e48c761a1") + ) + (fp_line + (start -1.8 -2.825) + (end -1.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "6bc9f671-e92d-48b8-bfcd-c1b6e54519ad") + ) + (fp_line + (start -1.8 -2.4) + (end -2.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "0e431094-3d6c-423c-9369-a6a91c2723cd") + ) + (fp_line + (start -1.075 -2.825) + (end -1.8 -2.825) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "5a3ccf3e-090d-48fc-9cad-eea4825e146c") + ) + (fp_line + (start 1.8 -2.4) + (end 2.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "4dc1f701-9cee-4e2d-8479-076a60dbd235") + ) + (fp_line + (start 3.85 3.3) + (end 4.15 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "8d2e0975-7fb9-4f80-b3f0-c6c00cbe7608") + ) + (fp_line + (start 3.85 3.75) + (end 3.85 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "bba6830d-e99c-4e29-aa12-7b6e1ee894c2") + ) + (fp_line + (start 4.15 0.75) + (end 4.15 -0.65) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "da600937-b38d-4633-85e5-8f90315e8023") + ) + (fp_line + (start 4.15 3.3) + (end 4.15 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "546135f8-f766-452f-ba1a-3f1c2fd09ef3") + ) + (fp_line + (start -4.94 -3.34) + (end -4.94 4.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "91f32860-8b07-47e6-9828-64ea5b16e49f") + ) + (fp_line + (start -4.94 4.85) + (end 4.95 4.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3040ca23-4304-4bbc-b9de-8a181a7ff583") + ) + (fp_line + (start 4.95 -3.34) + (end -4.94 -3.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "279da9e5-35a6-4fbe-a7ce-0f42e6b417cb") + ) + (fp_line + (start 4.95 4.85) + (end 4.95 -3.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b43da106-a5dc-4923-bff6-982701fb8fa1") + ) + (fp_line + (start -4 -2.25) + (end -4 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "7f6e7a86-d1bf-4326-b6e2-70fadf78bb53") + ) + (fp_line + (start -4 3.15) + (end -3.7 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "3a34e1e1-61dc-412b-b914-5bd2fe171480") + ) + (fp_line + (start -3.7 3.15) + (end -3.7 4.35) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "d1440121-b160-44fb-9aa6-fb1134204c67") + ) + (fp_line + (start -3.7 4.35) + (end 3.7 4.35) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "469067ef-22ca-451a-9d49-81ae0278bc2e") + ) + (fp_line + (start -2.7 3.75) + (end 2.7 3.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "51ef3501-6391-42f9-9de9-e3bdcc4dfe37") + ) + (fp_line + (start -1.525 -2.95) + (end -1.075 -2.95) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "0ae3d97b-6f67-4606-9abb-d01a2c5189ca") + ) + (fp_line + (start -1.525 -2.725) + (end -1.525 -2.95) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "8e2e13e7-0f64-4e7f-9d0b-94b239cb779d") + ) + (fp_line + (start -1.3 -2.55) + (end -1.525 -2.725) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "bee4a9f9-0d41-462f-b585-108853cb1beb") + ) + (fp_line + (start -1.075 -2.95) + (end -1.075 -2.725) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "16a23933-d0b2-4e58-825c-fd7bc67fa02e") + ) + (fp_line + (start -1.075 -2.725) + (end -1.3 -2.55) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "6f8bb45e-1c57-44ea-9b27-c37c630cd19e") + ) + (fp_line + (start 3.7 3.15) + (end 4 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "69a43e5e-426d-45fc-ae1a-42ca152c0698") + ) + (fp_line + (start 3.7 4.35) + (end 3.7 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "02e4ab28-f6a9-42f9-a802-c31e160b6099") + ) + (fp_line + (start 4 -2.25) + (end -4 -2.25) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "5f56f875-2261-4f55-8617-6eaf9cea498d") + ) + (fp_line + (start 4 3.15) + (end 4 -2.25) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "aca76622-eeab-4b3b-bb5f-f2240f16f715") + ) + (fp_text user "PCB Edge" + (at 0 3.75 0) + (layer "Dwgs.User") + (uuid "1e8e8b86-cfb5-4bbf-a6f2-d5573a8353e4") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.05 0) + (layer "F.Fab") + (uuid "02a6f77e-d160-4386-818a-21a671f605f8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole oval + (at -2.5 -0.8) + (size 0.8 0.8) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (uuid "633a1fd0-90ae-4176-96bc-3ac2ec7ccdb3") + ) + (pad "" np_thru_hole oval + (at 2.5 -0.8) + (size 0.8 0.8) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (uuid "8da04f44-3300-48c3-b646-966f8161dad3") + ) + (pad "1" smd rect + (at -1.3 -1.9) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "VBUS") + (pinfunction "VBUS") + (pintype "power_out") + (uuid "8dd7107e-23d0-4a74-ad50-8aedab5435a0") + ) + (pad "2" smd rect + (at -0.65 -1.9) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/USB_D-") + (pinfunction "D-") + (pintype "bidirectional") + (uuid "7efa7117-e46a-415d-ae03-7030f51c67fc") + ) + (pad "3" smd rect + (at 0 -1.9) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/USB_D+") + (pinfunction "D+") + (pintype "bidirectional") + (uuid "9947d645-564d-4401-b5b4-48315a9db0e7") + ) + (pad "4" smd rect + (at 0.65 -1.9) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "unconnected-(J2-ID-Pad4)") + (pinfunction "ID") + (pintype "passive+no_connect") + (uuid "875b344e-d66a-4569-bc65-8736c369842f") + ) + (pad "5" smd rect + (at 1.3 -1.9) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "GND") + (pinfunction "GND") + (pintype "power_out") + (uuid "e8826721-a7f7-4bcc-838f-a7e08a611ec5") + ) + (pad "6" thru_hole oval + (at -3.875 1.95) + (size 1.15 1.8) + (drill oval 0.55 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "8322e28f-efe8-4079-b203-4e0dbe662899") + ) + (pad "6" thru_hole oval + (at -3.725 -1.85) + (size 1.45 2) + (drill oval 0.85 1.4) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "044cf517-bc87-4d56-8412-f8d867029c5c") + ) + (pad "6" thru_hole oval + (at 3.725 -1.85) + (size 1.45 2) + (drill oval 0.85 1.4) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "2af35f33-4156-4ce9-852e-96fe22ee8089") + ) + (pad "6" thru_hole oval + (at 3.875 1.95) + (size 1.15 1.8) + (drill oval 0.55 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "162b3890-dc05-4533-aa18-59493bdf25df") + ) + (model "${KIPRJMOD}/../lib/USB_Micro_B_Wuerth_629105150521.stp" + (offset + (xyz 0 1 1) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "9a57d40b-eeb0-48be-8e6f-3599857d080d") + (at 230 112.75 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R10" + (at 0 -2 -90) + (layer "F.SilkS") + (uuid "999c6eb7-8085-4d1f-96f3-47fddec478de") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "0" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "1e684bf0-c43c-4857-963f-eb4392add477") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4c0805e0-51e0-42db-863b-8aa5881b1deb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0db6d4f6-401b-4deb-be00-ddf6788274ec") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8575029b-4450-499a-bf76-ad04908f6571") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Notes" "1%" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8627679f-83f0-40b0-82ee-d14624961c8f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/5054e324-368d-43c4-adf5-2815233ace9e") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fce59b1e-cc06-4b2d-8f7d-838166d08261") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "17655a85-a4ea-45d1-abbe-96348fbedc1c") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6caef922-094e-42cd-8986-6e50183028e2") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bf72db8f-fe2d-4b79-9422-7086a11a72ac") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0274cd1e-4524-4943-8320-7c76f482f5a4") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69b355c4-2b9e-4734-87ca-239f4a1d94bd") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3811d786-1856-4964-a83a-200f79e768e5") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aaf5cf7f-2595-4135-bfec-ba66ee7aefaa") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "65d47341-1ae8-43f2-a9dc-c3199352fc68") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aea58de6-b1f1-4e26-8327-e6279a1f5c82") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "73da4429-d929-4765-ab69-9d6fadc61ca6") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 30 "/D+") + (pintype "passive") + (uuid "dd5447e0-d9aa-43d7-862e-5e5b77d8c9c3") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 4 "/USB_D-") + (pintype "passive") + (uuid "0ee68e1e-99ba-4f67-adaa-d950b855a52f") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "9a6a18b9-c655-42ce-9b09-74ada2d0a886") + (at 190 118.25 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C12" + (at -3.5 0 90) + (layer "F.SilkS") + (uuid "5b100b50-2fce-4958-85e7-c7a79490a477") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "2.2uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "b3aa640b-4f4e-424e-af17-9b8c9a3882d4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4ddaa1fb-b782-4e75-a4dd-dfadd3c14fbe") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "15e1d40a-1e96-46d1-8bde-7e34dde55cec") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2477cf1a-9342-46be-afd2-4a9824f761dd") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/886e163a-3f53-4f84-b5d2-9a50cce6b0e0") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "68ecc5a8-1594-4138-a2eb-8720172265cf") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "31b552d6-767a-4acb-9a17-2c01526b58c9") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "520edb03-d134-4951-a0c4-a79b2831234b") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4c6bd614-259c-47ea-abe1-94db0959dabe") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8e47fab1-1559-4df1-91b9-bb5a9cbdcfca") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5fad3ca0-8ed9-4eb0-ad2d-28ae9147ff1b") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b96a6044-a671-49c8-948b-a6b21a01719c") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b6baa1ad-6129-44f4-8130-c6ec513e6803") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "11662da8-b145-45c0-ae36-133c6ad6db23") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "595608da-d649-4228-b71d-8efd197fea07") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "cea1094f-e789-48f4-a93c-ec8b96c79ccc") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "d0d5d407-020a-43d7-b0b8-2cef7b0905f5") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 3 "+3V3") + (pintype "passive") + (uuid "b8c5ef33-b500-4380-9a5e-e5ec5a62a6da") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-323" + (layer "F.Cu") + (uuid "9f97f3a2-0271-4757-9d2f-1575a00f274b") + (at 225.9 128.75 180) + (descr "SOD-323") + (tags "SOD-323") + (property "Reference" "D6" + (at -0.1 1.65 180) + (layer "F.SilkS") + (uuid "83d3f4ec-5dba-44ea-8c75-ae07ca03eab3") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0.1 1.9 180) + (layer "F.Fab") + (uuid "8436f194-7b03-4e0e-be7d-0c8618af4522") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2d1e62cf-630d-4f0d-8cd1-3afde1e3cd0f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8c1aba9e-b53c-4959-8c7d-07882dbe8533") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "257a84ed-853b-4806-86c9-fb52b75ef2f8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "SD05C-7" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "31d1b62c-dbf6-4ab3-8844-1a69a7bced1b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "D?SOD?323*") + (path "/aca15590-47b8-4ad3-a0e6-e8871b4acd10") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -1.61 0.85) + (end 1.05 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9f605078-9006-4739-83c0-c4e53cebc93e") + ) + (fp_line + (start -1.61 -0.85) + (end 1.05 -0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bc261751-df80-48ba-966d-789382520582") + ) + (fp_line + (start -1.61 -0.85) + (end -1.61 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "578d3f10-3250-48df-ae28-aa74cddbbe29") + ) + (fp_line + (start 1.6 -0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b14cf5b0-91dd-4d64-b126-be356f2e4d0e") + ) + (fp_line + (start -1.6 0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7b6c162a-a846-45c2-bd4a-226660fa0d8b") + ) + (fp_line + (start -1.6 -0.95) + (end 1.6 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "035acf71-19cd-460b-ab34-a0fa0673214d") + ) + (fp_line + (start -1.6 -0.95) + (end -1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cbe78bf9-ff98-4544-863a-7ee5b7cc0c15") + ) + (fp_line + (start 0.9 0.7) + (end -0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0d5a9e12-e839-48c8-b8c7-c3377f93027f") + ) + (fp_line + (start 0.9 -0.7) + (end 0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ddb2479b-328c-44cc-b89c-4f63a7dd383d") + ) + (fp_line + (start 0.2 0.35) + (end -0.3 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a6f4fc2a-6d9f-4a67-a581-e55cc9a628b6") + ) + (fp_line + (start 0.2 0) + (end 0.45 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7fd624c9-7525-49d0-ba24-cc7701335be2") + ) + (fp_line + (start 0.2 -0.35) + (end 0.2 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "de076ff2-07e7-4b17-bfc8-dca6a3beb08f") + ) + (fp_line + (start -0.3 0) + (end 0.2 -0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "40ddd3a7-db32-4c16-a382-0547aff64a9b") + ) + (fp_line + (start -0.3 0) + (end -0.5 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "558a5613-45d6-412b-aec4-813626bc28e1") + ) + (fp_line + (start -0.3 -0.35) + (end -0.3 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1b8fe6df-fcb6-4dc0-8310-143f7b195335") + ) + (fp_line + (start -0.9 0.7) + (end -0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "77b73ae7-0885-45d3-9fdc-47d28e16ac5c") + ) + (fp_line + (start -0.9 -0.7) + (end 0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f943562d-7db2-406c-8a61-7cf7348a0f8d") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.85 180) + (layer "F.Fab") + (uuid "8788c28c-ba49-43ea-988a-259fcaffd81a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.05 0 180) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "/USB_D+") + (pinfunction "A1") + (pintype "passive") + (uuid "88955864-cb57-4cb9-b151-cea763bfd038") + ) + (pad "2" smd roundrect + (at 1.05 0 180) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "A2") + (pintype "passive") + (uuid "23b919a7-dfc9-499c-b233-e1d7ec8f31b5") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "a34296b7-0b33-4046-8a19-ab3cc5a1dd35") + (at 191.25 113.75 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R3" + (at 0.75 -1.75 180) + (layer "F.SilkS") + (uuid "243df32f-1af4-4fe2-a5dd-029b9da50ebc") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10k" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "fc8d1172-7680-477d-9d87-cfdc7c972aac") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f9de28b7-f1fb-4d15-8030-8aea3b1852c9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "74963fcf-061a-4828-b921-e5929c8fc393") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a715f302-47e2-4924-b01e-ac7ca9fc2118") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/8ad9e4b3-a391-488f-9ff8-ea635fb8e3a3") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e1d5393b-9019-48d4-8f0b-718760247205") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7dde05ba-999d-4b44-8687-7edeb6ee0a19") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0433c31a-1b6e-4eea-b899-21d2dee8a54c") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c235bad7-2b5e-4515-aa51-ee34993f9aef") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b8be976c-54e5-4c09-80c5-4d0a0741e155") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9509e79b-9983-4199-bd9d-7e063302a833") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7ea6d58a-d056-4159-97c9-6a65ae220178") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f135bec0-2d53-45c0-9cf1-5b2a2ac90e0f") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3a4e79a4-b3ad-4ef1-9e27-df7a47dabf2e") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2da9d5b4-5481-40f0-bb35-010a57c3afa5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "ef64c08e-5f6a-4321-8cf2-95121b0c1e2d") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 16 "/IO2") + (pintype "passive") + (uuid "d1e416d4-f4ed-4d9e-be5d-bb1ac1ea55f0") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "371a4b99-87da-430d-a73c-c746c9e767f8") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "a9efba28-a931-4619-8c64-dc35a2f0a45d") + (at 215.094548 132.75 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R5" + (at 2.75 0 0) + (layer "F.SilkS") + (uuid "7ae6bef6-a8af-4a02-9064-f09adb5aac7a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "180" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "31c5adac-3a87-4e51-b02e-e6ae051b5577") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f990f438-0739-4b37-a0ca-e3747d36a8be") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6a3c2341-bdd5-4d60-baf6-8a61f7609bf9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "541f36b6-fc1a-4677-9fcd-6f84fccd1ae8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/6fdfcec0-aeb6-4051-982f-9cf77018dd4b") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2b294c6e-fb7a-4627-bd45-a648f34636f4") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c1a93b59-97e0-4300-9e5f-d143ae6fbb21") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cfb5e029-cff7-4fe7-aab5-6a7f73d7a033") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dd18de7c-5f55-4d74-a108-aab97d09852c") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "576618b6-9e85-42e2-a9fa-e7245a0f8520") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d03dd07b-bf91-4ab7-b3fc-d0aca48574fb") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7f951649-b892-4d94-93f6-d9415b7c08aa") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c71d7578-65ce-4026-8190-b480f2196b59") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e024a78c-a4b2-419b-948a-e2f81e9afbe1") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c7c77f4a-ef4b-4f1b-9e8a-7c684b7d166b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "93399483-0a5a-44e7-995f-4e6f64499f5c") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 12 "VBUS") + (pintype "passive") + (uuid "e6315951-c4db-4c4f-a6e3-027a6232c90e") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 9 "Net-(D2-A)") + (pintype "passive") + (uuid "a4649272-feca-491c-ae6e-b0e1cf60060e") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "b079779d-bc73-4fe1-b9d3-768fdd22a1a1") + (at 212.85 97.3375 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C4" + (at 3.1 -0.9 -90) + (layer "F.SilkS") + (uuid "7552d274-38e4-493a-b0aa-c2d2fcf87db4") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "0.1uF" + (at 0 1.68 -90) + (layer "F.Fab") + (uuid "18f9a9e0-d3d2-4865-97f4-38d27cb0374b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "95971c44-2292-4b72-b1ae-e0cc41d8f4a7") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e7d08b11-70af-4899-897f-d36dcde4bfda") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3fd469c0-063d-4536-a114-fa33b216bea6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/a524dbad-9986-4d76-9c94-352ebe22d0bb") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9fc4754e-7d01-42b1-b8bf-2d286869017b") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c66fa485-a578-4907-b188-083ef1c1b448") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "345844c3-bf32-4ee2-94fb-0fb227e0a3b4") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3474eca-f7ad-4064-a4dd-4631836c7aac") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1ec53fa1-6b3a-488b-935f-160b591bb580") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fe7abb67-ff0b-4d8c-965b-8c1059d2a7f3") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3159fc00-05b6-4f28-a0a4-8bc7ad340a1c") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8bf90b7a-054e-42ab-997c-2d6ae657de6a") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dace1ac3-c0ff-4c95-a666-49fb9007b7f2") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "00dcbe8b-c095-4a97-bdd0-25bfae5d3da4") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "c1c6c551-8bde-4e2d-923e-6b2d8cbd6f79") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 3 "+3V3") + (pintype "passive") + (uuid "a924b48b-0225-41a0-a780-9d5b543cfbdd") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "8985b0c6-c98e-40ed-b7bb-a44a2e5c0171") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:TO-252-3_TabPin2" + (layer "F.Cu") + (uuid "b39624f4-e0f1-47e8-8dd0-69c998bc314f") + (at 194.975 122.79 -90) + (descr "TO-252/DPAK SMD package, http://www.infineon.com/cms/en/product/packages/PG-TO252/PG-TO252-3-1/") + (tags "DPAK TO-252 DPAK-3 TO-252-3 SOT-428") + (property "Reference" "U4" + (at -7.04 2.225 0) + (layer "F.SilkS") + (uuid "47ee32ee-1c07-4d8b-9b92-bc6dbb5f1bcd") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "LD39150DT33" + (at 0 4.5 90) + (layer "F.Fab") + (uuid "bb72a64b-3b09-4218-80a5-37c901b32a2e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:TO-252-3_TabPin2" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "480a6a0c-0133-402c-8715-2ffe055cf34e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/ld39150.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "27984749-235c-4afc-ab78-dd8971bf1470") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "1.5A Ultra Low Dropout regulator, positive, 3.3V fixed output, TO-252" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "017b1b9c-4106-4143-a8d6-83acedf0c4d4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "LD39150DT33-R" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0ca9f74a-d183-497b-8ce5-5f5a7eb6aff3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO?252*TabPin2*") + (path "/3e6e0c17-305e-43f5-b517-6bc321d67606") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -3.31 3.45) + (end -3.31 3.18) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a48820a1-75f4-49e5-909d-92807527b45f") + ) + (fp_line + (start 3.11 3.45) + (end -3.31 3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "81f12e3d-9a2e-44ad-9d81-8f0a4026fb6e") + ) + (fp_line + (start -3.31 -3.45) + (end -3.31 -3.18) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "92d47829-2870-4bf7-95cf-f10c00e8d1f8") + ) + (fp_line + (start 3.11 -3.45) + (end -3.31 -3.45) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c47d705e-7775-4927-8bf9-3344f2f9cdca") + ) + (fp_poly + (pts + (xy -4.725 -3.16) (xy -4.965 -3.49) (xy -4.485 -3.49) (xy -4.725 -3.16) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "8434d3ef-b565-4693-bde2-0d86154d8d05") + ) + (fp_line + (start -6.39 3.5) + (end 4.71 3.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "900b87ba-ae7b-4e42-841e-e4a6c099ae25") + ) + (fp_line + (start 4.71 3.5) + (end 4.71 -3.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1261ef48-13fd-42d4-b042-bf85a8357077") + ) + (fp_line + (start -6.39 -3.5) + (end -6.39 3.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a8cdabef-b844-45ba-9c29-035d40d1407a") + ) + (fp_line + (start 4.71 -3.5) + (end -6.39 -3.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b7541617-0756-4785-aab4-fc7d69452c17") + ) + (fp_line + (start -3.11 3.25) + (end -3.11 -2.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "327f620e-8523-453a-a388-777131156deb") + ) + (fp_line + (start 3.11 3.25) + (end -3.11 3.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "398df772-1e05-43c6-a0b3-2de60ae2a865") + ) + (fp_line + (start 4.11 2.7) + (end 3.11 2.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "279aaa41-e015-4ead-a918-de0ff7c5e554") + ) + (fp_line + (start -5.81 2.655) + (end -3.11 2.655) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "89758780-6b26-469f-b1ed-2e3e9233d88c") + ) + (fp_line + (start -5.81 1.905) + (end -5.81 2.655) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2914fb61-744c-442c-975a-7fac3b24968a") + ) + (fp_line + (start -3.11 1.905) + (end -5.81 1.905) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "87d82d72-b0c4-49b4-9c85-4ec67776735e") + ) + (fp_line + (start -5.81 0.375) + (end -3.11 0.375) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1434e134-f5b2-41a3-aacc-ae64da3e51e8") + ) + (fp_line + (start -5.81 -0.375) + (end -5.81 0.375) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "234b2cde-c0e7-457d-86b3-5340833fb3e3") + ) + (fp_line + (start -3.11 -0.375) + (end -5.81 -0.375) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7c8e4f3c-9206-4a4a-b92c-f0efa8b25954") + ) + (fp_line + (start -5.81 -1.905) + (end -3.11 -1.905) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "356a3b48-a226-4679-9b66-11b2e1a1f348") + ) + (fp_line + (start -3.11 -2.25) + (end -2.11 -3.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1744ff9d-928b-4882-9b1c-4acc35a2a40e") + ) + (fp_line + (start -5.81 -2.655) + (end -5.81 -1.905) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a6c1fe9-92dd-4752-8ca2-e1c20d19291b") + ) + (fp_line + (start -2.705 -2.655) + (end -5.81 -2.655) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0b0a74bf-314a-481c-94ab-150fe6348564") + ) + (fp_line + (start 3.11 -2.7) + (end 4.11 -2.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c8ac0c5c-5ded-4241-829c-076fc33794c7") + ) + (fp_line + (start 4.11 -2.7) + (end 4.11 2.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a41976b0-79a6-4ac9-b5a1-63a99a1ce7b4") + ) + (fp_line + (start -2.11 -3.25) + (end 3.11 -3.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e03d9963-188d-43d9-84c4-11965e6c00ec") + ) + (fp_line + (start 3.11 -3.25) + (end 3.11 3.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9aadfa9e-f5f4-464f-8d31-3aa16b75fc36") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "666f6571-385e-4cae-b107-db1ae7a81ee0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -5.04 -2.28 270) + (size 2.2 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 6 "VDD") + (pinfunction "VI") + (pintype "power_in") + (uuid "326d77dd-0bb8-4281-a194-09b9064e5631") + ) + (pad "2" smd roundrect + (at -5.04 0 270) + (size 2.2 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "6005095b-cc10-433c-a65a-5231571749a6") + ) + (pad "2" smd roundrect + (at -0.415 -1.525 270) + (size 3.05 2.75) + (layers "F.Cu" "F.Paste") + (roundrect_rratio 0.090909) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "176dd4ff-a401-4708-a28b-1a9d709585fe") + ) + (pad "2" smd roundrect + (at -0.415 1.525 270) + (size 3.05 2.75) + (layers "F.Cu" "F.Paste") + (roundrect_rratio 0.090909) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "d187a12d-08b0-4645-8093-6d8406c238ab") + ) + (pad "2" smd roundrect + (at 1.26 0 270) + (size 6.4 5.8) + (layers "F.Cu" "F.Mask") + (roundrect_rratio 0.043103) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "95a726c2-938e-441f-85d7-3af77c3b2c45") + ) + (pad "2" smd roundrect + (at 2.935 -1.525 270) + (size 3.05 2.75) + (layers "F.Cu" "F.Paste") + (roundrect_rratio 0.090909) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "72c03705-095a-45cc-93f2-d8c8baf3e4e0") + ) + (pad "2" smd roundrect + (at 2.935 1.525 270) + (size 3.05 2.75) + (layers "F.Cu" "F.Paste") + (roundrect_rratio 0.090909) + (net 2 "GND") + (pinfunction "GND") + (pintype "power_in") + (uuid "37dbddc8-0ab6-4ec4-9328-11722fd30c31") + ) + (pad "3" smd roundrect + (at -5.04 2.28 270) + (size 2.2 1.2) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pinfunction "VO") + (pintype "power_out") + (uuid "64dedc78-24e9-4383-ae52-450d474dc363") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/TO-252-3_TabPin2.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "bf869dae-a751-4db4-b1b7-c314c8143954") + (at 187.5 118.25 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R7" + (at 3 0 90) + (layer "F.SilkS") + (uuid "1e24087a-f524-4255-a0ee-faf72c4e5282") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "180" + (at 0 1.65 90) + (layer "F.Fab") + (uuid "ddd108cb-20b5-44d0-9e50-0d3d2f1df64c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c6605d21-a175-479f-be22-88f91605db29") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "acacbaf3-ac02-41ec-b3b7-692fef1971b4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "344b44a4-c323-4906-b8b4-146aced3b35d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/6baa4381-967c-4a49-a7b8-864a2464fc56") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "33609bb3-ad41-41be-bbcf-817b1a150665") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5b663714-5fad-4c7e-83d0-d3a90fa373b2") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69a107fa-e308-4f0b-b6f3-d8a2b042c55b") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d0d84b9a-d9d3-49cf-b5e4-1c8b7a7fe9a5") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a02d2e73-59e6-4c6a-b74f-49a08760ab76") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "05266fa6-9edb-4972-8e96-6278f7ca6eff") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3250ec43-8670-4ef1-924c-d662c1ef43ae") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a4fab635-e883-4026-8069-f57ef0b582f0") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "302fb829-088a-4fc4-a9ed-6a3ff033fafc") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d3723624-0bf2-415f-89c3-169796942771") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "2a49789e-0c60-40df-a151-c0053e511083") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "7338bcfa-4061-4124-8791-e8a0ad4649eb") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 10 "Net-(D3-A)") + (pintype "passive") + (uuid "ca8b974a-de21-4069-80b5-ff0d733dd283") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (layer "F.Cu") + (uuid "c4ac398c-b6f2-461c-8626-184012a85b80") + (at 204.5 118.75 90) + (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D4" + (at 0 2 -90) + (layer "F.SilkS") + (uuid "ea17fffc-170d-4b1a-996f-7480c1c2ab85") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "CHARGE" + (at 0.15 -2 -90) + (layer "F.SilkS") + (uuid "64f17bf8-9a0c-444f-a842-ed91c5d0549b") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e5f4e5ee-8aa3-4b47-8062-214e94125d83") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d060f561-5a33-4120-b23b-992c060b7d64") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "32d066db-b81c-440f-a790-4416008a15c2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c763f0ab-2b68-42dd-b19d-2f01bbef509c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/60f9f6a3-dd24-4def-ac45-c7651abc3084") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start 1.6 -1.135) + (end -2.46 -1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d903076d-60c5-4e17-b84a-87f032d02cfd") + ) + (fp_line + (start -2.46 -1.135) + (end -2.46 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1a97a4f4-05fd-49a4-855b-a74e2b604c58") + ) + (fp_line + (start -2.46 1.135) + (end 1.6 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5adc8c8f-6a79-4436-9665-e9caa8854b99") + ) + (fp_line + (start 2.45 -1.12) + (end 2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8aa124d2-208a-467c-bdf4-fa6029660fed") + ) + (fp_line + (start -2.45 -1.12) + (end 2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8c266a8f-1c9e-433b-8f04-7eaf13ed3fd6") + ) + (fp_line + (start 2.45 1.12) + (end -2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d4338850-5fa3-481e-9a7c-f2e91774b921") + ) + (fp_line + (start -2.45 1.12) + (end -2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b2156f16-5ff4-4f52-9640-d56ac8f8031b") + ) + (fp_line + (start 1.6 -0.8) + (end -1.2 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fed01118-c497-4768-bdd3-a66cd4408459") + ) + (fp_line + (start -1.2 -0.8) + (end -1.6 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "80a65dca-09c1-4dbc-b25b-575094690cc8") + ) + (fp_line + (start -1.6 -0.4) + (end -1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed153976-2821-4ff9-923a-530409509959") + ) + (fp_line + (start 1.6 0.8) + (end 1.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9faaaba9-2fba-4e4b-abb6-eb198d7b0142") + ) + (fp_line + (start -1.6 0.8) + (end 1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e3045fbc-f744-4a9e-b57d-6e5a54e6ca4b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "1dc3d751-ba15-41ba-ab54-ac2bd2925b32") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4875 0 90) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 11 "Net-(D4-K)") + (pinfunction "K") + (pintype "passive") + (uuid "5023e3a4-7598-4a9e-8f81-17a9bd980d8f") + ) + (pad "2" smd roundrect + (at 1.4875 0 90) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 6 "VDD") + (pinfunction "A") + (pintype "passive") + (uuid "3da76736-94e9-45e5-b471-85fd7fc5e733") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-323" + (layer "F.Cu") + (uuid "c5e0136a-f0ea-400e-b3cb-e8b7465cbb7c") + (at 229.1 128.75) + (descr "SOD-323") + (tags "SOD-323") + (property "Reference" "D7" + (at -0.1 -1.65 0) + (layer "F.SilkS") + (uuid "a232266a-420d-4948-bdcb-4c1963c0c53e") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0.1 1.9 0) + (layer "F.Fab") + (uuid "cc7a6a79-9b3b-461a-b4c5-9e2745b903b7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "84bb23be-c3db-4c86-b9a4-0011439749c8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "15f4755d-6230-4813-bf6e-dca093e45eef") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b837c239-cffe-44e5-83b8-4f5eb7218f16") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "SD05C-7" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "73554c7d-e643-452b-a6c0-59ab8771c6c7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "D?SOD?323*") + (path "/2b6d7fd8-f3d6-4a4a-9337-2479989e6783") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -1.61 -0.85) + (end -1.61 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c2128804-9e87-4c5e-94d2-b9dd0cd49dd5") + ) + (fp_line + (start -1.61 -0.85) + (end 1.05 -0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c1e9a2b9-5e26-462e-b1a8-63a423dffe72") + ) + (fp_line + (start -1.61 0.85) + (end 1.05 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4ec1e464-20e0-44cc-8161-d8b9dd98d293") + ) + (fp_line + (start -1.6 -0.95) + (end -1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f04338e2-2f06-44c7-8708-a0687f449146") + ) + (fp_line + (start -1.6 -0.95) + (end 1.6 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "82a3ea23-448d-49b8-a017-48bf80e905d5") + ) + (fp_line + (start -1.6 0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0f6b1efa-3d31-4bda-a250-b2dae86c4636") + ) + (fp_line + (start 1.6 -0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ac72ff37-b5dc-4b26-9aea-7ad6039f2b5d") + ) + (fp_line + (start -0.9 -0.7) + (end 0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "94fa0080-5d8f-4589-bcd4-a447df7d87b6") + ) + (fp_line + (start -0.9 0.7) + (end -0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "97f1974b-bde3-40e6-b899-a10b708ac694") + ) + (fp_line + (start -0.3 -0.35) + (end -0.3 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ee459ff4-5a1f-41f3-9b1e-31563548c838") + ) + (fp_line + (start -0.3 0) + (end -0.5 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "060b34ba-965f-495a-8393-7482dd86c948") + ) + (fp_line + (start -0.3 0) + (end 0.2 -0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b5fff692-383d-4010-8a2f-8216ccfcb015") + ) + (fp_line + (start 0.2 -0.35) + (end 0.2 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7bd7bb94-9b84-44c2-ab7e-cab5019aa6f5") + ) + (fp_line + (start 0.2 0) + (end 0.45 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d00d87cd-8000-4339-8de7-6cda7a692e98") + ) + (fp_line + (start 0.2 0.35) + (end -0.3 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d31a7c42-1bb3-4d44-b93c-60c384c356bc") + ) + (fp_line + (start 0.9 -0.7) + (end 0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e8ee4fb6-1346-44f3-916d-04179ac9ad79") + ) + (fp_line + (start 0.9 0.7) + (end -0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b69e4179-11ad-4eb3-bb0a-026af53e956b") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.85 0) + (layer "F.Fab") + (uuid "f32d7b4c-4719-4a83-b58e-d8754ea99579") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.05 0) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 4 "/USB_D-") + (pinfunction "A1") + (pintype "passive") + (uuid "91bb2a6b-4893-40b4-b2af-8f64625958ca") + ) + (pad "2" smd roundrect + (at 1.05 0) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "GND") + (pinfunction "A2") + (pintype "passive") + (uuid "7d358f9c-695b-4064-a1cf-bc3333cfebaf") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "d27aa1e3-1647-4df3-af0c-914b19d6e473") + (at 209.5 127.75 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C9" + (at 0.75 1.75 -90) + (layer "F.SilkS") + (uuid "8a65a3da-8bcd-4124-9bb9-5316fd9cf6b2") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "ff994236-af33-41ce-a4a2-7068bf6d9d23") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "250425f6-99ad-4bd8-b90c-fa4785ec4272") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "04df6395-3475-414e-b297-68e7d5e8fecf") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7632f3ba-af7c-42d9-8c25-a414497a942b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/65a79856-6b62-4025-b138-b7f07f760a8d") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c9554036-0360-4e4e-9e8c-4bc12926592f") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2ff04f07-b1dc-4716-9ff8-56b4257e3cc0") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3c40a049-751c-41bf-ad7e-fddec6628a99") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3128722b-38ce-47bd-b031-fd58ed2425bf") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6c9791fd-0c77-40db-b9c1-f842a68df8ac") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "88a581ca-9d11-4275-bd0e-4e2d6dd5d938") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8f87fac9-f5ef-4954-b614-9852548267ff") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4b41ae23-030b-400c-b5a1-a1313c8d703d") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c606d045-b487-4c68-a436-41a80994ea5c") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dac44128-90ed-45a2-9087-01b80f986a67") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "427bbd7d-716f-4934-8de1-9e1aa1c07b1c") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 6 "VDD") + (pintype "passive") + (uuid "599ed995-489c-4a10-96cf-dce0a30524f2") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "867655be-e3e5-40cc-af78-d73bfbb2b666") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "d8308aef-769a-4280-926b-84e9686fbb42") + (at 195.75 100.65 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R12" + (at -3.4 0 90) + (layer "F.SilkS") + (uuid "ec1e2205-cec8-4f64-b289-16314b83000f") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7k" + (at 0 1.65 -90) + (layer "F.Fab") + (uuid "755bd550-cd8e-4f36-9b64-e1ace3c93fdc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0891f2c0-093a-46d6-95c0-5dfdcf4f23e3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "777a2139-68a3-4c2a-afb9-38e89b94c3c9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e7d8bb6f-7c2b-43b5-a327-17dcb1f969ae") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/e9c5c486-eb0f-4498-b580-aad3ebe06e3b") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "96777fd8-1a7a-42e3-821e-93d3a1153e7d") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fbde93a5-04ed-4ae6-9d30-4d317c2a48bd") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "770b1898-77f1-4ee1-9d84-f981657d067a") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d09a1c74-b37a-49c1-a3b7-bfa354bdcb2c") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "10cffea6-15fd-4aa0-8b23-3426881a3382") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d9e69158-35b3-4d2d-9831-624987b3b7a1") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7cb7cd18-e0ca-452b-8b73-068802eefcb0") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6cbd5775-7359-410c-ae59-872d422c9091") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f2ea3a4f-610a-4d1b-819c-c25349aeabad") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "276d41e7-1a5e-4112-b32b-ce51e604ae8e") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "1ba1e6a0-0ac2-4f36-a944-1de178591427") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 3 "+3V3") + (pintype "passive") + (uuid "e5099cac-07c5-47af-b8eb-4db0ab12d60d") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 24 "/SCL") + (pintype "passive") + (uuid "4c731180-01bb-466b-ad51-52b3ce7ddacd") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "d9f277ba-40c5-444d-88fc-12d3de7cfbf7") + (at 200 118.2875 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C10" + (at -3.4625 0 90) + (layer "F.SilkS") + (uuid "8f88c1e4-39b7-4ceb-93ab-25a9e0658b7a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "1uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "9c4e6b55-0a76-4e0b-8b2a-4c1756c33e44") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "152e2d4d-9409-402f-a9be-1340acf2231d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a550f9f4-fbfb-44eb-974e-034277ae44db") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "10330f57-716a-4062-b430-5de54c70aa92") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/5a88f758-d682-428e-a0cb-7ba7af7b932f") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "fff25500-f367-436a-ac24-559b90b4a1d9") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5bdfca7b-507e-4510-9cdb-a953e4639737") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c87333a5-80a8-4215-9223-084314cfaed5") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7539b84e-1103-44ef-a7e5-9bfab87a71ae") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "38da0986-e8a9-41d7-ade8-2c725282b26f") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8ef05220-de6a-4d3f-bf15-908c9a121610") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6293c816-f340-412b-a062-660332ecbd88") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "76d8b9e4-c8de-4aa9-9fea-02686470a574") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "10e4db5d-228a-44e1-8279-43f0b2f74d2c") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "179c7f86-dbe7-4806-9bb4-ef2dc478415c") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "166c8d99-ef92-4a20-8fa0-02be90c7c133") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "4bd2e9f6-4121-475f-b907-add3257fcb57") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 6 "VDD") + (pintype "passive") + (uuid "41cb8557-980f-464d-a617-e3f6c83eb456") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "laser-photogate:laser" + (layer "F.Cu") + (uuid "e1aa704a-4eaa-45da-a29f-d61df36bf018") + (at 222.5 122.25) + (descr "Converted using: svg2mod --factor 0.6 -i laser.svg -o ../pcb/lib/laser.kicad_mod") + (tags "svg2mod") + (property "Reference" "svg2mod" + (at 2.25 -6.982184 0) + (layer "F.SilkS") + (hide yes) + (uuid "e76d2bb6-1efd-49cd-b13f-b019b5f1301f") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "G***" + (at 2.25 4.361997 0) + (layer "F.SilkS") + (hide yes) + (uuid "ebb50cd9-b0a9-416c-b40e-a0b10db68b69") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "laser-photogate:laser" + (at 2.25 -4 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "73bc35ba-81a0-4ff6-be0a-bd0eca0acfb6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 2.25 -4 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d020e02d-e832-4745-b130-4d79e4264f6d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 2.25 -4 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5ceb86b4-182e-4a96-91b5-ee2e4b7183ff") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_line + (start -1.25 0) + (end -2.5 0) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "7e3d4637-0765-4cf0-85e0-1d326f3e9ca7") + ) + (fp_line + (start -1.154849 -0.478354) + (end -1.732274 -0.717531) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "a088b4ba-07fc-4172-9af9-5e2356a701c3") + ) + (fp_line + (start -1.154849 0.478354) + (end -1.732274 0.717532) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "6ce973d0-5af9-4035-b8dd-63dd518702e8") + ) + (fp_line + (start -0.883883 -0.883883) + (end -1.767767 -1.767767) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "6266da1c-2655-450c-8e33-11a27637e608") + ) + (fp_line + (start -0.883883 0.883883) + (end -1.767767 1.767767) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "b7dce1f9-6655-4d09-a8e6-02d44f08b57c") + ) + (fp_line + (start -0.478354 -1.154849) + (end -0.717532 -1.732274) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "ced9da8c-7704-4fe8-b1f7-ed98a72f07d1") + ) + (fp_line + (start -0.478354 1.154849) + (end -0.717531 1.732274) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "641f193e-2a68-4f25-a4bb-9a70a1ec5154") + ) + (fp_line + (start 0 -1.25) + (end 0 -2.5) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "7a752b9c-3279-4d20-b2bb-1e7bf1cc0f92") + ) + (fp_line + (start 0 1.25) + (end 0 2.5) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "236ad58e-7680-4e36-b910-31dcc63d8692") + ) + (fp_line + (start 0.478354 -1.154849) + (end 0.717531 -1.732274) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "80e63399-4403-4dac-b496-737a362dab05") + ) + (fp_line + (start 0.478354 1.154849) + (end 0.717532 1.732274) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "12f7a91e-2431-4050-a066-7bd886c83563") + ) + (fp_line + (start 0.883883 -0.883883) + (end 1.767767 -1.767767) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "723940c8-24d2-47ff-8809-189f3a740a5d") + ) + (fp_line + (start 0.883883 0.883883) + (end 1.767767 1.767767) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "4559d2ba-ecdb-46f4-b26d-3fa8356e9440") + ) + (fp_line + (start 1.154849 -0.478354) + (end 1.732274 -0.717532) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "a23beba0-3d0b-4ee4-a062-7cd415f6e853") + ) + (fp_line + (start 1.154849 0.478354) + (end 1.732274 0.717531) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "848818c1-c82f-4a06-a9ca-c3643f1be00f") + ) + (fp_line + (start 1.25 0) + (end 2.5 0) + (stroke + (width 0.16) + (type default) + ) + (layer "F.SilkS") + (uuid "364146d7-764b-49de-b8d5-c6295dec1f66") + ) + (fp_circle + (center 0 0) + (end 0 -1.25) + (stroke + (width 0.16) + (type default) + ) + (fill solid) + (layer "F.SilkS") + (uuid "197c1c27-9102-4390-b513-c3fb9e097dec") + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "e34d67f0-491c-4295-9213-766604c9e39e") + (at 209.5 100.3) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C1" + (at 0 2.1 0) + (layer "F.SilkS") + (uuid "ba3be77d-a632-4da3-84e8-5c0be39d4024") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "1uF" + (at 0 1.68 0) + (layer "F.Fab") + (uuid "b3595dd4-40b1-4d1a-aee8-32d23983ed7f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "995b1e0d-bdc7-4b82-8f48-e57d497de71a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "31b5119e-40aa-4935-85f9-114835ed8084") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ceef810d-44f7-4fed-830a-818e29fdc118") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8ed62025-ca8c-4661-b369-79ff33958a56") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c4a11583-178e-4a0a-8a2c-0fd77febba24") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "70aaeaf7-15de-4841-bc83-221aca4cee8f") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5eda08a7-0ad4-48f5-bc98-9f1d6770f181") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "36eec25a-c929-4c1a-b5b9-7dc2ef5e3a29") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d7470d10-7237-449e-8e4c-ab74f28bbd48") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6ae86dec-4c15-4374-9494-c5faf25b6af2") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d6d4277f-f13b-461b-a5e4-6946aaedaeab") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7fef2365-3483-4863-95c6-ce30c54f5548") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e1803eab-c25e-4b55-8c19-2215ffd717e2") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4ecf8226-87a1-42d5-b3a6-a3fa6b6b53ef") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "26c28d52-f7df-4271-9bac-390c14f9e438") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 1 "/EN") + (pintype "passive") + (uuid "b4b95cf4-11da-4dbf-8d31-591664146936") + ) + (pad "2" smd roundrect + (at 1.0375 0) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "e77ab9a4-1c9b-4124-8810-2edc2fcebda6") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "fbafd1f5-a443-4c59-90c7-e4fefdcf4e0c") + (at 210.66 97.3375 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C3" + (at -3.1 1.59 -90) + (layer "F.SilkS") + (uuid "ea76d269-2dba-42fd-8465-77a0836bb866") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "10uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "9f33467f-d9b1-41ef-ac85-5ac8fbe69ad2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bace4d6d-60af-4442-a467-4b663da2075b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e9c28a59-c350-4d6e-80bd-715f10282764") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "405ce0bb-e0ac-4e7e-84ef-8d74203c1f9f") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/c21559ae-bfcc-46bc-a566-ec140ed56a7c") + (sheetname "Root") + (sheetfile "esp32-boards.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "605a2345-a7ad-4781-82dc-9332580a715f") + ) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "398c815c-a0db-458e-a8ab-62a16a54610c") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "fc97c85c-679a-4128-8100-b6e40dcd4514") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "91698064-dfbf-43cd-ac4e-0f2ac16306a3") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "596a1f9e-4d1e-424f-aef6-47cbc5dcb2fe") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "220cbf39-4aa2-41ea-aeb4-0f0d264b90b4") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dd82860c-b9a7-4ae2-a674-9280fe9cba7a") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e48c9a1f-e7ee-4167-b25c-7cbc478655fc") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f8f8dc9f-79b5-4d0e-aba4-9678193ad59e") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "dd559314-305b-4e17-8765-c414bb8d599f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "5c45d8af-0002-4a8b-9667-f218b2fe3692") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "GND") + (pintype "passive") + (uuid "ea57da95-1ebc-4532-9c03-1ce0cb616d7b") + ) + (pad "2" smd roundrect + (at 1.0375 0 90) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 3 "+3V3") + (pintype "passive") + (uuid "b0dbdd69-d8a0-4d76-911d-9bc8221e9ed1") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:KiCad-Logo2_5mm_SilkScreen" + (layer "B.Cu") + (uuid "16e6a85b-9a0d-437e-b9c7-38475940d200") + (at 192 118.25 180) + (descr "KiCad Logo") + (tags "Logo KiCad") + (property "Reference" "REF**" + (at 0 5.08 180) + (layer "B.SilkS") + (hide yes) + (uuid "2aeab0e5-baf8-465e-879d-9c2e221d51af") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "KiCad-Logo2_5mm_SilkScreen" + (at 0 -5.08 180) + (layer "B.Fab") + (hide yes) + (uuid "191b2dc9-b8fb-4b7a-b47b-5af168fc69c1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Symbol:KiCad-Logo2_6mm_SilkScreen" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "f8e8af69-3735-4eb8-9acd-4863ce517c0e") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "42cdbb15-7b00-49ad-8038-f25d92cfec7e") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "3ac24ef4-14da-49b3-b19c-c15aac4220c1") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 4.188614 -2.275877) (xy 4.212327 -2.290647) (xy 4.238978 -2.312227) (xy 4.238978 -2.633773) + (xy 4.238893 -2.72783) (xy 4.238529 -2.801932) (xy 4.237724 -2.858704) (xy 4.236313 -2.900768) (xy 4.234133 -2.930748) + (xy 4.231021 -2.951267) (xy 4.226814 -2.964949) (xy 4.221348 -2.974416) (xy 4.217472 -2.979082) + (xy 4.186034 -2.999575) (xy 4.150233 -2.998739) (xy 4.118873 -2.981264) (xy 4.092222 -2.959684) + (xy 4.092222 -2.312227) (xy 4.118873 -2.290647) (xy 4.144594 -2.274949) (xy 4.1656 -2.269067) (xy 4.188614 -2.275877) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f437c0fa-fef3-4c63-ae71-52d0bfd5557a") + ) + (fp_poly + (pts + (xy -2.923822 -2.291645) (xy -2.917242 -2.299218) (xy -2.912079 -2.308987) (xy -2.908164 -2.323571) + (xy -2.905324 -2.345585) (xy -2.903387 -2.377648) (xy -2.902183 -2.422375) (xy -2.901539 -2.482385) + (xy -2.901284 -2.560294) (xy -2.901245 -2.635956) (xy -2.901314 -2.729802) (xy -2.901638 -2.803689) + (xy -2.902386 -2.860232) (xy -2.903732 -2.902049) (xy -2.905846 -2.931757) (xy -2.9089 -2.951973) + (xy -2.913066 -2.965314) (xy -2.918516 -2.974398) (xy -2.923822 -2.980267) (xy -2.956826 -2.999947) + (xy -2.991991 -2.998181) (xy -3.023455 -2.976717) (xy -3.030684 -2.968337) (xy -3.036334 -2.958614) + (xy -3.040599 -2.944861) (xy -3.043673 -2.924389) (xy -3.045752 -2.894512) (xy -3.04703 -2.852541) + (xy -3.047701 -2.795789) (xy -3.047959 -2.721567) (xy -3.048 -2.637537) (xy -3.048 -2.324485) (xy -3.020291 -2.296776) + (xy -2.986137 -2.273463) (xy -2.953006 -2.272623) (xy -2.923822 -2.291645) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "32517b41-7b00-4f44-946d-5ca44849715d") + ) + (fp_poly + (pts + (xy -2.273043 2.973429) (xy -2.176768 2.949191) (xy -2.090184 2.906359) (xy -2.015373 2.846581) + (xy -1.954418 2.771506) (xy -1.909399 2.68278) (xy -1.883136 2.58647) (xy -1.877286 2.489205) (xy -1.89214 2.395346) + (xy -1.92584 2.307489) (xy -1.976528 2.22823) (xy -2.042345 2.160164) (xy -2.121434 2.105888) (xy -2.211934 2.067998) + (xy -2.2632 2.055574) (xy -2.307698 2.048053) (xy -2.341999 2.045081) (xy -2.37496 2.046906) (xy -2.415434 2.053775) + (xy -2.448531 2.06075) (xy -2.541947 2.092259) (xy -2.625619 2.143383) (xy -2.697665 2.212571) (xy -2.7562 2.298272) + (xy -2.770148 2.325511) (xy -2.786586 2.361878) (xy -2.796894 2.392418) (xy -2.80246 2.42455) (xy -2.804669 2.465693) + (xy -2.804948 2.511778) (xy -2.800861 2.596135) (xy -2.787446 2.665414) (xy -2.762256 2.726039) + (xy -2.722846 2.784433) (xy -2.684298 2.828698) (xy -2.612406 2.894516) (xy -2.537313 2.939947) + (xy -2.454562 2.96715) (xy -2.376928 2.977424) (xy -2.273043 2.973429) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a75f43eb-ab26-4aa6-bba6-b236854047c5") + ) + (fp_poly + (pts + (xy 4.963065 -2.269163) (xy 5.041772 -2.269542) (xy 5.102863 -2.270333) (xy 5.148817 -2.27167) (xy 5.182114 -2.273683) + (xy 5.205236 -2.276506) (xy 5.220662 -2.280269) (xy 5.230871 -2.285105) (xy 5.235813 -2.288822) + (xy 5.261457 -2.321358) (xy 5.264559 -2.355138) (xy 5.248711 -2.385826) (xy 5.238348 -2.398089) + (xy 5.227196 -2.40645) (xy 5.211035 -2.411657) (xy 5.185642 -2.414457) (xy 5.146798 -2.415596) (xy 5.09028 -2.415821) + (xy 5.07918 -2.415822) (xy 4.933244 -2.415822) (xy 4.933244 -2.686756) (xy 4.933148 -2.772154) (xy 4.932711 -2.837864) + (xy 4.931712 -2.886774) (xy 4.929928 -2.921773) (xy 4.927137 -2.945749) (xy 4.923117 -2.961593) + (xy 4.917645 -2.972191) (xy 4.910666 -2.980267) (xy 4.877734 -3.000112) (xy 4.843354 -2.998548) + (xy 4.812176 -2.975906) (xy 4.809886 -2.9731) (xy 4.802429 -2.962492) (xy 4.796747 -2.950081) (xy 4.792601 -2.93285) + (xy 4.78975 -2.907784) (xy 4.787954 -2.871867) (xy 4.786972 -2.822083) (xy 4.786564 -2.755417) (xy 4.786489 -2.679589) + (xy 4.786489 -2.415822) (xy 4.647127 -2.415822) (xy 4.587322 -2.415418) (xy 4.545918 -2.41384) (xy 4.518748 -2.410547) + (xy 4.501646 -2.404992) (xy 4.490443 -2.396631) (xy 4.489083 -2.395178) (xy 4.472725 -2.361939) + (xy 4.474172 -2.324362) (xy 4.492978 -2.291645) (xy 4.50025 -2.285298) (xy 4.509627 -2.280266) (xy 4.523609 -2.276396) + (xy 4.544696 -2.273537) (xy 4.575389 -2.271535) (xy 4.618189 -2.270239) (xy 4.675595 -2.269498) + (xy 4.75011 -2.269158) (xy 4.844233 -2.269068) (xy 4.86426 -2.269067) (xy 4.963065 -2.269163) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "4a6e214c-f1db-4666-a345-ba9c74166618") + ) + (fp_poly + (pts + (xy 6.228823 -2.274533) (xy 6.260202 -2.296776) (xy 6.287911 -2.324485) (xy 6.287911 -2.63392) (xy 6.287838 -2.725799) + (xy 6.287495 -2.79784) (xy 6.286692 -2.85278) (xy 6.285241 -2.89336) (xy 6.282952 -2.922317) (xy 6.279636 -2.942391) + (xy 6.275105 -2.956321) (xy 6.269169 -2.966845) (xy 6.264514 -2.9731) (xy 6.233783 -2.997673) (xy 6.198496 -3.000341) + (xy 6.166245 -2.985271) (xy 6.155588 -2.976374) (xy 6.148464 -2.964557) (xy 6.144167 -2.945526) + (xy 6.141991 -2.914992) (xy 6.141228 -2.868662) (xy 6.141155 -2.832871) (xy 6.141155 -2.698045) + (xy 5.644444 -2.698045) (xy 5.644444 -2.8207) (xy 5.643931 -2.876787) (xy 5.641876 -2.915333) (xy 5.637508 -2.941361) + (xy 5.630056 -2.959897) (xy 5.621047 -2.9731) (xy 5.590144 -2.997604) (xy 5.555196 -3.000506) (xy 5.521738 -2.983089) + (xy 5.512604 -2.973959) (xy 5.506152 -2.961855) (xy 5.501897 -2.943001) (xy 5.499352 -2.91362) (xy 5.498029 -2.869937) + (xy 5.497443 -2.808175) (xy 5.497375 -2.794) (xy 5.496891 -2.677631) (xy 5.496641 -2.581727) (xy 5.496723 -2.504177) + (xy 5.497231 -2.442869) (xy 5.498262 -2.39569) (xy 5.499913 -2.36053) (xy 5.502279 -2.335276) (xy 5.505457 -2.317817) + (xy 5.509544 -2.306041) (xy 5.514634 -2.297835) (xy 5.520266 -2.291645) (xy 5.552128 -2.271844) + (xy 5.585357 -2.274533) (xy 5.616735 -2.296776) (xy 5.629433 -2.311126) (xy 5.637526 -2.326978) + (xy 5.642042 -2.349554) (xy 5.644006 -2.384078) (xy 5.644444 -2.435776) (xy 5.644444 -2.551289) + (xy 6.141155 -2.551289) (xy 6.141155 -2.432756) (xy 6.141662 -2.378148) (xy 6.143698 -2.341275) + (xy 6.148035 -2.317307) (xy 6.155447 -2.301415) (xy 6.163733 -2.291645) (xy 6.195594 -2.271844) + (xy 6.228823 -2.274533) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "4ea91a22-9f34-4ca6-8d9c-5f5618df9d14") + ) + (fp_poly + (pts + (xy 1.018309 -2.269275) (xy 1.147288 -2.273636) (xy 1.256991 -2.286861) (xy 1.349226 -2.309741) + (xy 1.425802 -2.34307) (xy 1.488527 -2.387638) (xy 1.539212 -2.444236) (xy 1.579663 -2.513658) (xy 1.580459 -2.515351) + (xy 1.604601 -2.577483) (xy 1.613203 -2.632509) (xy 1.606231 -2.687887) (xy 1.583654 -2.751073) + (xy 1.579372 -2.760689) (xy 1.550172 -2.816966) (xy 1.517356 -2.860451) (xy 1.475002 -2.897417) + (xy 1.41719 -2.934135) (xy 1.413831 -2.936052) (xy 1.363504 -2.960227) (xy 1.306621 -2.978282) (xy 1.239527 -2.990839) + (xy 1.158565 -2.998522) (xy 1.060082 -3.001953) (xy 1.025286 -3.002251) (xy 0.859594 -3.002845) + (xy 0.836197 -2.9731) (xy 0.829257 -2.963319) (xy 0.823842 -2.951897) (xy 0.819765 -2.936095) (xy 0.816837 -2.913175) + (xy 0.814867 -2.880396) (xy 0.814225 -2.856089) (xy 0.970844 -2.856089) (xy 1.064726 -2.856089) + (xy 1.119664 -2.854483) (xy 1.17606 -2.850255) (xy 1.222345 -2.844292) (xy 1.225139 -2.84379) (xy 1.307348 -2.821736) + (xy 1.371114 -2.7886) (xy 1.418452 -2.742847) (xy 1.451382 -2.682939) (xy 1.457108 -2.667061) (xy 1.462721 -2.642333) + (xy 1.460291 -2.617902) (xy 1.448467 -2.5854) (xy 1.44134 -2.569434) (xy 1.418 -2.527006) (xy 1.38988 -2.49724) + (xy 1.35894 -2.476511) (xy 1.296966 -2.449537) (xy 1.217651 -2.429998) (xy 1.125253 -2.418746) (xy 1.058333 -2.41627) + (xy 0.970844 -2.415822) (xy 0.970844 -2.856089) (xy 0.814225 -2.856089) (xy 0.813668 -2.835021) + (xy 0.81305 -2.774311) (xy 0.812825 -2.695526) (xy 0.8128 -2.63392) (xy 0.8128 -2.324485) (xy 0.840509 -2.296776) + (xy 0.852806 -2.285544) (xy 0.866103 -2.277853) (xy 0.884672 -2.27304) (xy 0.912786 -2.270446) (xy 0.954717 -2.26941) + (xy 1.014737 -2.26927) (xy 1.018309 -2.269275) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "9f5eb42e-7b2d-4e99-b33d-4910510e0ff3") + ) + (fp_poly + (pts + (xy -6.121371 -2.269066) (xy -6.081889 -2.269467) (xy -5.9662 -2.272259) (xy -5.869311 -2.28055) + (xy -5.787919 -2.295232) (xy -5.718723 -2.317193) (xy -5.65842 -2.347322) (xy -5.603708 -2.38651) + (xy -5.584167 -2.403532) (xy -5.55175 -2.443363) (xy -5.52252 -2.497413) (xy -5.499991 -2.557323) + (xy -5.487679 -2.614739) (xy -5.4864 -2.635956) (xy -5.494417 -2.694769) (xy -5.515899 -2.759013) + (xy -5.546999 -2.819821) (xy -5.583866 -2.86833) (xy -5.589854 -2.874182) (xy -5.640579 -2.915321) + (xy -5.696125 -2.947435) (xy -5.759696 -2.971365) (xy -5.834494 -2.987953) (xy -5.923722 -2.998041) + (xy -6.030582 -3.002469) (xy -6.079528 -3.002845) (xy -6.141762 -3.002545) (xy -6.185528 -3.001292) + (xy -6.214931 -2.998554) (xy -6.234079 -2.993801) (xy -6.247077 -2.986501) (xy -6.254045 -2.980267) + (xy -6.260626 -2.972694) (xy -6.265788 -2.962924) (xy -6.269703 -2.94834) (xy -6.272543 -2.926326) + (xy -6.27448 -2.894264) (xy -6.275684 -2.849536) (xy -6.276328 -2.789526) (xy -6.276583 -2.711617) + (xy -6.276622 -2.635956) (xy -6.27687 -2.535041) (xy -6.276817 -2.454427) (xy -6.275857 -2.415822) + (xy -6.129867 -2.415822) (xy -6.129867 -2.856089) (xy -6.036734 -2.856004) (xy -5.980693 -2.854396) + (xy -5.921999 -2.850256) (xy -5.873028 -2.844464) (xy -5.871538 -2.844226) (xy -5.792392 -2.82509) + (xy -5.731002 -2.795287) (xy -5.684305 -2.752878) (xy -5.654635 -2.706961) (xy -5.636353 -2.656026) + (xy -5.637771 -2.6082) (xy -5.658988 -2.556933) (xy -5.700489 -2.503899) (xy -5.757998 -2.4646) + (xy -5.83275 -2.438331) (xy -5.882708 -2.429035) (xy -5.939416 -2.422507) (xy -5.999519 -2.417782) + (xy -6.050639 -2.415817) (xy -6.053667 -2.415808) (xy -6.129867 -2.415822) (xy -6.275857 -2.415822) + (xy -6.27526 -2.391851) (xy -6.270998 -2.345055) (xy -6.26283 -2.311778) (xy -6.249556 -2.289759) + (xy -6.229974 -2.276739) (xy -6.202883 -2.270457) (xy -6.167082 -2.268653) (xy -6.121371 -2.269066) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "6ce7681a-e7ba-4465-8bcd-c29d99f69c05") + ) + (fp_poly + (pts + (xy -1.300114 -2.273448) (xy -1.276548 -2.287273) (xy -1.245735 -2.309881) (xy -1.206078 -2.342338) + (xy -1.15598 -2.385708) (xy -1.093843 -2.441058) (xy -1.018072 -2.509451) (xy -0.931334 -2.588084) + (xy -0.750711 -2.751878) (xy -0.745067 -2.532029) (xy -0.743029 -2.456351) (xy -0.741063 -2.399994) + (xy -0.738734 -2.359706) (xy -0.735606 -2.332235) (xy -0.731245 -2.314329) (xy -0.725216 -2.302737) + (xy -0.717084 -2.294208) (xy -0.712772 -2.290623) (xy -0.678241 -2.27167) (xy -0.645383 -2.274441) + (xy -0.619318 -2.290633) (xy -0.592667 -2.312199) (xy -0.589352 -2.627151) (xy -0.588435 -2.719779) + (xy -0.587968 -2.792544) (xy -0.588113 -2.848161) (xy -0.589032 -2.889342) (xy -0.590887 -2.918803) + (xy -0.593839 -2.939255) (xy -0.59805 -2.953413) (xy -0.603682 -2.963991) (xy -0.609927 -2.972474) + (xy -0.623439 -2.988207) (xy -0.636883 -2.998636) (xy -0.652124 -3.002639) (xy -0.671026 -2.999094) + (xy -0.695455 -2.986879) (xy -0.727273 -2.964871) (xy -0.768348 -2.931949) (xy -0.820542 -2.886991) + (xy -0.885722 -2.828875) (xy -0.959556 -2.762099) (xy -1.224845 -2.521458) (xy -1.230489 -2.740589) + (xy -1.232531 -2.816128) (xy -1.234502 -2.872354) (xy -1.236839 -2.912524) (xy -1.239981 -2.939896) + (xy -1.244364 -2.957728) (xy -1.250424 -2.969279) (xy -1.2586 -2.977807) (xy -1.262784 -2.981282) + (xy -1.299765 -3.000372) (xy -1.334708 -2.997493) (xy -1.365136 -2.9731) (xy -1.372097 -2.963286) + (xy -1.377523 -2.951826) (xy -1.381603 -2.935968) (xy -1.384529 -2.912963) (xy -1.386492 -2.880062) + (xy -1.387683 -2.834516) (xy -1.388292 -2.773573) (xy -1.388511 -2.694486) (xy -1.388534 -2.635956) + (xy -1.38846 -2.544407) (xy -1.388113 -2.472687) (xy -1.387301 -2.418045) (xy -1.385833 -2.377732) + (xy -1.383519 -2.348998) (xy -1.380167 -2.329093) (xy -1.375588 -2.315268) (xy -1.369589 -2.304772) + (xy -1.365136 -2.298811) (xy -1.35385 -2.284691) (xy -1.343301 -2.274029) (xy -1.331893 -2.267892) + (xy -1.31803 -2.267343) (xy -1.300114 -2.273448) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "820d1b5e-dc01-4b30-b89c-19c30b5c9e37") + ) + (fp_poly + (pts + (xy -1.950081 -2.274599) (xy -1.881565 -2.286095) (xy -1.828943 -2.303967) (xy -1.794708 -2.327499) + (xy -1.785379 -2.340924) (xy -1.775893 -2.372148) (xy -1.782277 -2.400395) (xy -1.80243 -2.427182) + (xy -1.833745 -2.439713) (xy -1.879183 -2.438696) (xy -1.914326 -2.431906) (xy -1.992419 -2.418971) + (xy -2.072226 -2.417742) (xy -2.161555 -2.428241) (xy -2.186229 -2.43269) (xy -2.269291 -2.456108) + (xy -2.334273 -2.490945) (xy -2.380461 -2.536604) (xy -2.407145 -2.592494) (xy -2.412663 -2.621388) + (xy -2.409051 -2.680012) (xy -2.385729 -2.731879) (xy -2.344824 -2.775978) (xy -2.288459 -2.811299) + (xy -2.21876 -2.836829) (xy -2.137852 -2.851559) (xy -2.04786 -2.854478) (xy -1.95091 -2.844575) + (xy -1.945436 -2.843641) (xy -1.906875 -2.836459) (xy -1.885494 -2.829521) (xy -1.876227 -2.819227) + (xy -1.874006 -2.801976) (xy -1.873956 -2.792841) (xy -1.873956 -2.754489) (xy -1.942431 -2.754489) + (xy -2.0029 -2.750347) (xy -2.044165 -2.737147) (xy -2.068175 -2.71373) (xy -2.076877 -2.678936) + (xy -2.076983 -2.674394) (xy -2.071892 -2.644654) (xy -2.054433 -2.623419) (xy -2.021939 -2.609366) + (xy -1.971743 -2.601173) (xy -1.923123 -2.598161) (xy -1.852456 -2.596433) (xy -1.801198 -2.59907) + (xy -1.766239 -2.6088) (xy -1.74447 -2.628353) (xy -1.73278 -2.660456) (xy -1.72806 -2.707838) (xy -1.7272 -2.770071) + (xy -1.728609 -2.839535) (xy -1.732848 -2.886786) (xy -1.739936 -2.912012) (xy -1.741311 -2.913988) + (xy -1.780228 -2.945508) (xy -1.837286 -2.97047) (xy -1.908869 -2.98834) (xy -1.991358 -2.998586) + (xy -2.081139 -3.000673) (xy -2.174592 -2.994068) (xy -2.229556 -2.985956) (xy -2.315766 -2.961554) + (xy -2.395892 -2.921662) (xy -2.462977 -2.869887) (xy -2.473173 -2.859539) (xy -2.506302 -2.816035) + (xy -2.536194 -2.762118) (xy -2.559357 -2.705592) (xy -2.572298 -2.654259) (xy -2.573858 -2.634544) + (xy -2.567218 -2.593419) (xy -2.549568 -2.542252) (xy -2.524297 -2.488394) (xy -2.494789 -2.439195) + (xy -2.468719 -2.406334) (xy -2.407765 -2.357452) (xy -2.328969 -2.318545) (xy -2.235157 -2.290494) + (xy -2.12915 -2.274179) (xy -2.032 -2.270192) (xy -1.950081 -2.274599) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "9806414f-461b-4b4f-bc10-55fe5675e8f3") + ) + (fp_poly + (pts + (xy 0.230343 -2.26926) (xy 0.306701 -2.270174) (xy 0.365217 -2.272311) (xy 0.408255 -2.276175) (xy 0.438183 -2.282267) + (xy 0.457368 -2.29109) (xy 0.468176 -2.303146) (xy 0.472973 -2.318939) (xy 0.474127 -2.33897) (xy 0.474133 -2.341335) + (xy 0.473131 -2.363992) (xy 0.468396 -2.381503) (xy 0.457333 -2.394574) (xy 0.437348 -2.403913) + (xy 0.405846 -2.410227) (xy 0.360232 -2.414222) (xy 0.297913 -2.416606) (xy 0.216293 -2.418086) + (xy 0.191277 -2.418414) (xy -0.0508 -2.421467) (xy -0.054186 -2.486378) (xy -0.057571 -2.551289) + (xy 0.110576 -2.551289) (xy 0.176266 -2.551531) (xy 0.223172 -2.552556) (xy 0.255083 -2.554811) + (xy 0.275791 -2.558742) (xy 0.289084 -2.564798) (xy 0.298755 -2.573424) (xy 0.298817 -2.573493) + (xy 0.316356 -2.607112) (xy 0.315722 -2.643448) (xy 0.297314 -2.674423) (xy 0.293671 -2.677607) + (xy 0.280741 -2.685812) (xy 0.263024 -2.691521) (xy 0.23657 -2.695162) (xy 0.197432 -2.697167) (xy 0.141662 -2.697964) + (xy 0.105994 -2.698045) (xy -0.056445 -2.698045) (xy -0.056445 -2.856089) (xy 0.190161 -2.856089) + (xy 0.27158 -2.856231) (xy 0.33341 -2.856814) (xy 0.378637 -2.858068) (xy 0.410248 -2.860227) (xy 0.431231 -2.863523) + (xy 0.444573 -2.868189) (xy 0.453261 -2.874457) (xy 0.45545 -2.876733) (xy 0.471614 -2.90828) (xy 0.472797 -2.944168) + (xy 0.459536 -2.975285) (xy 0.449043 -2.985271) (xy 0.438129 -2.990769) (xy 0.421217 -2.995022) + (xy 0.395633 -2.99818) (xy 0.358701 -3.000392) (xy 0.307746 -3.001806) (xy 0.240094 -3.002572) (xy 0.153069 -3.002838) + (xy 0.133394 -3.002845) (xy 0.044911 -3.002787) (xy -0.023773 -3.002467) (xy -0.075436 -3.001667) + (xy -0.112855 -3.000167) (xy -0.13881 -2.997749) (xy -0.156078 -2.994194) (xy -0.167438 -2.989282) + (xy -0.175668 -2.982795) (xy -0.180183 -2.978138) (xy -0.186979 -2.969889) (xy -0.192288 -2.959669) + (xy -0.196294 -2.9448) (xy -0.199179 -2.922602) (xy -0.201126 -2.890393) (xy -0.202319 -2.845496) + (xy -0.202939 -2.785228) (xy -0.203171 -2.706911) (xy -0.2032 -2.640994) (xy -0.203129 -2.548628) + (xy -0.202792 -2.476117) (xy -0.202002 -2.420737) (xy -0.200574 -2.379765) (xy -0.198321 -2.350478) + (xy -0.195057 -2.330153) (xy -0.190596 -2.316066) (xy -0.184752 -2.305495) (xy -0.179803 -2.298811) + (xy -0.156406 -2.269067) (xy 0.133774 -2.269067) (xy 0.230343 -2.26926) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "2ac90d89-3531-4436-a499-e5c6c499b429") + ) + (fp_poly + (pts + (xy -4.712794 -2.269146) (xy -4.643386 -2.269518) (xy -4.590997 -2.270385) (xy -4.552847 -2.271946) + (xy -4.526159 -2.274403) (xy -4.508153 -2.277957) (xy -4.496049 -2.28281) (xy -4.487069 -2.289161) + (xy -4.483818 -2.292084) (xy -4.464043 -2.323142) (xy -4.460482 -2.358828) (xy -4.473491 -2.39051) + (xy -4.479506 -2.396913) (xy -4.489235 -2.403121) (xy -4.504901 -2.40791) (xy -4.529408 -2.411514) + (xy -4.565661 -2.414164) (xy -4.616565 -2.416095) (xy -4.685026 -2.417539) (xy -4.747617 -2.418418) + (xy -4.995334 -2.421467) (xy -4.998719 -2.486378) (xy -5.002105 -2.551289) (xy -4.833958 -2.551289) + (xy -4.760959 -2.551919) (xy -4.707517 -2.554553) (xy -4.670628 -2.560309) (xy -4.647288 -2.570304) + (xy -4.634494 -2.585656) (xy -4.629242 -2.607482) (xy -4.628445 -2.627738) (xy -4.630923 -2.652592) + (xy -4.640277 -2.670906) (xy -4.659383 -2.683637) (xy -4.691118 -2.691741) (xy -4.738359 -2.696176) + (xy -4.803983 -2.697899) (xy -4.839801 -2.698045) (xy -5.000978 -2.698045) (xy -5.000978 -2.856089) + (xy -4.752622 -2.856089) (xy -4.671213 -2.856202) (xy -4.609342 -2.856712) (xy -4.563968 -2.85787) + (xy -4.532054 -2.85993) (xy -4.510559 -2.863146) (xy -4.496443 -2.867772) (xy -4.486668 -2.874059) + (xy -4.481689 -2.878667) (xy -4.46461 -2.90556) (xy -4.459111 -2.929467) (xy -4.466963 -2.958667) + (xy -4.481689 -2.980267) (xy -4.489546 -2.987066) (xy -4.499688 -2.992346) (xy -4.514844 -2.996298) + (xy -4.537741 -2.999113) (xy -4.571109 -3.000982) (xy -4.617675 -3.002098) (xy -4.680167 -3.002651) + (xy -4.761314 -3.002833) (xy -4.803422 -3.002845) (xy -4.893598 -3.002765) (xy -4.963924 -3.002398) + (xy -5.017129 -3.001552) (xy -5.05594 -3.000036) (xy -5.083087 -2.997659) (xy -5.101298 -2.994229) + (xy -5.1133 -2.989554) (xy -5.121822 -2.983444) (xy -5.125156 -2.980267) (xy -5.131755 -2.97267) + (xy -5.136927 -2.96287) (xy -5.140846 -2.948239) (xy -5.143684 -2.926152) (xy -5.145615 -2.893982) + (xy -5.146812 -2.849103) (xy -5.147448 -2.788889) (xy -5.147697 -2.710713) (xy -5.147734 -2.637923) + (xy -5.1477 -2.544707) (xy -5.147465 -2.471431) (xy -5.14683 -2.415458) (xy -5.145594 -2.374151) + (xy -5.143556 -2.344872) (xy -5.140517 -2.324984) (xy -5.136277 -2.31185) (xy -5.130635 -2.302832) + (xy -5.123391 -2.295293) (xy -5.121606 -2.293612) (xy -5.112945 -2.286172) (xy -5.102882 -2.280409) + (xy -5.088625 -2.276112) (xy -5.067383 -2.273064) (xy -5.036364 -2.271051) (xy -4.992777 -2.26986) + (xy -4.933831 -2.269275) (xy -4.856734 -2.269083) (xy -4.802001 -2.269067) (xy -4.712794 -2.269146) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "1eb4a60c-2fcc-4d03-aec0-0ab0e9dcf624") + ) + (fp_poly + (pts + (xy 3.744665 -2.271034) (xy 3.764255 -2.278035) (xy 3.76501 -2.278377) (xy 3.791613 -2.298678) (xy 3.80627 -2.319561) + (xy 3.809138 -2.329352) (xy 3.808996 -2.342361) (xy 3.804961 -2.360895) (xy 3.796146 -2.387257) + (xy 3.781669 -2.423752) (xy 3.760645 -2.472687) (xy 3.732188 -2.536365) (xy 3.695415 -2.617093) + (xy 3.675175 -2.661216) (xy 3.638625 -2.739985) (xy 3.604315 -2.812423) (xy 3.573552 -2.87588) (xy 3.547648 -2.927708) + (xy 3.52791 -2.965259) (xy 3.51565 -2.985884) (xy 3.513224 -2.988733) (xy 3.482183 -3.001302) (xy 3.447121 -2.999619) + (xy 3.419 -2.984332) (xy 3.417854 -2.983089) (xy 3.406668 -2.966154) (xy 3.387904 -2.93317) (xy 3.363875 -2.88838) + (xy 3.336897 -2.836032) (xy 3.327201 -2.816742) (xy 3.254014 -2.67015) (xy 3.17424 -2.829393) (xy 3.145767 -2.884415) + (xy 3.11935 -2.932132) (xy 3.097148 -2.968893) (xy 3.081319 -2.991044) (xy 3.075954 -2.995741) (xy 3.034257 -3.002102) + (xy 2.999849 -2.988733) (xy 2.989728 -2.974446) (xy 2.972214 -2.942692) (xy 2.948735 -2.896597) + (xy 2.92072 -2.839285) (xy 2.889599 -2.77388) (xy 2.856799 -2.703507) (xy 2.82375 -2.631291) (xy 2.791881 -2.560355) + (xy 2.762619 -2.493825) (xy 2.737395 -2.434826) (xy 2.717636 -2.386481) (xy 2.704772 -2.351915) + (xy 2.700231 -2.334253) (xy 2.700277 -2.333613) (xy 2.711326 -2.311388) (xy 2.73341 -2.288753) (xy 2.73471 -2.287768) + (xy 2.761853 -2.272425) (xy 2.786958 -2.272574) (xy 2.796368 -2.275466) (xy 2.807834 -2.281718) + (xy 2.82001 -2.294014) (xy 2.834357 -2.314908) (xy 2.852336 -2.346949) (xy 2.875407 -2.392688) (xy 2.90503 -2.454677) + (xy 2.931745 -2.511898) (xy 2.96248 -2.578226) (xy 2.990021 -2.637874) (xy 3.012938 -2.687725) (xy 3.029798 -2.724664) + (xy 3.039173 -2.745573) (xy 3.04054 -2.748845) (xy 3.046689 -2.743497) (xy 3.060822 -2.721109) (xy 3.081057 -2.684946) + (xy 3.105515 -2.638277) (xy 3.115248 -2.619022) (xy 3.148217 -2.554004) (xy 3.173643 -2.506654) + (xy 3.193612 -2.474219) (xy 3.21021 -2.453946) (xy 3.225524 -2.443082) (xy 3.24164 -2.438875) (xy 3.252143 -2.4384) + (xy 3.27067 -2.440042) (xy 3.286904 -2.446831) (xy 3.303035 -2.461566) (xy 3.321251 -2.487044) (xy 3.343739 -2.526061) + (xy 3.372689 -2.581414) (xy 3.388662 -2.612903) (xy 3.41457 -2.663087) (xy 3.437167 -2.704704) (xy 3.454458 -2.734242) + (xy 3.46445 -2.748189) (xy 3.465809 -2.74877) (xy 3.472261 -2.737793) (xy 3.486708 -2.70929) (xy 3.507703 -2.666244) + (xy 3.533797 -2.611638) (xy 3.563546 -2.548454) (xy 3.57818 -2.517071) (xy 3.61625 -2.436078) (xy 3.646905 -2.373756) + (xy 3.671737 -2.328071) (xy 3.692337 -2.296989) (xy 3.710298 -2.278478) (xy 3.72721 -2.270504) (xy 3.744665 -2.271034) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "93a66b70-4d26-4324-8d38-9f36069a31da") + ) + (fp_poly + (pts + (xy -3.691703 -2.270351) (xy -3.616888 -2.275581) (xy -3.547306 -2.28375) (xy -3.487002 -2.29455) + (xy -3.44002 -2.307673) (xy -3.410406 -2.322813) (xy -3.40586 -2.327269) (xy -3.390054 -2.36185) + (xy -3.394847 -2.397351) (xy -3.419364 -2.427725) (xy -3.420534 -2.428596) (xy -3.434954 -2.437954) + (xy -3.450008 -2.442876) (xy -3.471005 -2.443473) (xy -3.503257 -2.439861) (xy -3.552073 -2.432154) + (xy -3.556 -2.431505) (xy -3.628739 -2.422569) (xy -3.707217 -2.418161) (xy -3.785927 -2.418119) + (xy -3.859361 -2.422279) (xy -3.922011 -2.430479) (xy -3.96837 -2.442557) (xy -3.971416 -2.443771) + (xy -4.005048 -2.462615) (xy -4.016864 -2.481685) (xy -4.007614 -2.500439) (xy -3.978047 -2.518337) + (xy -3.928911 -2.534837) (xy -3.860957 -2.549396) (xy -3.815645 -2.556406) (xy -3.721456 -2.569889) + (xy -3.646544 -2.582214) (xy -3.587717 -2.594449) (xy -3.541785 -2.607661) (xy -3.505555 -2.622917) + (xy -3.475838 -2.641285) (xy -3.449442 -2.663831) (xy -3.42823 -2.685971) (xy -3.403065 -2.716819) + (xy -3.390681 -2.743345) (xy -3.386808 -2.776026) (xy -3.386667 -2.787995) (xy -3.389576 -2.827712) + (xy -3.401202 -2.857259) (xy -3.421323 -2.883486) (xy -3.462216 -2.923576) (xy -3.507817 -2.954149) + (xy -3.561513 -2.976203) (xy -3.626692 -2.990735) (xy -3.706744 -2.998741) (xy -3.805057 -3.001218) + (xy -3.821289 -3.001177) (xy -3.886849 -2.999818) (xy -3.951866 -2.99673) (xy -4.009252 -2.992356) + (xy -4.051922 -2.98714) (xy -4.055372 -2.986541) (xy -4.097796 -2.976491) (xy -4.13378 -2.963796) + (xy -4.15415 -2.95219) (xy -4.173107 -2.921572) (xy -4.174427 -2.885918) (xy -4.158085 -2.854144) + (xy -4.154429 -2.850551) (xy -4.139315 -2.839876) (xy -4.120415 -2.835276) (xy -4.091162 -2.836059) + (xy -4.055651 -2.840127) (xy -4.01597 -2.843762) (xy -3.960345 -2.846828) (xy -3.895406 -2.849053) + (xy -3.827785 -2.850164) (xy -3.81 -2.850237) (xy -3.742128 -2.849964) (xy -3.692454 -2.848646) + (xy -3.65661 -2.845827) (xy -3.630224 -2.84105) (xy -3.608926 -2.833857) (xy -3.596126 -2.827867) + (xy -3.568 -2.811233) (xy -3.550068 -2.796168) (xy -3.547447 -2.791897) (xy -3.552976 -2.774263) + (xy -3.57926 -2.757192) (xy -3.624478 -2.741458) (xy -3.686808 -2.727838) (xy -3.705171 -2.724804) + (xy -3.80109 -2.709738) (xy -3.877641 -2.697146) (xy -3.93778 -2.686111) (xy -3.98446 -2.67572) + (xy -4.020637 -2.665056) (xy -4.049265 -2.653205) (xy -4.073298 -2.639251) (xy -4.095692 -2.622281) + (xy -4.119402 -2.601378) (xy -4.12738 -2.594049) (xy -4.155353 -2.566699) (xy -4.17016 -2.545029) + (xy -4.175952 -2.520232) (xy -4.176889 -2.488983) (xy -4.166575 -2.427705) (xy -4.135752 -2.37564) + (xy -4.084595 -2.332958) (xy -4.013283 -2.299825) (xy -3.9624 -2.284964) (xy -3.9071 -2.275366) + (xy -3.840853 -2.269936) (xy -3.767706 -2.268367) (xy -3.691703 -2.270351) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5fefd06e-1bd6-4fdd-a8c1-98a5f1bcac43") + ) + (fp_poly + (pts + (xy 0.328429 2.050929) (xy 0.48857 2.029755) (xy 0.65251 1.989615) (xy 0.822313 1.930111) (xy 1.000043 1.850846) + (xy 1.01131 1.845301) (xy 1.069005 1.817275) (xy 1.120552 1.793198) (xy 1.162191 1.774751) (xy 1.190162 1.763614) + (xy 1.199733 1.761067) (xy 1.21895 1.756059) (xy 1.223561 1.751853) (xy 1.218458 1.74142) (xy 1.202418 1.715132) + (xy 1.177288 1.675743) (xy 1.144914 1.626009) (xy 1.107143 1.568685) (xy 1.065822 1.506524) (xy 1.022798 1.442282) + (xy 0.979917 1.378715) (xy 0.939026 1.318575) (xy 0.901971 1.26462) (xy 0.8706 1.219603) (xy 0.846759 1.186279) + (xy 0.832294 1.167403) (xy 0.830309 1.165213) (xy 0.820191 1.169862) (xy 0.79785 1.187038) (xy 0.76728 1.21356) + (xy 0.751536 1.228036) (xy 0.655047 1.303318) (xy 0.548336 1.358759) (xy 0.432832 1.393859) (xy 0.309962 1.40812) + (xy 0.240561 1.406949) (xy 0.119423 1.389788) (xy 0.010205 1.353906) (xy -0.087418 1.299041) (xy -0.173772 1.22493) + (xy -0.249185 1.131312) (xy -0.313982 1.017924) (xy -0.351399 0.931333) (xy -0.395252 0.795634) + (xy -0.427572 0.64815) (xy -0.448443 0.492686) (xy -0.457949 0.333044) (xy -0.456173 0.173027) (xy -0.443197 0.016439) + (xy -0.419106 -0.132918) (xy -0.383982 -0.27124) (xy -0.337908 -0.394724) (xy -0.321627 -0.428978) + (xy -0.25338 -0.543064) (xy -0.172921 -0.639557) (xy -0.08143 -0.71767) (xy 0.019911 -0.776617) + (xy 0.12992 -0.815612) (xy 0.247415 -0.833868) (xy 0.288883 -0.835211) (xy 0.410441 -0.82429) (xy 0.530878 -0.791474) + (xy 0.648666 -0.737439) (xy 0.762277 -0.662865) (xy 0.853685 -0.584539) (xy 0.900215 -0.540008) + (xy 1.081483 -0.837271) (xy 1.12658 -0.911433) (xy 1.167819 -0.979646) (xy 1.203735 -1.039459) (xy 1.232866 -1.08842) + (xy 1.25375 -1.124079) (xy 1.264924 -1.143984) (xy 1.266375 -1.147079) (xy 1.258146 -1.156718) (xy 1.232567 -1.173999) + (xy 1.192873 -1.197283) (xy 1.142297 -1.224934) (xy 1.084074 -1.255315) (xy 1.021437 -1.28679) (xy 0.957621 -1.317722) + (xy 0.89586 -1.346473) (xy 0.839388 -1.371408) (xy 0.791438 -1.390889) (xy 0.767986 -1.399318) (xy 0.634221 -1.437133) + (xy 0.496327 -1.462136) (xy 0.348622 -1.47514) (xy 0.221833 -1.477468) (xy 0.153878 -1.476373) (xy 0.088277 -1.474275) + (xy 0.030847 -1.471434) (xy -0.012597 -1.468106) (xy -0.026702 -1.466422) (xy -0.165716 -1.437587) + (xy -0.307243 -1.392468) (xy -0.444725 -1.33375) (xy -0.571606 -1.26412) (xy -0.649111 -1.211441) + (xy -0.776519 -1.103239) (xy -0.894822 -0.976671) (xy -1.001828 -0.834866) (xy -1.095348 -0.680951) + (xy -1.17319 -0.518053) (xy -1.217044 -0.400756) (xy -1.267292 -0.217128) (xy -1.300791 -0.022581) + (xy -1.317551 0.178675) (xy -1.317584 0.382432) (xy -1.300899 0.584479) (xy -1.267507 0.780608) + (xy -1.21742 0.966609) (xy -1.213603 0.978197) (xy -1.150719 1.14025) (xy -1.073972 1.288168) (xy -0.980758 1.426135) + (xy -0.868473 1.558339) (xy -0.824608 1.603601) (xy -0.688466 1.727543) (xy -0.548509 1.830085) + (xy -0.402589 1.912344) (xy -0.248558 1.975436) (xy -0.084268 2.020477) (xy 0.011289 2.037967) (xy 0.170023 2.053534) + (xy 0.328429 2.050929) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f0b2f3e3-ff1a-43d2-9c7d-99e815e4451a") + ) + (fp_poly + (pts + (xy 6.186507 0.527755) (xy 6.186526 0.293338) (xy 6.186552 0.080397) (xy 6.186625 -0.112168) (xy 6.186782 -0.285459) + (xy 6.187064 -0.440576) (xy 6.187509 -0.57862) (xy 6.188156 -0.700692) (xy 6.189045 -0.807894) (xy 6.190213 -0.901326) + (xy 6.191701 -0.98209) (xy 6.193546 -1.051286) (xy 6.195789 -1.110015) (xy 6.198469 -1.159379) (xy 6.201623 -1.200478) + (xy 6.205292 -1.234413) (xy 6.209513 -1.262286) (xy 6.214327 -1.285198) (xy 6.219773 -1.304249) + (xy 6.225888 -1.32054) (xy 6.232712 -1.335173) (xy 6.240285 -1.349249) (xy 6.248645 -1.363868) (xy 6.253839 -1.372974) + (xy 6.288104 -1.433689) (xy 5.429955 -1.433689) (xy 5.429955 -1.337733) (xy 5.429224 -1.29437) (xy 5.427272 -1.261205) + (xy 5.424463 -1.243424) (xy 5.423221 -1.241778) (xy 5.411799 -1.248662) (xy 5.389084 -1.266505) + (xy 5.366385 -1.285879) (xy 5.3118 -1.326614) (xy 5.242321 -1.367617) (xy 5.16527 -1.405123) (xy 5.087965 -1.435364) + (xy 5.057113 -1.445012) (xy 4.988616 -1.459578) (xy 4.905764 -1.469539) (xy 4.816371 -1.474583) + (xy 4.728248 -1.474396) (xy 4.649207 -1.468666) (xy 4.611511 -1.462858) (xy 4.473414 -1.424797) + (xy 4.346113 -1.367073) (xy 4.230292 -1.290211) (xy 4.126637 -1.194739) (xy 4.035833 -1.081179) + (xy 3.969031 -0.970381) (xy 3.914164 -0.853625) (xy 3.872163 -0.734276) (xy 3.842167 -0.608283) + (xy 3.823311 -0.471594) (xy 3.814732 -0.320158) (xy 3.814006 -0.242711) (xy 3.8161 -0.185934) (xy 4.645217 -0.185934) + (xy 4.645424 -0.279002) (xy 4.648337 -0.366692) (xy 4.654 -0.443772) (xy 4.662455 -0.505009) (xy 4.665038 -0.51735) + (xy 4.69684 -0.624633) (xy 4.738498 -0.711658) (xy 4.790363 -0.778642) (xy 4.852781 -0.825805) (xy 4.9261 -0.853365) + (xy 5.010669 -0.861541) (xy 5.106835 -0.850551) (xy 5.170311 -0.834829) (xy 5.219454 -0.816639) + (xy 5.273583 -0.790791) (xy 5.314244 -0.767089) (xy 5.3848 -0.720721) (xy 5.3848 0.42947) (xy 5.317392 0.473038) + (xy 5.238867 0.51396) (xy 5.154681 0.540611) (xy 5.069557 0.552535) (xy 4.988216 0.549278) (xy 4.91538 0.530385) + (xy 4.883426 0.514816) (xy 4.825501 0.471819) (xy 4.776544 0.415047) (xy 4.73539 0.342425) (xy 4.700874 0.251879) + (xy 4.671833 0.141334) (xy 4.670552 0.135467) (xy 4.660381 0.073212) (xy 4.652739 -0.004594) (xy 4.64767 -0.09272) + (xy 4.645217 -0.185934) (xy 3.8161 -0.185934) (xy 3.821857 -0.029895) (xy 3.843802 0.165941) (xy 3.879786 0.344668) + (xy 3.929759 0.506155) (xy 3.993668 0.650274) (xy 4.071462 0.776894) (xy 4.163089 0.885885) (xy 4.268497 0.977117) + (xy 4.313662 1.008068) (xy 4.414611 1.064215) (xy 4.517901 1.103826) (xy 4.627989 1.127986) (xy 4.74933 1.137781) + (xy 4.841836 1.136735) (xy 4.97149 1.125769) (xy 5.084084 1.103954) (xy 5.182875 1.070286) (xy 5.271121 1.023764) + (xy 5.319986 0.989552) (xy 5.349353 0.967638) (xy 5.371043 0.952667) (xy 5.379253 0.948267) (xy 5.380868 0.959096) + (xy 5.382159 0.989749) (xy 5.383138 1.037474) (xy 5.383817 1.099521) (xy 5.38421 1.173138) (xy 5.38433 1.255573) + (xy 5.384188 1.344075) (xy 5.383797 1.435893) (xy 5.383171 1.528276) (xy 5.38232 1.618472) (xy 5.38126 1.703729) + (xy 5.380001 1.781297) (xy 5.378556 1.848424) (xy 5.376938 1.902359) (xy 5.375161 1.94035) (xy 5.374669 1.947333) + (xy 5.367092 2.017749) (xy 5.355531 2.072898) (xy 5.337792 2.120019) (xy 5.311682 2.166353) (xy 5.305415 2.175933) + (xy 5.280983 2.212622) (xy 6.186311 2.212622) (xy 6.186507 0.527755) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "a67d7948-38ef-4024-b1cd-ee1d73661c22") + ) + (fp_poly + (pts + (xy 2.673574 1.133448) (xy 2.825492 1.113433) (xy 2.960756 1.079798) (xy 3.080239 1.032275) (xy 3.184815 0.970595) + (xy 3.262424 0.907035) (xy 3.331265 0.832901) (xy 3.385006 0.753129) (xy 3.42791 0.660909) (xy 3.443384 0.617839) + (xy 3.456244 0.578858) (xy 3.467446 0.542711) (xy 3.47712 0.507566) (xy 3.485396 0.47159) (xy 3.492403 0.43295) + (xy 3.498272 0.389815) (xy 3.503131 0.340351) (xy 3.50711 0.282727) (xy 3.51034 0.215109) (xy 3.512949 0.135666) + (xy 3.515067 0.042564) (xy 3.516824 -0.066027) (xy 3.518349 -0.191942) (xy 3.519772 -0.337012) (xy 3.521025 -0.479778) + (xy 3.522351 -0.635968) (xy 3.523556 -0.771239) (xy 3.524766 -0.887246) (xy 3.526106 -0.985645) + (xy 3.5277 -1.068093) (xy 3.529675 -1.136246) (xy 3.532156 -1.19176) (xy 3.535269 -1.236292) (xy 3.539138 -1.271498) + (xy 3.543889 -1.299034) (xy 3.549648 -1.320556) (xy 3.556539 -1.337722) (xy 3.564689 -1.352186) + (xy 3.574223 -1.365606) (xy 3.585266 -1.379638) (xy 3.589566 -1.385071) (xy 3.605386 -1.40791) (xy 3.612422 -1.423463) + (xy 3.612444 -1.423922) (xy 3.601567 -1.426121) (xy 3.570582 -1.428147) (xy 3.521957 -1.429942) + (xy 3.458163 -1.431451) (xy 3.381669 -1.432616) (xy 3.294944 -1.43338) (xy 3.200457 -1.433686) (xy 3.18955 -1.433689) + (xy 2.766657 -1.433689) (xy 2.763395 -1.337622) (xy 2.760133 -1.241556) (xy 2.698044 -1.292543) + (xy 2.600714 -1.360057) (xy 2.490813 -1.414749) (xy 2.404349 -1.444978) (xy 2.335278 -1.459666) + (xy 2.251925 -1.469659) (xy 2.162159 -1.474646) (xy 2.073845 -1.474313) (xy 1.994851 -1.468351) + (xy 1.958622 -1.462638) (xy 1.818603 -1.424776) (xy 1.692178 -1.369932) (xy 1.58026 -1.298924) (xy 1.483762 -1.212568) + (xy 1.4036 -1.111679) (xy 1.340687 -0.997076) (xy 1.296312 -0.870984) (xy 1.283978 -0.814401) (xy 1.276368 -0.752202) + (xy 1.272739 -0.677363) (xy 1.272245 -0.643467) (xy 1.27231 -0.640282) (xy 2.032248 -0.640282) (xy 2.041541 -0.715333) + (xy 2.069728 -0.77916) (xy 2.118197 -0.834798) (xy 2.123254 -0.839211) (xy 2.171548 -0.874037) (xy 2.223257 -0.89662) + (xy 2.283989 -0.90854) (xy 2.359352 -0.911383) (xy 2.377459 -0.910978) (xy 2.431278 -0.908325) (xy 2.471308 -0.902909) + (xy 2.506324 -0.892745) (xy 2.545103 -0.87585) (xy 2.555745 -0.870672) (xy 2.616396 -0.834844) (xy 2.663215 -0.792212) + (xy 2.675952 -0.776973) (xy 2.720622 -0.720462) (xy 2.720622 -0.524586) (xy 2.720086 -0.445939) + (xy 2.718396 -0.387988) (xy 2.715428 -0.348875) (xy 2.711057 -0.326741) (xy 2.706972 -0.320274) + (xy 2.691047 -0.317111) (xy 2.657264 -0.314488) (xy 2.61034 -0.312655) (xy 2.554993 -0.311857) (xy 2.546106 -0.311842) + (xy 2.42533 -0.317096) (xy 2.32266 -0.333263) (xy 2.236106 -0.360961) (xy 2.163681 -0.400808) (xy 2.108751 -0.447758) + (xy 2.064204 -0.505645) (xy 2.03948 -0.568693) (xy 2.032248 -0.640282) (xy 1.27231 -0.640282) (xy 1.274178 -0.549712) + (xy 1.282522 -0.470812) (xy 1.298768 -0.39959) (xy 1.324405 -0.328864) (xy 1.348401 -0.276493) (xy 1.40702 -0.181196) + (xy 1.485117 -0.09317) (xy 1.580315 -0.014017) (xy 1.690238 0.05466) (xy 1.81251 0.111259) (xy 1.944755 0.154179) + (xy 2.009422 0.169118) (xy 2.145604 0.191223) (xy 2.294049 0.205806) (xy 2.445505 0.212187) (xy 2.572064 0.210555) + (xy 2.73395 0.203776) (xy 2.72653 0.262755) (xy 2.707238 0.361908) (xy 2.676104 0.442628) (xy 2.632269 0.505534) + (xy 2.574871 0.551244) (xy 2.503048 0.580378) (xy 2.415941 0.593553) (xy 2.312686 0.591389) (xy 2.274711 0.587388) + (xy 2.13352 0.56222) (xy 1.996707 0.521186) (xy 1.902178 0.483185) (xy 1.857018 0.46381) (xy 1.818585 0.44824) + (xy 1.792234 0.438595) (xy 1.784546 0.436548) (xy 1.774802 0.445626) (xy 1.758083 0.474595) (xy 1.734232 0.523783) + (xy 1.703093 0.593516) (xy 1.664507 0.684121) (xy 1.65791 0.699911) (xy 1.627853 0.772228) (xy 1.600874 0.837575) + (xy 1.578136 0.893094) (xy 1.560806 0.935928) (xy 1.550048 0.963219) (xy 1.546941 0.972058) (xy 1.55694 0.976813) + (xy 1.583217 0.98209) (xy 1.611489 0.985769) (xy 1.641646 0.990526) (xy 1.689433 0.999972) (xy 1.750612 1.01318) + (xy 1.820946 1.029224) (xy 1.896194 1.04718) (xy 1.924755 1.054203) (xy 2.029816 1.079791) (xy 2.11748 1.099853) + (xy 2.192068 1.115031) (xy 2.257903 1.125965) (xy 2.319307 1.133296) (xy 2.380602 1.137665) (xy 2.44611 1.139713) + (xy 2.504128 1.140111) (xy 2.673574 1.133448) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "92a0155f-7a1d-41b1-bc6f-949eb1f1d835") + ) + (fp_poly + (pts + (xy -2.9464 2.510946) (xy -2.935535 2.397007) (xy -2.903918 2.289384) (xy -2.853015 2.190385) (xy -2.784293 2.102316) + (xy -2.699219 2.027484) (xy -2.602232 1.969616) (xy -2.495964 1.929995) (xy -2.38895 1.911427) (xy -2.2833 1.912566) + (xy -2.181125 1.93207) (xy -2.084534 1.968594) (xy -1.995638 2.020795) (xy -1.916546 2.087327) (xy -1.849369 2.166848) + (xy -1.796217 2.258013) (xy -1.759199 2.359477) (xy -1.740427 2.469898) (xy -1.738489 2.519794) + (xy -1.738489 2.607733) (xy -1.68656 2.607733) (xy -1.650253 2.604889) (xy -1.623355 2.593089) (xy -1.596249 2.569351) + (xy -1.557867 2.530969) (xy -1.557867 0.339398) (xy -1.557876 0.077261) (xy -1.557908 -0.163241) + (xy -1.557972 -0.383048) (xy -1.558076 -0.583101) (xy -1.558227 -0.764344) (xy -1.558434 -0.927716) + (xy -1.558706 -1.07416) (xy -1.55905 -1.204617) (xy -1.559474 -1.320029) (xy -1.559987 -1.421338) + (xy -1.560597 -1.509484) (xy -1.561312 -1.58541) (xy -1.56214 -1.650057) (xy -1.563089 -1.704367) + (xy -1.564167 -1.74928) (xy -1.565383 -1.78574) (xy -1.566745 -1.814687) (xy -1.568261 -1.837063) + (xy -1.569938 -1.853809) (xy -1.571786 -1.865868) (xy -1.573813 -1.87418) (xy -1.576025 -1.879687) + (xy -1.577108 -1.881537) (xy -1.581271 -1.888549) (xy -1.584805 -1.894996) (xy -1.588635 -1.9009) + (xy -1.593682 -1.906286) (xy -1.600871 -1.911178) (xy -1.611123 -1.915598) (xy -1.625364 -1.919572) + (xy -1.644514 -1.923121) (xy -1.669499 -1.92627) (xy -1.70124 -1.929042) (xy -1.740662 -1.931461) + (xy -1.788686 -1.933551) (xy -1.846237 -1.935335) (xy -1.914237 -1.936837) (xy -1.99361 -1.93808) + (xy -2.085279 -1.939089) (xy -2.190166 -1.939885) (xy -2.309196 -1.940494) (xy -2.44329 -1.940939) + (xy -2.593373 -1.941243) (xy -2.760367 -1.94143) (xy -2.945196 -1.941524) (xy -3.148783 -1.941548) + (xy -3.37205 -1.941525) (xy -3.615922 -1.94148) (xy -3.881321 -1.941437) (xy -3.919704 -1.941432) + (xy -4.186682 -1.941389) (xy -4.432002 -1.941318) (xy -4.656583 -1.941213) (xy -4.861345 -1.941066) + (xy -5.047206 -1.940869) (xy -5.215088 -1.940616) (xy -5.365908 -1.9403) (xy -5.500587 -1.939913) + (xy -5.620044 -1.939447) (xy -5.725199 -1.938897) (xy -5.816971 -1.938253) (xy -5.896279 -1.937511) + (xy -5.964043 -1.936661) (xy -6.021182 -1.935697) (xy -6.068617 -1.934611) (xy -6.107266 -1.933397) + (xy -6.138049 -1.932047) (xy -6.161885 -1.930555) (xy -6.179694 -1.928911) (xy -6.192395 -1.927111) + (xy -6.200908 -1.925145) (xy -6.205266 -1.923477) (xy -6.213728 -1.919906) (xy -6.221497 -1.91727) + (xy -6.228602 -1.914634) (xy -6.235073 -1.911062) (xy -6.240939 -1.905621) (xy -6.246229 -1.897375) + (xy -6.250974 -1.88539) (xy -6.255202 -1.868731) (xy -6.258943 -1.846463) (xy -6.262227 -1.817652) + (xy -6.265083 -1.781363) (xy -6.26754 -1.736661) (xy -6.269629 -1.682611) (xy -6.271378 -1.618279) + (xy -6.272817 -1.54273) (xy -6.273976 -1.45503) (xy -6.274883 -1.354243) (xy -6.275569 -1.239434) + (xy -6.276063 -1.10967) (xy -6.276395 -0.964015) (xy -6.276593 -0.801535) (xy -6.276687 -0.621295) + (xy -6.276708 -0.42236) (xy -6.276685 -0.203796) (xy -6.276646 0.035332) (xy -6.276622 0.29596) + (xy -6.276622 0.338111) (xy -6.276636 0.601008) (xy -6.276661 0.842268) (xy -6.276671 1.062835) + (xy -6.276642 1.263648) (xy -6.276548 1.445651) (xy -6.276362 1.609784) (xy -6.276059 1.756989) + (xy -6.275614 1.888208) (xy -6.275034 1.998133) (xy -5.972197 1.998133) (xy -5.932407 1.940289) + (xy -5.921236 1.924521) (xy -5.911166 1.910559) (xy -5.902138 1.897216) (xy -5.894097 1.883307) + (xy -5.886986 1.867644) (xy -5.880747 1.849042) (xy -5.875325 1.826314) (xy -5.870662 1.798273) + (xy -5.866701 1.763733) (xy -5.863385 1.721508) (xy -5.860659 1.670411) (xy -5.858464 1.609256) + (xy -5.856745 1.536856) (xy -5.855444 1.452025) (xy -5.854505 1.353578) (xy -5.85387 1.240326) (xy -5.853484 1.111084) + (xy -5.853288 0.964666) (xy -5.853227 0.799884) (xy -5.853243 0.615553) (xy -5.85328 0.410487) (xy -5.853289 0.287867) + (xy -5.853265 0.070918) (xy -5.853231 -0.124642) (xy -5.853243 -0.299999) (xy -5.853358 -0.456341) + (xy -5.85363 -0.594857) (xy -5.854118 -0.716734) (xy -5.854876 -0.82316) (xy -5.855962 -0.915322) + (xy -5.857431 -0.994409) (xy -5.85934 -1.061608) (xy -5.861744 -1.118107) (xy -5.864701 -1.165093) + (xy -5.868266 -1.203755) (xy -5.872495 -1.23528) (xy -5.877446 -1.260855) (xy -5.883173 -1.28167) + (xy -5.889733 -1.298911) (xy -5.897183 -1.313765) (xy -5.905579 -1.327422) (xy -5.914976 -1.341069) + (xy -5.925432 -1.355893) (xy -5.931523 -1.364783) (xy -5.970296 -1.4224) (xy -5.438732 -1.4224) + (xy -5.315483 -1.422365) (xy -5.212987 -1.422215) (xy -5.12942 -1.421878) (xy -5.062956 -1.421286) + (xy -5.011771 -1.420367) (xy -4.974041 -1.419051) (xy -4.94794 -1.417269) (xy -4.931644 -1.414951) + (xy -4.923328 -1.412026) (xy -4.921168 -1.408424) (xy -4.923339 -1.404075) (xy -4.924535 -1.402645) + (xy -4.949685 -1.365573) (xy -4.975583 -1.312772) (xy -4.999192 -1.25077) (xy -5.007461 -1.224357) + (xy -5.012078 -1.206416) (xy -5.015979 -1.185355) (xy -5.019248 -1.159089) (xy -5.021966 -1.125532) + (xy -5.024215 -1.082599) (xy -5.026077 -1.028204) (xy -5.027636 -0.960262) (xy -5.028972 -0.876688) + (xy -5.030169 -0.775395) (xy -5.031308 -0.6543) (xy -5.031685 -0.6096) (xy -5.032702 -0.484449) + (xy -5.03346 -0.380082) (xy -5.033903 -0.294707) (xy -5.03397 -0.226533) (xy -5.033605 -0.173765) + (xy -5.032748 -0.134614) (xy -5.031341 -0.107285) (xy -5.029325 -0.089986) (xy -5.026643 -0.080926) + (xy -5.023236 -0.078312) (xy -5.019044 -0.080351) (xy -5.014571 -0.084667) (xy -5.004216 -0.097602) + (xy -4.982158 -0.126676) (xy -4.949957 -0.169759) (xy -4.909174 -0.224718) (xy -4.86137 -0.289423) + (xy -4.808105 -0.361742) (xy -4.75094 -0.439544) (xy -4.691437 -0.520698) (xy -4.631155 -0.603072) + (xy -4.571655 -0.684536) (xy -4.514498 -0.762957) (xy -4.461245 -0.836204) (xy -4.413457 -0.902147) + (xy -4.372693 -0.958654) (xy -4.340516 -1.003593) (xy -4.318485 -1.034834) (xy -4.313917 -1.041466) + (xy -4.290996 -1.078369) (xy -4.264188 -1.126359) (xy -4.238789 -1.175897) (xy -4.235568 -1.182577) + (xy -4.21389 -1.230772) (xy -4.201304 -1.268334) (xy -4.195574 -1.30416) (xy -4.194456 -1.3462) + (xy -4.19509 -1.4224) (xy -3.040651 -1.4224) (xy -3.131815 -1.328669) (xy -3.178612 -1.278775) (xy -3.228899 -1.222295) + (xy -3.274944 -1.168026) (xy -3.295369 -1.142673) (xy -3.325807 -1.103128) (xy -3.365862 -1.049916) + (xy -3.414361 -0.984667) (xy -3.470135 -0.909011) (xy -3.532011 -0.824577) (xy -3.598819 -0.732994) + (xy -3.669387 -0.635892) (xy -3.742545 -0.534901) (xy -3.817121 -0.43165) (xy -3.891944 -0.327768) + (xy -3.965843 -0.224885) (xy -4.037646 -0.124631) (xy -4.106184 -0.028636) (xy -4.170284 0.061473) + (xy -4.228775 0.144064) (xy -4.280486 0.217508) (xy -4.324247 0.280176) (xy -4.358885 0.330439) + (xy -4.38323 0.366666) (xy -4.396111 0.387229) (xy -4.397869 0.391332) (xy -4.38991 0.402658) (xy -4.369115 0.429838) + (xy -4.336847 0.471171) (xy -4.29447 0.524956) (xy -4.243347 0.589494) (xy -4.184841 0.663082) (xy -4.120314 0.744022) + (xy -4.051131 0.830612) (xy -3.978653 0.921152) (xy -3.904246 1.01394) (xy -3.844517 1.088298) (xy -2.833511 1.088298) + (xy -2.827602 1.075341) (xy -2.813272 1.053092) (xy -2.812225 1.051609) (xy -2.793438 1.021456) + (xy -2.773791 0.984625) (xy -2.769892 0.976489) (xy -2.766356 0.96806) (xy -2.76323 0.957941) (xy -2.760486 0.94474) + (xy -2.758092 0.927062) (xy -2.756019 0.903516) (xy -2.754235 0.872707) (xy -2.752712 0.833243) + (xy -2.751419 0.783731) (xy -2.750326 0.722777) (xy -2.749403 0.648989) (xy -2.748619 0.560972) + (xy -2.747945 0.457335) (xy -2.74735 0.336684) (xy -2.746805 0.197626) (xy -2.746279 0.038768) (xy -2.745745 -0.140089) + (xy -2.745206 -0.325207) (xy -2.744772 -0.489145) (xy -2.744509 -0.633303) (xy -2.744484 -0.759079) + (xy -2.744765 -0.867871) (xy -2.745419 -0.961077) (xy -2.746514 -1.040097) (xy -2.748118 -1.106328) + (xy -2.750297 -1.16117) (xy -2.753119 -1.206021) (xy -2.756651 -1.242278) (xy -2.760961 -1.271341) + (xy -2.766117 -1.294609) (xy -2.772185 -1.313479) (xy -2.779233 -1.329351) (xy -2.787329 -1.343622) + (xy -2.79654 -1.357691) (xy -2.80504 -1.370158) (xy -2.822176 -1.396452) (xy -2.832322 -1.414037) + (xy -2.833511 -1.417257) (xy -2.822604 -1.418334) (xy -2.791411 -1.419335) (xy -2.742223 -1.420235) + (xy -2.677333 -1.42101) (xy -2.59903 -1.421637) (xy -2.509607 -1.422091) (xy -2.411356 -1.422349) + (xy -2.342445 -1.4224) (xy -2.237452 -1.42218) (xy -2.14061 -1.421548) (xy -2.054107 -1.420549) + (xy -1.980132 -1.419227) (xy -1.920874 -1.417626) (xy -1.87852 -1.415791) (xy -1.85526 -1.413765) + (xy -1.851378 -1.412493) (xy -1.859076 -1.397591) (xy -1.867074 -1.38956) (xy -1.880246 -1.372434) + (xy -1.897485 -1.342183) (xy -1.909407 -1.317622) (xy -1.936045 -1.258711) (xy -1.93912 -0.081845) + (xy -1.942195 1.095022) (xy -2.387853 1.095022) (xy -2.48567 1.094858) (xy -2.576064 1.094389) (xy -2.65663 1.093653) + (xy -2.724962 1.092684) (xy -2.778656 1.09152) (xy -2.815305 1.090197) (xy -2.832504 1.088751) (xy -2.833511 1.088298) + (xy -3.844517 1.088298) (xy -3.82927 1.107278) (xy -3.75509 1.199463) (xy -3.683069 1.288796) (xy -3.614569 1.373576) + (xy -3.550955 1.452102) (xy -3.493588 1.522674) (xy -3.443833 1.583591) (xy -3.403052 1.633153) + (xy -3.385888 1.653822) (xy -3.299596 1.754484) (xy -3.222997 1.837741) (xy -3.154183 1.905562) + (xy -3.091248 1.959911) (xy -3.081867 1.967278) (xy -3.042356 1.997883) (xy -4.174116 1.998133) + (xy -4.168827 1.950156) (xy -4.17213 1.892812) (xy -4.193661 1.824537) (xy -4.233635 1.744788) (xy -4.278943 1.672505) + (xy -4.295161 1.64986) (xy -4.323214 1.612304) (xy -4.36143 1.561979) (xy -4.408137 1.501027) (xy -4.461661 1.431589) + (xy -4.520331 1.355806) (xy -4.582475 1.27582) (xy -4.646421 1.193772) (xy -4.710495 1.111804) (xy -4.773027 1.032057) + (xy -4.832343 0.956673) (xy -4.886771 0.887793) (xy -4.934639 0.827558) (xy -4.974275 0.778111) + (xy -5.004006 0.741592) (xy -5.022161 0.720142) (xy -5.02522 0.716844) (xy -5.028079 0.724851) (xy -5.030293 0.755145) + (xy -5.031857 0.807444) (xy -5.032767 0.881469) (xy -5.03302 0.976937) (xy -5.032613 1.093566) (xy -5.031704 1.213555) + (xy -5.030382 1.345667) (xy -5.028857 1.457406) (xy -5.026881 1.550975) (xy -5.024206 1.628581) + (xy -5.020582 1.692426) (xy -5.015761 1.744717) (xy -5.009494 1.787656) (xy -5.001532 1.823449) + (xy -4.991627 1.8543) (xy -4.979531 1.882414) (xy -4.964993 1.909995) (xy -4.950311 1.935034) (xy -4.912314 1.998133) + (xy -5.972197 1.998133) (xy -6.275034 1.998133) (xy -6.275001 2.004383) (xy -6.274195 2.106456) + (xy -6.27317 2.195367) (xy -6.2719 2.272059) (xy -6.27036 2.337473) (xy -6.268524 2.392551) (xy -6.266367 2.438235) + (xy -6.263863 2.475466) (xy -6.260987 2.505187) (xy -6.257713 2.528338) (xy -6.254015 2.545861) + (xy -6.249869 2.558699) (xy -6.245247 2.567792) (xy -6.240126 2.574082) (xy -6.234478 2.578512) + (xy -6.228279 2.582022) (xy -6.221504 2.585555) (xy -6.215508 2.589124) (xy -6.210275 2.5917) (xy -6.202099 2.594028) + (xy -6.189886 2.596122) (xy -6.172541 2.597993) (xy -6.148969 2.599653) (xy -6.118077 2.601116) + (xy -6.078768 2.602392) (xy -6.02995 2.603496) (xy -5.970527 2.604439) (xy -5.899404 2.605233) (xy -5.815488 2.605891) + (xy -5.717683 2.606425) (xy -5.604894 2.606847) (xy -5.476029 2.607171) (xy -5.329991 2.607408) + (xy -5.165686 2.60757) (xy -4.98202 2.60767) (xy -4.777897 2.60772) (xy -4.566753 2.607733) (xy -2.9464 2.607733) + (xy -2.9464 2.510946) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "7f10f452-4b2a-4dfb-939a-8048ac8d0e2a") + ) + ) + (gr_rect + (start 193.6 114.5) + (end 200.4 115.3) + (stroke + (width 0.153) + (type default) + ) + (fill solid) + (layer "F.SilkS") + (uuid "1d7e7cba-e1c2-4e79-a3b4-5d5e650e38d8") + ) + (gr_rect + (start 193.6 112.3) + (end 200.4 115.3) + (stroke + (width 0.153) + (type default) + ) + (fill none) + (layer "F.SilkS") + (uuid "430e9076-01bc-49df-b0e9-8045d58ffe7e") + ) + (gr_rect + (start 193.6 112.3) + (end 200.4 113.1) + (stroke + (width 0.153) + (type default) + ) + (fill solid) + (layer "F.SilkS") + (uuid "7d299e3c-d053-4ffe-8cca-b024451d0d47") + ) + (gr_rect + (start 200.4 112.3) + (end 214.6 115.3) + (stroke + (width 0.153) + (type default) + ) + (fill solid) + (layer "F.SilkS") + (uuid "92e83598-c208-496b-b4c6-fe25a0e71db8") + ) + (gr_rect + (start 196.6 113.1) + (end 200.4 114.5) + (stroke + (width 0.153) + (type default) + ) + (fill solid) + (layer "F.SilkS") + (uuid "b4089216-2fc5-4419-a016-9a1deda26538") + ) + (gr_rect + (start 179.5 95.25) + (end 234.5 137.25) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "e260525c-29b0-4a91-af75-afe232949d21") + ) + (image + (at 66.5 57.25) + (layer "Cmts.User") + (scale 0.211633) + (data "iVBORw0KGgoAAAANSUhEUgAACwIAAAJYCAYAAABrHbDYAAAMP2lDQ1BJQ0MgUHJvZmlsZQAASImV" + "VwdYU8kWnltSIbRABKSE3gQRKQGkhNACSC+CjZAECCXGQFCxo4sKrl1EwIauiih2QOyInUWx98WC" + "grIuFuzKmxTQdV/53nzf3PnvP2f+c+bcmXvvAKB5gieR5KBaAOSK86WxIQHMMckpTFIXwIAOIINh" + "gMHj50nY0dERAJaB9u/l3Q2AyNurjnKtf/b/16ItEObxAUCiIU4T5PFzIT4AAF7Fl0jzASDKeYsp" + "+RI5hhXoSmGAEC+U4wwlrpLjNCXeo7CJj+VA3AIAWZ3Hk2YAoHEZ8swCfgbU0OiF2FksEIkB0GRC" + "7JubO0kAcSrEttBGArFcn5X2g07G3zTTBjV5vIxBrJyLopADRXmSHN60/zMd/7vk5sgGfFjDqp4p" + "DY2Vzxnm7Vb2pHA5Voe4R5wWGQWxDsQfRAKFPcQoNVMWmqC0R434eRyYM8CA2FnACwyH2AjiYHFO" + "ZISKT0sXBXMhhisEnSrK58ZDrA/xQmFeUJzKZqN0UqzKF1qfLuWwVfw5nlThV+7rgSw7ga3Sf50p" + "5Kr0MY3CzPgkiKkQWxaIEiMh1oDYKS87LlxlM6owkxM5YCOVxcrjt4Q4VigOCVDqYwXp0uBYlX1J" + "bt7AfLGNmSJupArvy8+MD1XmB2vh8xTxw7lgl4VidsKAjjBvTMTAXATCwCDl3LEuoTghTqXzQZIf" + "EKsci1MlOdEqe9xcmBMi580hds0riFONxRPz4YJU6uPpkvzoeGWceGEWLyxaGQ++DEQADggETCCD" + "NQ1MAllA1NbT0APvlD3BgAekIAMIgaOKGRiRpOgRw2scKAR/QiQEeYPjAhS9QlAA+a+DrPLqCNIV" + "vQWKEdngKcS5IBzkwHuZYpR40FsieAIZ0T+882Dlw3hzYJX3/3t+gP3OsCEToWJkAx6ZmgOWxCBi" + "IDGUGEy0ww1xX9wbj4BXf1hdcBbuOTCP7/aEp4R2wiPCdUIH4fZEUZH0pyhHgw6oH6zKRdqPucCt" + "oaYbHoD7QHWojDNwQ+CIu0I/bNwPenaDLEcVtzwrzJ+0/zaDH56Gyo7iTEEpQyj+FNufR2rYa7gN" + "qshz/WN+lLGmDeabM9jzs3/OD9kXwDb8Z0tsIbYfO4udxM5jR7AGwMSOY41YK3ZUjgdX1xPF6hrw" + "FquIJxvqiP7hb+DJyjOZ51zr3O38RdmXL5wqf0cDziTJNKkoIzOfyYZfBCGTK+Y7DWO6OLu4AiD/" + "vihfX29iFN8NhNH6nZv3BwA+x/v7+w9/58KOA7DXA27/Q985Wxb8dKgBcO4QXyYtUHK4/EKAbwlN" + "uNMMgAmwALZwPi7AHXgDfxAEwkAUiAfJYAKMPhOucymYAmaAuaAYlIJlYDWoABvAZrAd7AL7QAM4" + "Ak6CM+AiuAyug7tw9XSCF6AXvAOfEQQhITSEjhggpogV4oC4ICzEFwlCIpBYJBlJRTIQMSJDZiDz" + "kFJkBVKBbEJqkL3IIeQkch5pR24jD5Fu5DXyCcVQdVQXNUat0eEoC2Wj4Wg8Oh7NQCejheh8dAla" + "jlajO9F69CR6Eb2OdqAv0D4MYGoYAzPDHDEWxsGisBQsHZNis7ASrAyrxuqwJvicr2IdWA/2ESfi" + "dJyJO8IVHIon4Hx8Mj4LX4xX4NvxerwFv4o/xHvxbwQawYjgQPAicAljCBmEKYRiQhlhK+Eg4TTc" + "S52Ed0QikUG0IXrAvZhMzCJOJy4mriPuJp4gthMfE/tIJJIByYHkQ4oi8Uj5pGLSWtJO0nHSFVIn" + "6QNZjWxKdiEHk1PIYnIRuYy8g3yMfIX8jPyZokWxonhRoigCyjTKUsoWShPlEqWT8pmqTbWh+lDj" + "qVnUudRyah31NPUe9Y2ampq5mqdajJpIbY5audoetXNqD9U+quuo26tz1Mepy9SXqG9TP6F+W/0N" + "jUazpvnTUmj5tCW0Gtop2gPaBw26hpMGV0OgMVujUqNe44rGS02KppUmW3OCZqFmmeZ+zUuaPVoU" + "LWstjhZPa5ZWpdYhrZtafdp07RHaUdq52ou1d2if1+7SIelY6wTpCHTm62zWOaXzmI7RLegcOp8+" + "j76FfpreqUvUtdHl6mbpluru0m3T7dXT0XPVS9Sbqlepd1Svg4ExrBlcRg5jKWMf4wbj0xDjIewh" + "wiGLhtQNuTLkvf5QfX99oX6J/m796/qfDJgGQQbZBssNGgzuG+KG9oYxhlMM1xueNuwZqjvUeyh/" + "aMnQfUPvGKFG9kaxRtONNhu1GvUZmxiHGEuM1xqfMu4xYZj4m2SZrDI5ZtJtSjf1NRWZrjI9bvqc" + "qcdkM3OY5cwWZq+ZkVmomcxsk1mb2WdzG/ME8yLz3eb3LagWLIt0i1UWzRa9lqaWoy1nWNZa3rGi" + "WLGsMq3WWJ21em9tY51kvcC6wbrLRt+Ga1NoU2tzz5Zm62c72bba9pod0Y5ll223zu6yPWrvZp9p" + "X2l/yQF1cHcQOaxzaB9GGOY5TDysethNR3VHtmOBY63jQyeGU4RTkVOD08vhlsNThi8ffnb4N2c3" + "5xznLc53R+iMCBtRNKJpxGsXexe+S6XLtZG0kcEjZ49sHPnK1cFV6Lre9ZYb3W202wK3Zrev7h7u" + "Uvc6924PS49UjyqPmyxdVjRrMeucJ8EzwHO25xHPj17uXvle+7z+8nb0zvbe4d01ymaUcNSWUY99" + "zH14Ppt8OnyZvqm+G307/Mz8eH7Vfo/8LfwF/lv9n7Ht2FnsneyXAc4B0oCDAe85XpyZnBOBWGBI" + "YElgW5BOUEJQRdCDYPPgjODa4N4Qt5DpISdCCaHhoctDb3KNuXxuDbc3zCNsZlhLuHp4XHhF+KMI" + "+whpRNNodHTY6JWj70VaRYojG6JAFDdqZdT9aJvoydGHY4gx0TGVMU9jR8TOiD0bR4+bGLcj7l18" + "QPzS+LsJtgmyhOZEzcRxiTWJ75MCk1YkdYwZPmbmmIvJhsmi5MYUUkpiytaUvrFBY1eP7RznNq54" + "3I3xNuOnjj8/wXBCzoSjEzUn8ibuTyWkJqXuSP3Ci+JV8/rSuGlVab18Dn8N/4XAX7BK0C30Ea4Q" + "Pkv3SV+R3pXhk7EyozvTL7Mss0fEEVWIXmWFZm3Iep8dlb0tuz8nKWd3Ljk3NfeQWEecLW6ZZDJp" + "6qR2iYOkWNIx2Wvy6sm90nDp1jwkb3xeY74u/JFvldnKfpE9LPAtqCz4MCVxyv6p2lPFU1un2U9b" + "NO1ZYXDhb9Px6fzpzTPMZsyd8XAme+amWcistFnNsy1mz5/dOSdkzva51LnZc38vci5aUfR2XtK8" + "pvnG8+fMf/xLyC+1xRrF0uKbC7wXbFiILxQtbFs0ctHaRd9KBCUXSp1Ly0q/LOYvvvDriF/Lf+1f" + "kr6kban70vXLiMvEy24s91u+fYX2isIVj1eOXlm/irmqZNXb1RNXny9zLduwhrpGtqajPKK8ca3l" + "2mVrv1RkVlyvDKjcXWVUtajq/TrBuivr/dfXbTDeULrh00bRxlubQjbVV1tXl20mbi7Y/HRL4paz" + "v7F+q9lquLV069dt4m0d22O3t9R41NTsMNqxtBatldV27xy38/KuwF2NdY51m3YzdpfuAXtke57v" + "Td17Y1/4vub9rP11B6wOVB2kHyypR+qn1fc2ZDZ0NCY3th8KO9Tc5N108LDT4W1HzI5UHtU7uvQY" + "9dj8Y/3HC4/3nZCc6DmZcfJx88Tmu6fGnLrWEtPSdjr89LkzwWdOnWWfPX7O59yR817nD11gXWi4" + "6H6xvtWt9eDvbr8fbHNvq7/kcanxsuflpvZR7ceu+F05eTXw6plr3GsXr0deb7+RcOPWzXE3O24J" + "bnXdzrn96k7Bnc9359wj3Cu5r3W/7IHRg+o/7P7Y3eHecfRh4MPWR3GP7j7mP37xJO/Jl875T2lP" + "y56ZPqvpcuk60h3cffn52OedLyQvPvcU/6n9Z9VL25cH/vL/q7V3TG/nK+mr/teL3xi82fbW9W1z" + "X3Tfg3e57z6/L/lg8GH7R9bHs5+SPj37POUL6Uv5V7uvTd/Cv93rz+3vl/CkPMWvAAYrmp4OwOtt" + "ANCSAaDD8xl1rPL8pyiI8syqQOA/YeUZUVHcAaiD/+8xPfDv5iYAe7bA4xfU1xwHQDQNgHhPgI4c" + "OVgHzmqKc6W8EOE5YGPQ17TcNPBvivLM+UPcP7dAruoKfm7/BVXCfGDBv4DyAAAAimVYSWZNTQAq" + "AAAACAAEARoABQAAAAEAAAA+ARsABQAAAAEAAABGASgAAwAAAAEAAgAAh2kABAAAAAEAAABOAAAA" + "AAAAAJAAAAABAAAAkAAAAAEAA5KGAAcAAAASAAAAeKACAAQAAAABAAALAqADAAQAAAABAAACWAAA" + "AABBU0NJSQAAAFNjcmVlbnNob3RQWSvmAAAACXBIWXMAABYlAAAWJQFJUiTwAAAB12lUWHRYTUw6" + "Y29tLmFkb2JlLnhtcAAAAAAAPHg6eG1wbWV0YSB4bWxuczp4PSJhZG9iZTpuczptZXRhLyIgeDp4" + "bXB0az0iWE1QIENvcmUgNi4wLjAiPgogICA8cmRmOlJERiB4bWxuczpyZGY9Imh0dHA6Ly93d3cu" + "dzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMiPgogICAgICA8cmRmOkRlc2NyaXB0aW9u" + "IHJkZjphYm91dD0iIgogICAgICAgICAgICB4bWxuczpleGlmPSJodHRwOi8vbnMuYWRvYmUuY29t" + "L2V4aWYvMS4wLyI+CiAgICAgICAgIDxleGlmOlBpeGVsWURpbWVuc2lvbj42MDA8L2V4aWY6UGl4" + "ZWxZRGltZW5zaW9uPgogICAgICAgICA8ZXhpZjpQaXhlbFhEaW1lbnNpb24+MjgxODwvZXhpZjpQ" + "aXhlbFhEaW1lbnNpb24+CiAgICAgICAgIDxleGlmOlVzZXJDb21tZW50PlNjcmVlbnNob3Q8L2V4" + "aWY6VXNlckNvbW1lbnQ+CiAgICAgIDwvcmRmOkRlc2NyaXB0aW9uPgogICA8L3JkZjpSREY+Cjwv" + "eDp4bXBtZXRhPgr/D7kCAAAAHGlET1QAAAACAAAAAAAAASwAAAAoAAABLAAAASwAARZxEdbOPAAA" + "QABJREFUeAHs3Qm8DfX/x/GPLTvZabFla1eifi1+7asWiUQiqYhWiSJ7lkSLkLKVNZKISvu/+rUS" + "7YVkTfb92sL/+5nre8yc5Tr33HOvuXNf8yjnzHq+8/zeM2eW93wnV6UqVQ9KlC5XrlxRhuogMzzW" + "qBhzMBgBBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEE" + "ogg4Sd6ocV45eDD6cLuUXNGCwJEhYMK/FoxXBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEMkUgSig4rTBwRBA4IgQc0TJwaiiYRoEzpfpYKAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIBADhE4nPsNa/k3rCXgWGHg" + "tIPA7hCweR8Z/o0ckkPcWU0EEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQyIOAN/6aGgl3DXGHg+ILAnrBvasu/WjpPK8GeaTJQdmZFAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAghwuEB4BDod/UEY5O+DSWzNMi" + "cHjg15koFPw1LQJ7GgA+HBS2C+MVAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBOIQcAV9derUBoAPiif0e4RWgY8YBLbhYPtq2ge2/8VRQiZBAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAgWgCh7PAzjsTBva+" + "HkoHO7Pace7lxAgC29Z+D7UCnFarwJ6luXt4jwACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAKOQGrG14VxeEB4a8C2/1DzwKmzu1oHtguJHgQ2wV+nOxQA" + "DrUG7AoEa8PA7i63u4f3CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "gAACCCCAAAIIIOAROODpMz1OFvjgoZfDrQGnDj4UFA5vJdi1DFcQ+FDrvzryUBBYA8C7UlJck4sU" + "yF9AChUtIgUKFpR8efN5xtGDAAKRAvv+3Se7d+2SlO07ZPee3ZETMAQBBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBwCRQsVEgO2haAQ0FgneBQOPjQtIeDwKHW" + "fs2YGEHgkqVLS9GixQ7NygsCCKRXYPv2bbJpw4b0zsb0CCCAAAIIIIAAAggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAggggAACCCCQgwSiBoF1/W04+JBF3EHgcuXLm1aACzmzbd26RVLylJI8" + "pWtL/hLVJU+hUocWxwsCCFiB/SkbZc/mxbJ/w0IptH+jFC9+rDNq964UWfvPP3YyXhFAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAGPQFKDwLYl4H379sn6desk" + "70k3SKHjz/N8ID0IIBBbIGX11/Lvn7OkTNmyki9fPqFl4NhWjEEAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAgpwskLQh8cP8BKXfccY7n36tXS/5TW0r+UjVzui/r" + "j0C6BfZs/EP2/PKqHHf88c68a//+W3bv2Z3u5TADAggggAACCCCAAAIIIIAAAggggAACCCCAAAII" + "IIAAAggggAACCCCAAAIIIIAAAggEWyBpQWBdUNGixWTr1i2yp9xltAQc7L8b1i6TBbRl4PxrP5Li" + "xY+lVeBMtmbxCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCGRX" + "gaQFgUuUKiX58uaTNTvySNEz22ZXD8qNgG8Etv/wklQosl/2/btP/l650jfloiAIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIOAPgaQFgcuWK++s0boCdWgN2B91" + "SymyuYC2Clx293xnLZb/tTSbrw3FRwABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEEAAAQQQQACBZAskPQi8tUJTyVOoVLLLyfIQyHEC+1M2SvE1U5z1Jgic46qfFUYAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEDgiAJJDwLvOKn9ET+UCRBAID6B" + "In8OcyYkCByfF1MhgAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "kJMECALnpNpmXbOdAEHgbFdlFBgBBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQACBLBMgCJxl1HwQAukXIAicfjPmQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQCCnCBAEzik1zXpmSwGCwNmy2ig0AggggAACCCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAlkiQBA4S5j5EAQSEyAInJgbcyGAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCQEwQIAueEWmYds60AQeBsW3UUHAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIFMFyAInOnEfAACiQsQBE7c" + "jjkRQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQCLoAQeCg1zDr" + "l60FCAJn6+qj8AgggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAghk" + "qgBB4EzlZeEIZEyAIHDG/JgbAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEEAAgSALEAQOcu2ybtlegCBwtq9CVgABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQACBTBMgCJxptCwYgYwLEATOuCFLQAABBBBAAAEEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAAAQQQQCCoAgSBg1qzrFcgBAgCB6IaWQkEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEMkWAIHCmsLJQBJIjQBA4OY4sBQEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIEgChAEDmKtsk6BESAIHJiqZEUQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQSLoAQeCkk7JABJIn" + "QBA4eZYsCQEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIGgCRAE" + "DlqNsj6BEiAIHKjqZGUQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQSKoAQeCkcrIwBJIrQBA4uZ4sDQEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAIEgCRAEDlJtsi6BEyAIHLgqZYUQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQSJoAQeCkUbIgBJIvQBA4+aYsEQEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIGgCBAEDkpNsh6BFCAIHMhqZaUQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQSIoAQeCkMLIQBDJHgCBw5riyVAQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQSCIEAQOAi1yDoEVoAgcGCr" + "lhVDAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAIMMCBIFjENav" + "XUbKl8zvGbtz936Z8+Wa0LD8x+SRGy+sEOq3b6Z+vMq+zfDrCeUKS/0zSkq9aiWkTPH8UrxwXsmX" + "O5es2bJHVm7YJavM/5/8tFEWLduW7s/SZVc/obCULJpPVm/YI7+v3C5btu5J93KONeWqdWJROaF0" + "ftm4fZ8s/XunLF+zM93LScYMRYvkk5qmLOVK5Jf8+XLL3xv3yFJTlnUbdyVj8Vm+DILAWU7OByKA" + "AAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCQbQQIAseoqiFtz5Az" + "Khf1jN2wbZ807fdtaNjFZ5eVbk2qh/rtm87jfpP5v2+yvQm/PnDzSXJDvfJxzf/Nos3y8rvL4wrg" + "3m+We+3Z5SRf3lwRy96x+1955f0VnsBzxESHBjx8SzWpf0opKVoob8QkBw4clLfnrZWXZy+TPXv3" + "R4yPNaD9TSdJw/MOr/Oqjbul1aD5sSYPDS9bqqC0a1BZLqhZQnKboHR49/fmPTJq7nL5bOH68FG+" + "7icI7OvqoXAIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIHFUB" + "gsAx+OMJAl9Sp6x0bRwZBO7y6m8y77fEg8DaUm/P5jWkctlCMUoXffDBgyJDZv0p7371T9QJNCzb" + "t0UtqVr+yMv93JR/wORFUUO8Wr7et9eUimUKRv0c98CdJljcd+oS+e7Xje7BMd+/2f1cKeYKFscT" + "BNb1Gtr2dCllWjY+Uqfr1cvUT3bpCAJnl5qinAgggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAghkvQBB4BjmRysIfN5ppaV70+pyTN7cMUqW9mBtifcx0yLxQtNCsLvL" + "f0wemdzlHE/I1j0+2vtfVuyQB4f/4Bl1bPH8MuHRs6VAvvjLl7Jnv7R+fqFs2LTbs6zwnvY3VTWt" + "AVfwDD5SEDg9IWC74PGfrpRX31the339ShDY19VD4RBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBA4qgIEgWPwH60g8NSu9aRkGi3baqu/+/YfSDMorK3w3vXCD57g" + "7aNNqsvVZ5eNsbaxBz87a6nM+XJNaIKOjavJNXXKhfrjfbP4753S7oWFUSevUKaQtL6qolxyWqmI" + "8UcKAk96vK6ULX5MxHxbdv4ry9ftktMrFZHcuXN5xqth4wHzZMvWPZ7hfuwhCOzHWqFMCCCAAAII" + "IIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCPhDgCBwjHo4GkHg1tdWlmb1" + "j49aok9/2Shz56+XH5dskT1790vpkgWk5WUnyFVnlY0IuuoCJn62Wsa+s8xZlrYGPLPHuZI3jzcQ" + "u9q00Nv39UWyYk2KnHtKSXmsUbWIln5XrN8lrQd/7yxHW9+d0PGsiM/bsG2vjP1opSxavUNOqVhU" + "7r6iohQpmNeZx/3PLf0Ph2/vvb6KlDk2v5xg1qNahcLuyTzv0woC169dxrSeXMMzvYZ8B765RD78" + "bq0zvHqlojLs3tMjyvzC7KUy64vDAWfPQnzUQxDYR5VBURBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBDwmQBB4BgVktVBYA32jn/kbMmX1xvW1eJNMqHeMYdCveHF" + "veycctLFBHhzhc22bF2KtBmywJn8hguPkwcaVPHM+u/+g3K7CfhuMGFg251/Rmnp3aym7Q29thvx" + "oyxevl2aXXGitL6sYmi4vtm1Z7/c8+KPsmZ9Smi4hm+Htz0jokw9Jy+SL35Y70w3s+e5UrhAZFg4" + "tJBDb9IKAj9rPuP0ykU9s0w3rRePMK0Yu7uHbqkmDYyTu/tm0WbpOuZX9yBfvicI7MtqoVAIIIAA" + "AggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAII+EKAIHCMasjqIPADN58k" + "N9QrH1GauQvWyaDXF0cMdw/odGt1p2Vg9zB93/Tp+U7Qt1uLWnLxqaU8oz/5eaM8NeF3zzDtGd/5" + "HKlQIr9n+EvvLZM3Pl0tjzapLlefXdYzbva8tfLcG0s8w7RnaPsz5eQTi3iGu1spzmgQuEKZQjLe" + "tE7s7nbvOyANnvzKPch5ry0in35ScTm2SL7QuC079sm83zaF+v36hiCwX2uGciGAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCBw9AUIAseog6wOAj/X7gw5zbSkG961" + "enahrFq7M3ywp79G5WIRrQLv3XdQnjRB33Ubd8m4TnXkhFIFPPP0N+Hdj0yIN7x7yLQu3KCut/Vc" + "Gxp+5p7TpXbVYp5ZOo/7Teb/HhmofbHDmVLrBG8QeMxHK2TSByud+d/qca4UKZh4i8CNLzle7r2q" + "sqcs/zPl6GHKU61iUallQsjVKxSWg2aK31bukB+XbvO0WuyZ0cc9BIF9XDkUDQEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIGjLEAQOEYFZHUQOFow9qdl2+Xhl36M" + "UcL4B7/f73zJnTuXZ4a7nl8oy9dEBowbXFBBHrq+qmfa31fvlA5DF0Zt5bfF4AURAVttgXemCfrm" + "zeP9zMdf+12++3Wjs+yzapY0Yd3Cns85zQR4z61RwjNs1cbd0mrQfM8w7Wl/00nS8DxvC8oaND63" + "ekk5taI3gGxnXmjCwL0m/S7bTWvA2aUjCJxdaopyIoAAAggggAACCCCAAAIIIIAAAggggAACCCCA" + "AAIIIIAAAggggAACCCCAAAIIIJD1AgSBY5hnZRC4aJF8MqNbvYiSTP9yjYyYtTRieHoHfDjggohZ" + "Gvb9Nmog9rzTSkvf22t6pl+5YZfc+cz3ogHfihUKecYtXr7d0689vVqdLBfUKhkx/Jb+82TL1j0R" + "w+2AaJ8dKwgc6zPssmK9bk/5V3pMXiQ/Lt4caxJfDScI7KvqoDAIIIAAAggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAggggAACCCCAAAII+EqAIHCM6sjKIPDp1Y6VZ9ucGlGSYe8skxmfrY4Y" + "np4BsULGl3f5X9TFRCvLxu375Nanvo06ffjAx5rWkCtrlwkfLPOXbJHOo36JGO4ekJ4g8IgHakv1" + "47wtCruXldb7Ddv2StN+36U1iW/GEQT2TVVQEAQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQR8J0AQOEaVZGUQuL4JznY3AdrwbtCMP2XuN/+ED05Xv7biO7PHuZI3" + "Ty7PfOkJAmsrug17f+OZP7ynUoXC0vXWGlK1vLfFYJ1u15790mLIgjRbA9bp0hMEnvx4XSlT/Bid" + "LaJbsX6X/PH3DsllVvn8miWlUP48EdOM+WiFTPpgZcRwvw0gCOy3GqE8CCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCPhHgCBwjLrIyiBwtYpF5aX7zogoyegPV8jk" + "DzMWVo3VIvB13b+WPXv3R3zmWSY4O+jOkz3Dj9SCbrMrTpSWF58oecLCxrqQ3XsPSM/Jf8i83zZ5" + "lhmtJz1B4FGPnCWVy0aGjj83n9Pr1d9Ciz+hXGF5/p7TpHjhvKFh+mblhl1y5zPfe4b5sYcgsB9r" + "hTIhgAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg4A+BHBcE1mBs" + "njy5Pfpbtu7x9GtPVgaB9fM+6H+B04Ktvrfd7Hlr5bk3ltjeNF+v+U95yZPb2+rvB9+tc8K+Hw64" + "IGLeW/rPi9pC739OLy19mtf0TK8t7LYeHBmaPbZ4funZrKacVqmoZ3rbowHizmN/leVrdtpBab6m" + "Jwj8zD2nS+2qxTzL27PvgDQdOE+279jnGX7LxcdL26sre4bt339Qrur6pWeYH3sIAvuxVigTAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAv4QyHFB4Nc6nyPHlcjv" + "0X/8td/lu183eoZldRB40uN1pWzxYzxl2LBtnzTt961nWLSeCmUKyfiOZ0WMGjl3mUz7ZLXMfer8" + "iNZ6Hx71i/y0ZEvEPE0uPUHuubKSZ/hvK3fI/cN+8AzTloN7NashhfLn8Qy3PR/+uF6efePPqK0O" + "22nCX9MTBO56ey255LRSnkX88Nc26TjyJ88w7SldsoBMeaxOxPBmg76XdRt3RQz30wCCwH6qDcqC" + "AAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAgL8ECAKb+nhq2mL5" + "ZP46T808f9+ZcmrFIp5h4cHcS+qUla6Nq3um0Z4ur/4m837bFDE8rQH97zpV6lY/NmKSvlMXy6ff" + "e8sWPtHN/z1e7rumcvhgaTfiR1m8fLuM6Xi2VCxT0DP+2VlLZc6XazzDtOfRJtXl6rPLeoZ/8MN6" + "GTh5UWjYhWeWkW5murx5vC0Q6wRbd/4rT7+5RL75xRusDs2cxpv0BIHb3VBVGp1fwbO0bxZtlq5j" + "fvUM0578x+SROb3Pixje9On5smHT7ojhfhpAENhPtUFZEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEPCXQI4LAkcLxY7+cIVM/nClp2bGm5aDK4S1HLxsXYq0GbIg" + "NF0yg8CNLzle7r2qcmjZ9s2qjbvlfhPo3b5jnx3kedWQ6+Qu50ixQnk9w3fvPSANun/lDHuieQ25" + "9PQynvHfLd4ij4/+xTNMe17vWk9KFc3nGT7snWUy47PVzrD/nF5aet1WQ3LnjgwBzzctDPedsihm" + "WT0LjdKTniBw/dplpHvTGp6lrN+6V27r/51nmPacXu1YebbNqZ7hbh/PCJ/1EAT2WYVQHAQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQR8JJDjgsDdWtSSi08t5amC" + "Fet3SevB34eGVapQWEY/WDvUb98sXLpNHn35J9sryQwC60JfM+Hj48LCxzp87Za98vArP8u6jbu0" + "N9QVLZJPejSrJbWrFgsNs2/cQd9rTcu5j5gWdMO7tsN/lCUrtocGN6x/nLS/tkqo3765+8Uf5K9V" + "O5zeKU/Uk9LFvEFhHfHu/LUyeNoSO0tCr+kJAusHzOx5rhQu4A1AP/PWn/Le1/94Pn+gCQHXMWFg" + "d/f76p3SYehC9yBfvicI7MtqoVAIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "gAACCCCAAAII+EIgxwWBm1x6gtxzZaUIfA2GfvrTeimYP480OKd8RKu4OsObX62R4TOXhuaNFQTW" + "lmlT9u4PTZfWmwmfrpJP5q9zJql7Sinpf0etqJPv3ndA/li1U35euU02btsrJ5YuIFecWUaKFPQG" + "YXXmgwdFOo39VRYu2uwsS1sNntG9nhyTN7dn2ctNALrHhD9k1dqdop/dtXG1iOX9ZVpBvvtQK8h3" + "XVdZbrvoeM8ybM9E02LwQf3gNLpla3fJp9+nrmu0ydIbBH74lmpy3TnlPIvav/+gvDR3mbzz9Vop" + "aGzua1ApojVknWH6l2tkxKzDdelZiI96CAL7qDIoCgIIIIAAAggggAACCCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAI+E8hxQeAalYvJ8LanJ1QNLQYvkDXrU0LzxgoChyaI482wd/6S" + "GZ/9HZqy/12nSt3q3tZrQyPjfDP1i7/l5dl/eaaOFpr1TBCjZ9CMP2XuN6kt7E7rVk9KmFaIE+2+" + "+H2T9Bz3W8zZ0xsErl6pqKnLMyRXrpiLjDpiW8q/ctuAebInzrB21IVk0UCCwFkEzccggAACCCCA" + "AAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAgggkA0FclwQWOvooUbVpEFdb0uy" + "R6q7hUu3yaMv/+SZLDOCwEVN0LZLk+pybo0Sns+Kt2fx3zul3QsLIybXVoEnPFYnXUHeH/7aLh1H" + "/ugsS+ef0/u8iOWmZ0Cyg8D62df8p7w8csNJ6QoD939jiXw0b216in7UpiUIfNTo+WAEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEfC+QI4PAWitD258pJ59YJK4K" + "WmBCwN3G/RrRgmxmBIFtgW64sILce1VlyZ8vtx2U5uvBgyJz5q+VEbP+iiinnbF0yQLSq3ktqXl8" + "YTso5uvHP62XwdP+DC2rVtXi8uI9p8WcPp4RmREE1s+NNwy8998D8sr7yz0tMMdT7qM5DUHgo6nP" + "ZyOAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCDgb4EcGwTWarn2" + "/Apyx8UnSuli+aLWkgZH55iWY4e9tTTq+GQEgXtPWSSfLVwfdfkVyhSSDtdXlrNMCPeYvNEDwQcO" + "HJTfV+2UF2YvlSUrtkddTvjAe6+vItfXLS8Fjolc5qYd++Tlucvlw++8LebWqVVSBrY6OXxR6eqf" + "bZb53PQlMeepbVpBfqb1KZ7xqzbullaD5nuGRes5/4zScsclJ0i1CpEh53/3H5TfVu6Qp81nr1mf" + "Em123w4jCOzbqqFgCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAAC" + "CBx1gRwdBLb61SoWlRPLFJQKJfNLnty5ZOvOfbJuyz75+ucNdpKj/qpB3LIljpESRfI5ZVy1Ybcs" + "/XunLF+zM+GyaQvBNU4o4gShV67fI0tWb5ftJgicnbuypQpKxbIFpcyxx8iBAyKLVu+Qv1btyLar" + "RBA421YdBUcAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAg0wUI" + "Amc6MR+AQOICBIETt2NOBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBIIuQBA46DXM+mVrAYLA2br6KDwCCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCA" + "AAIIIIAAAggggAACmSpAEDhTeVk4AhkTIAicMT/mRgABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQCDIAgSBg1y7rFu2FyAInO2rkBVAAAEEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAINMECAJnGi0LRiDjAgSBM27IEhBAAAEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBAIqgBB4KDWLOsVCAGCwIGoRlYCAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAgUwRIAicKawsFIHkCBAE" + "To4jS0EAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAgiAIEgYNY" + "q6xTYAQIAgemKlkRBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BJIuQBA46aQsEIHkCRAETp4lS0IAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAgaAIEgYNWo6xPoAQIAgeqOlkZBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBJIqQBA4qZwsDIHkChAETq4nS0MAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAgSAIEgYNUm6xL4AQIAgeuSlkhBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBJImQBA4aZQsCIHkCxAETr4pS0QAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAgKAIEgYNSk6xHIAUIAgeyWlkp" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBJIiQBA4KYwsBIHM" + "ESAInDmuLBUBBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQACBIAgQ" + "BA5CLbIOgRUgCBzYqmXFEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEMiwAEHgDBOyAAQyT4AgcObZsmQEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEsrsAQeDsXoOUP9ACBIEDXb2sHAIIIIAAAggggAACCCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIZEiAInCE+ZkYgcwUIAmeuL0tHAAEEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAIDsLEATOzrVH2QMvQBA48FXMCiKAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCQsABB4ITpmBGBzBcgCJz5xnwCAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAtlVgCBwdq05yp0jBAgC" + "54hqZiURQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQSEiAIHBC" + "bMyEQNYIEATOGmc+BQEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AIHsKEAQODvWGmXOMQIEgXNMVbOiCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAII" + "IIAAAggggAACCKRbIOlB4D179qS7EMyAAALRBfLnzx99BEMRQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQCDHC6xb+48cPHgw1eHQq9Nnhx0SylWpStXUqXLlklyW" + "zbzXLpd5LVuuvPOeILDDwD8IJEWAIHBSGFkIAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAoEUSFoQeFdKSiCBWCkEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEEAAAQQQQAABBBBAAAEEEEAAAQT8KFCwUKHktAhMENiP1UuZEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQSCKkAQOKg1y3ohgAACCCCAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCARagCBwoKuXlUMAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQCKoAQeCg1izrhQACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCAQaAGCwIGuXlYOAQQQQAABBBBA" + "AAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQCCoAgSBg1qzrBcCCCCAAAII" + "IIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAQKAFCAIHunpZOQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQACBoAoQBA5qzbJeCCCA" + "AAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAKBFiAIHOjqZeUQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBIIqQBA4qDXL" + "eiGAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIBFqAIHCg" + "q5eVQwABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBAIqgBB" + "4KDWLOuFAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIBBo" + "AYLAga5eVg4BBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "IKgCBIGDWrOsFwIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAII" + "IIBAoAUIAge6elk5BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAIGgChAEDmrNsl4IIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCA" + "AAIIIIAAAoEWIAgc6Opl5RBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBBBAAAEEgipAEDioNct6IYAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggEWoAgcKCrl5VDAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEAiqAEHgoNYs64UAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAgggEGgBgsCBrl5WDgEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEE" + "EEAAAQQQQAABBBBAAAEEEEAgqAIEgYNas6wXAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAggggECgBQgCB7p6WTkEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBA" + "AAEEEEAAAQQQQAABBBBAAAEEEEAAgaAKEAQOas2yXggggAACCCCAAAIIIIAAAggggAACCCCAAAII" + "IIAAAggggAACCCCAAAIIIIAAAggggAACgRYgCBzo6mXlEEAAAQQQQAABBBBAAAEEEEAAAQQQQAAB" + "BBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQSCKkAQOKg1y3ohgAACCCCAAAIIIIAAAggggAACCCCA" + "AAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCARagCBwoKuXlUMAAQQQQAABBBBAAAEEEEAAAQQQ" + "QAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQCKoAQeCg1izrhQACCCCAAAIIIIAAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCAQaAGCwIGuXlYOAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQCCoAgSBE6zZmqeckuCczIYAAggggAAC" + "CCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAgggkBkCf/z6a2Ys1rfLJAic" + "YNUQBE4QjtkQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQACB" + "TBIgCCxyUG0POv+GlHNVqlI1dUiuXJLLDjbvtctlXnelpNihOeLVBoGX/flnjlhfVhIBBBBIhkDl" + "k05yFsO2MxmaLAMBBBBAAAEEMlOA/ZbM1GXZCCAQRAG2m0GsVdYJAQQyW4BtZ2YLs3wEEAiiANvO" + "INYq65QdBPjuZYdaooxBFOC7F8RaZZ0QQCCzBey2kyAwQeC4/tYIAsfFxEQIIICAR8D+2BIE9rDQ" + "gwACCCCAAAI+FGC/xYeVQpEQQMDXAmw3fV09FA4BBHwqwLbTpxVDsRBAwNcCbDt9XT0ULsACfPcC" + "XLmsmq8F+O75unooHAII+FTAbjsJAhMEjutPlCBwXExMhAACCHgE7I8tQWAPCz0IIIAAAggg4EMB" + "9lt8WCkUCQEEfC3AdtPX1UPhEEDApwJsO31aMRQLAQR8LcC209fVQ+ECLMB3L8CVy6r5WoDvnq+r" + "h8IhgIBPBey2kyAwQeC4/kQJAsfFxEQIIICAR8D+2BIE9rDQgwACCCCAAAI+FGC/xYeVQpEQQMDX" + "Amw3fV09FA4BBHwqwLbTpxVDsRBAwNcCbDt9XT0ULsACfPcCXLmsmq8F+O75unooHAII+FTAbjsJ" + "AhMEjutPlCBwXExMhAACCHgE7I8tQWAPCz0IIIAAAggg4EMB9lt8WCkUCQEEfC3AdtPX1UPhEEDA" + "pwJsO31aMRQLAQR8LcC209fVQ+ECLMB3L8CVy6r5WoDvnq+rh8IhgIBPBey2kyAwQeC4/kQJAsfF" + "xEQIIICAR8D+2BIE9rDQgwACCCCAAAI+FGC/xYeVQpEQQMDXAmw3fV09FA4BBHwqwLbTpxVDsRBA" + "wNcCbDt9XT0ULsACfPcCXLmsmq8F+O75unooHAII+FTAbjsJAhMEjutPlCBwXExMhAACCHgE7I8t" + "QWAPCz0IIIAAAggg4EMB9lt8WCkUCQEEfC3AdtPX1UPhEEDApwJsO31aMRQLAQR8LcC209fVQ+EC" + "LMB3L8CVy6r5WoDvnq+rh8IhgIBPBey2kyAwQeC4/kQJAsfFxEQIIICAR8D+2BIE9rDQgwACCCCA" + "AAI+FGC/xYeVQpEQQMDXAmw3fV09FA4BBHwqwLbTpxVDsRBAwNcCbDt9XT0ULsACfPcCXLmsmq8F" + "+O75unooHAII+FTAbjsJAhMEjutPlCBwXExMhAACCHgE7I8tQWAPCz0IIIAAAggg4EMB9lt8WCkU" + "CQEEfC3AdtPX1UPhEEDApwJsO31aMRQLAQR8LcC209fVQ+ECLMB3L8CVy6r5WoDvnq+rh8IhgIBP" + "Bey2kyAwQeC4/kQJAsfFxEQIIICAR8D+2BIE9rDQgwACCCCAAAI+FGC/xYeVQpEQQMDXAmw3fV09" + "FA4BBHwqwLbTpxVDsRBAwNcCbDt9XT0ULsACfPcCXLmsmq8F+O75unooHAII+FTAbjsJAhMEjutP" + "lCBwXExMhAACCHgE7I8tQWAPCz0IIIAAAggg4EMB9lt8WCkUCQEEfC3AdtPX1UPhEEDApwJsO31a" + "MRQLAQR8LcC209fVQ+ECLMB3L8CVy6r5WoDvnq+rh8IhgIBPBey2kyAwQeC4/kQJAsfFxEQIIICA" + "R8D+2BIE9rDQgwACCCCAAAI+FGC/xYeVQpEQQMDXAmw3fV09FA4BBHwqwLbTpxVDsRBAwNcCbDt9" + "XT0ULsACfPcCXLmsmq8F+O75unooHAII+FTAbjsJAhMEjutPlCBwXExMhAACCHgE7I8tQWAPCz0I" + "IIAAAggg4EMB9lt8WCkUCQEEfC3AdtPX1UPhEEDApwJsO31aMRQLAQR8LcC209fVQ+ECLMB3L8CV" + "y6r5WoDvnq+rh8IhgIBPBey2kyAwQeC4/kQJAsfFxEQIIICAR8D+2BIE9rDQgwACCCCAAAI+FGC/" + "xYeVQpEQQMDXAmw3fV09FA4BBHwqwLbTpxVDsRBAwNcCbDt9XT0ULsACfPcCXLmsmq8F+O75unoo" + "HAII+FTAbjsJAhMEjutPlCBwXExMhAACCHgE7I8tQWAPCz0IIIAAAggg4EMB9lt8WCkUCQEEfC3A" + "dtPX1UPhEEDApwJsO31aMRQLAQR8LcC209fVQ+ECLMB3L8CVy6r5WoDvnq+rh8IhgIBPBey2kyAw" + "QeC4/kQJAsfFxEQIIICAR8D+2BIE9rDQgwACCCCAAAI+FGC/xYeVQpEQQMDXAmw3fV09FA4BBHwq" + "wLbTpxVDsRBAwNcCbDt9XT0ULsACfPcCXLmsmq8F+O75unooHAII+FTAbjsJAhMEjutPlCBwXExM" + "lIbAMcccIwUK5Jdt27anMZVIwYIFZP/+A7J3796Y0+k0+fLlkx07U+TA/v0xp2MEAkdbwP7YEgQ+" + "2jXB5yOAAAIIIIDAkQSSvd+SO08eKVK40BH3/49ULsYjgAACfhVI9nbTr+tJuRBAAIFkCrDtTKYm" + "y0IAgZwiwLYzp9Q06+k3Ab57mVMjxYoV5Rp/5tAGZql89wJTlawIAghkoYDddhIEJggc159dRoLA" + "VapUlrZ33+V8zvsffiQfffyp8z4n/NOyRTM55eST5fsFC+X1adNzwipHXUcN7r4xeYIJ+RaUjl2e" + "kAULfghNpwGBpk0ayZmnny7Vq50kxxYv7ozbuHmTLFq0WBb88KO8Mf2t0PT6pke3J+S/F10g8xcs" + "kE5dunnG0YOAnwTsj216gsBly5aRRx7sILly5U7XqqxYsVKGvfRyuuZhYgQQQAABBBBAwAokst9i" + "57WvVatWkTvvuF3OOP1UKVqkqB0smzZvlu/mfS+vjBknmzZtCg3XN6ecXEtatmjuDBs05HnZsGGD" + "Z7xfe/r26u7cnDhr9hz535dfH7GY7dveIxUrnih///23PP/iiCNOzwQIIOB/gUS3mwP79Ulo5YaN" + "GCkrVq5KaN5kzaTncPr27CZ58uSNuch//90na/5ZK38u/UvmfvBR0m7gLlmypLS7p43UrFnDudFk" + "xcqV8lDHzjHLwQgEEPCnQKLbTl2biieeIO3b3Rtzxfbv/1d27txltkFrnP2zP8y5ZbojCxzNbbt+" + "9t2tW0rdOnWkZMkSsjMlRdo/8DA3Ex652pgihwkksu3kOoOIbmO6du4kRYoUlkWLl8josa9G/cvR" + "fczKlSs542bMfFu+/ubbqNPZ8wD7TQNFTzzZUxredIOcV6+uHDx4QLp07RF1nmgDS5cuLR0f6iC5" + "c+eRXk8NkJSdO6NN5hl20YUXSINrr3YaUXqyZ2LHE54F0hOXQKLfvY4PPRDX8sMn6vzEk+GDAtGv" + "wd87bm8m9c21/dIlS4XWKSVlp/z2xyIZNeZVyY77bR0ful/Kli0r8Z4bDK04b44okMh3z71QzZIU" + "KlRQlq9YIcNfesU9KtDv69U9Rxo1vNEcE+2U3ub3hQ4BBHKWgN12EgQmCBzXX35GgsB16pwlg/r1" + "dT5nwuQpMmbc+Lg+MwgTvff2DNGWcD/+9DPp239gEFYpoXXo3eNJufD882TDxo3SpNkdoWXUqlVT" + "enTtIuXMTnJa3YpVq6S7ObC1F7yOP+F4GT86NfDY6YluMn/+grRmZxwCR03A/timJwh8qwnG33tX" + "63SXWQ+S293/ULrnYwYEEEAAAQQQQEAFEtlvccvd1/ZuuaXhTe5BEe8PHjxoLnL1l88+/19o3MX/" + "vUi6P9HF6W/TroMsNcExv3d6AeOtaVOcYo4cNSaumz5nTJssxYsVk127dsl1N93i91WkfAggEIdA" + "otvNj+fOiWPpkZM82PEx+ennXyJHZOEQDS1MnRg9QBGtGJu3bpGevfslpdyjXhomVatUDn3M1m3b" + "pGHj20L9vEEAgewhkOi2U9fu6quukMceif/cVzKvRbRo3tS5gW3HjhR5asDTHmy9UaHTI6mBn0lT" + "piVlm+f5gEzuOZrb9nb3tpHGNzf0rGGT5i2zzc2BnoLTg0AmCiSy7eQ6gzjXZ/U6rXYpu1KkwU2N" + "o9bS+3NmSt68qTe6ffXNN9K1e++I6SqULycTXx3jDF+85E+5t/0D0qnjQ3LNlVc4wy696rqIeWIN" + "aHjj9XL/fW2d0e5tnga4Gt7YwBnep/8gT0C42W1NpE2rls64Bjc38YyL9TkMz7hAIt89vUl+1IgX" + "E/rwy6+9IWk3UiZUgEyY6VwTlu/Xu4dp/ChXmkuf/c67MuT5xNzSXHAmjUzk3GAmFSWQi03ku2ch" + "NJtjt/2/m6D5feYms5zSPdW7u/zn3HNly9atcnOTZjlltT3r2afnk+Y3PY9pUHBhRGODngkD0pPd" + "j0UDUg2+WQ277SQITBA4rj9KgsBxMUVMRBBY5MwzTpNnB6WGoPsOGCQff/Kp4/Tf+hc5IWCLpgfh" + "3y/4URYvWWJa1sorNWvUkNpnnO60sqXTaGjgoUc7h06k9ureVS664Hz5+59/5PaWd9nF8IqArwTs" + "j216gsAahmlk7iQP70495ZTQIA39aitL7u6v5StkyHND3YN4jwACCCCAAAIIxC2QyH6LXfh1plWa" + "jg/eb3vl519+kc//95Xs3bdPTjQ38V195eVSqGAhZ/w+M+zOe9qZlnHXOP3aGkhP00qDdq3N8GVm" + "n8bvXSIn+wkC+71WKR8C6RdIdLup5zNKljjW84HaYrhtSV1bUV+zJnUb6Z5osDneO9rbSHdYbPuO" + "7aJPpvF05uJumTKlpWzpMqHBO3bskKZ3tM5QWKF69Woy8sXnnWX+s26t/N9nX0hu8xSdES+PCn0O" + "bxBAIHsIJLrt1LVzB4F1W7Bxw8bQSmtL5YUKF5ITjz/eEzTp2bef5ya00AzpfNOvTw/T6mM90Rsc" + "GjVJfZqFXYS70YoBzwyR901r6NmpO1rbdm2p8+3prztPEdy9e5e8/9Enoq1sjhozztw8tzs7EVJW" + "BDJdIJFtJ9cZUqtl7CsjpFLFik5P0xatZN269Z760qcUvfjc4NCwWDfvXnfNVaYV39SbPuyNJtoa" + "6HXXXO1su64wAc54u1hBYPfwW25r4XmiEkHgeHWTO10i3z19Su7TUZ4Co08QtmHYlatWm9bvt0YU" + "9v6HO0UMy84DdB9p7MjhoaD9anOc+9HHn5iGwzZJ6VKl5PLLLpHjypcPreLTg5+V997/MNTv5zfu" + "c4PDR74ib7z5lp+Lm+3Klsh3z64kQeCcHQSeO/stJ1/00Sf/F3EDp/0bCdJrdj8WDVJd+GFd7LaT" + "IDBB4Lj+HgkCx8UUMRFBYJFBA56SOmfV9rQGrAdB0ya9Zh7JUNgx+27+91Eff6OPLurfp5dUOfRI" + "nrXr10tzE/o9YE4I6qPgxo0a6cz/RPdeMR/VE1EpDEAgCwXsj216gsCxivfic8+YlkdOdkZffX1D" + "5xFQsaZlOAIIIIAAAgggkF6BjOy3jBz2glSvdpLzkc8PGyEzZ832fLxe5B9mLqzVrFHdGf7mzFny" + "4vDUfXkdd169c+TAgYPZZp/efbKfFoE9VU0PAjlKICPbzXAodwBh+oyZMuyl1KcghU93tPvdYbEv" + "vvxauvfqE7VIes5m6LODpGjRYs74F8xvw1thvw1RZ89m/6YAAEAASURBVIwxUG8oeaxjais+nAOK" + "gcRgBLKJQEa2ne4g8LMvvChvz3k3Yq31wr+2AlW3ztnOuE8/+zwpj8XNKUHgrNy2u39TZsx6W4YO" + "eymiPhmAAAKpAhnZdoYb5rTrDO6Wx58e8py8N/cDD8ldd7aU5k2beIZFe1pR966Py8X1L3Smu+/B" + "R+T33/+QY4891lyzqSmbNm9x+j0LSaPHHfh1twjsHk4QOA3ALByVzO9eqztulzuapz7RpEu3HvLt" + "d/OycE2Ozke1ad1Smt2a+v36P/N0sF7mBq3w7sEO7eTG61NbwtYnA7dqc2/4JL7st+cztXALFv7A" + "TUxJrqWMfPcIAhMEzpcvnxAETvKXksVlCwG77SQITBA4rj/YrAoC6w+zhj43b9kScVemFlQvJBQs" + "WFDWmjs2t5hp4un0hFJZ0xrJMtNKScrOnfHMYj6jgFSqVMkpw6ZNm+KaRx8LU6JECSfwau8oPVIQ" + "WNe3dOlScmzx4rJ7zx5zd+fmuNerUOHCUtm0GJOSkhJXizC6Q1q5UkXJY17Xrl1r7jTcfsT1svP8" + "a1rt0p3v9Hbuk3kTp0yV0WNTHx9pW/PV5Wmz/J26dE1z0RNfGy0VyqXeEehezmtjR8kJx1WQX3/7" + "TTo89Giay2AkAkdDwP7YZocgcFZtK49GPfCZCCCAAAIIIHBkgUT3W/SY4QPzGE1t1SQlZac0aOi9" + "gGY/WR9T9cbk8U7vn0v/krvbdbCj4nrVY8G85iSetoapNwbG22n5TjKPZdRuuZl37969ac6qx1ll" + "zTFaoUKFnGOttevWRZzMPxpB4HjKleaKxTlS66l8ubLy17JlEeud1iL0GLpK5crm2Oz3tCZjHAKB" + "Ekh0uxkNIb1BYHv8tmq1tiR15PM79jP1PJS2PrzXnIPK6HmetMJi+nkd7rtXbr4xtWW0d9//QAYN" + "fs4Ww/N6nDmvU9hse/W3Idb23R3+a3HXPbLatKBFhwAC2VMgI9tO97YgVhBYVdz7atrK+i1Nb4+J" + "Fe/2tF+fnubmtbpRWwR2N1gRT0t28X5meKEz49qJfoaWZ+rE1PP2ydq2x7NP6f7cocNfkhkz3w5f" + "ZfoRQOCQQEa2neGIiQSB9TtdrGiRiGuRGoTVa5x58+aV7eYpEGvW/BNzf86Ww153zKprlXXqnCWD" + "+vV1Pv4j88TSp8yTS93dqJeGSdUqld2DZNS4V2XS5KmeYW9OneRcy9WnHF3V4CbPuLR67LZ7/4ED" + "ztM09JyEO/DrCQKbJ0Xe3y41BOkersuP1iKwbkfVX1tTj+d8R1rlZFx0gWR+9xIJAifzu6drqMde" + "xYoVizv/YL+vieYEhr/wrNSqWcPBbd6ytaz5Z21U6CkTx4WeKnO5aV071nGhzqz7XfkLFIj7bz7R" + "82l2X2bL1q3yz9p1aZYp6kq5Bup3Vc+1rTMNntkMiWt0xFt7LnOnybXYJ6pFTBTwARn57ul2VzM6" + "2v3+xyK574HUm4qjkdltdHbMJukxzwnmaSjurNBTvbvLf86NHQTWv62SJs+kvx0Hze9SrPWOZpXe" + "bJIuI6u3OfqZ6W0ROJnbWbvNzKp9HF3frDoW1c+K1iWyrdS/3eOOO8558kE820T356b3N8DOq9vh" + "rMgM6ucdzeskdttJEJggsP3bT/M1M4LA7oMWPYnfp3s3J6hqC6KPXxn07PPy6f99Lp0ffcS50zJ/" + "/vx2tOhjM/oOeFoWL14SGjZ7xlSnlVm9wOAcjF1+mbjn2bxls9MCyfiJU0LzuN9ccdmlcs/dd0qp" + "EiVDg3Web7/73ilL+I6n7hx079pFzjn7LNH3ttN5ho8cJZ0eftAZ/vGnn0nf/gPtaOdH735zQaTe" + "OeeEHgNiR+pjMfoPfMZzAXXIoAFS+4zTZcEPPzgnxNq3vUfKlS1rZzGtZx2Qsa9NkImTXw8Ns2/O" + "OutMeahDe+eRvHaYvq7fsEFemzhZ5rzznnuw875KlcrStXMncyG3Uqh8+niun375TQY/90JcO6m6" + "oDtb3i4tmqXe3ejewf/w3bcld+7c8u+//0rTFnd6Hm+j84V3ug6DB6TeJaj13tL8vWjXrGljaXNn" + "K+d9+EGxM5B/EDjKAvbHNrOCwLrTMnHcKOcRF99895083q1nxBrrtumdmdOd79zSv5ZJm7btJau3" + "lRGFYgACCCCAAAII+E4g0f0W3dewJ1f14tNV5skF4cdNdmV7dHtCatasZi5SHZAWrdo4g/Vxpd2f" + "6OK8D291R08WdjYtQJ5cq2boMYJ67PPtvHnyznvvS68nuzrHK5dedZ0zv/sYU48/evd40rmgZx+9" + "qBNpCyT9n34mIhBcr+45cs9dd0ZcANR5fv7lF+nWs08obOcOl2R2i8DxlivR/UJdP+20laQG5pGm" + "euOt7Vb9vUbmmmPr8OPMOW+94UynLdjocd3drVs5x446n60LuwxeEQiyQKLbzWgm8QSB9aLLk493" + "krNr13aOAe1ydpjQxcf/95m8YFpSdG9/r7v2aun44P3OZENMy5m3Nm4kx1eoYGdzziX99scfplWm" + "AbLBnCOKp9NtTbxhsfPOrSf9evdwFvuuaXltkGmBzXZ6UvxJs+3X82kaHNFOt++LzDm+ceMnhlrH" + "0m3ggL697Gye11lz3pHnXhjmGUYPAgj4XyAj2854g8B6AfbDd2Y5GEv+XCr33Je6LbQ66dmePv7Y" + "o3KFeWx1tG6CORd/+223Rhsl+mS7225vFRqXns/Umdz7tcm6dhIqTNibZG3bdbHx7FPqvvSk18ZI" + "oYKFwkoiotdG7HFCxEgGIJCDBTKy7QxnSysIXNXcRDtqxIvOLE1btJJrr77S/H+VlC5VytMgz61N" + "Gknjmxs6YSL38vWan7bAN/CZIe7Bzvujda1Sz1m8O+tN59zBho0bpUmzO0Jlc5/PmDx1mmmV9Dpn" + "26TnAB545LHQdO7t5PwFC0zjRt2ccZ06PiTXXHmF8z78WFjPddzbprXnWu7Bgwfly6+/kX9MGLJR" + "wxud+fTaZuNGNzmeoQ90vbH70e7fhcHPD3V+f9zXiXWWWOc7XIvjbToFkvndSysInJnfPQ1p9TBZ" + "Bve5NWXQ6/5vzZpjgu+veY4jdVyVKsnJCeiTfTW0pd0Dj3Qy59d+dd6H/6Ph+FvM90DP3z3auasT" + "ftWnBk8ZP86ZdOiIkXLRBf+RM047zTkPZefX/YYXXhwh382bbweFXuM9nxaawbzRfUg9D3nh+ed5" + "zo/pd1e/Xy+actgG5HT7MXvGNOd41n1u8OO5c5xF6s1hFU88Ua679iopWqRo6GO2b98mTw0cHDrm" + "DY0wb+pfdIG0vL25k5ex5zI1L/PmW2a/1tho6+U5pXG0jHz33Nt2dxDYvR1N1v710bjeruevr7vm" + "ytATmPRvSHNR+nSpGjWqOeeMNMB+c5NmoT8vNWnf9m656orLPZkmnUD/JsdNmCwz9O/sUJeRbNLR" + "2Oa469yug/v1psZNnZsfg7SP477Ryb2u+j6jx6LhywvvT8+20j2vNhigx9bubaLuO/7w00/Sp9/A" + "0LUXnScjvwFZ+b3023USu+0kCEwQ2P3di/k+s4PAuhPjvuBoC6IXATSwGn4wY8dv37FdGt92R+gi" + "rv1S2/GxXr/+9jt54smentFPmODr5Zde7Bnm7tEdhQ4PPxraGdYDv+FDh0jpkqXck0V97w4C64/f" + "2FeGezZw4TPpBk8P/myrx/bHVqfTnU278xc+33MvDpdZb6fuYOo4d1A2fFrbP2HyFBkzLrVlLh2m" + "J1QffegBz460nVZfdcehbYcH4woD2zv93HfI6sX8CWNHOYv8bv730vmJJ92Lj/l+xrTJUtzcpajr" + "f9nVqY8IqWUCAcOfTz2hEL7uMRfECASyUMD+2GZWEFh3tGZNn+KcnNLt5bU3NgptD+1qXnnFZdLF" + "3Eyh3aix42TSlGmhILCdJtZrMraVsZbNcAQQQAABBBDwl0BG9lsmTxgn5cqUcVZo8ZI/naCtttwb" + "TxcrCHz6aafKwKd6SYECh4OpsZZnL7i5T+hu3bbNOX6INs//vvpanjTBXttpWO2pXt1jHmfpdH//" + "84/c3vIuZ5asCgKnp1yJ7hfqiVI9bgtvAcna6Otb5lHNGjDUzn1iVS/w/tdcpLAhPh1v60Lf0yEQ" + "dIGMbDfDbY4UBK5Zo7oM6t9XihQpEj5rqF9vnG5nWrqxT8NyB4FDE0V5o+fkOnftHvOirHsWdwji" + "SK1GtjCPvb3TPP5Wuz7m5vhPzE3y2unTqp4fPNBz0coZcegfPe/To89T8sX/vvIE4dzT6HuCwOEi" + "9COQPQQysu2MNwh80w0N5IH27RwQbQhjnGlAw3bp3Z6OHjk8dMOTXYZ9fXXCJBPWOHyB3Q7XV/fF" + "1/R+ps7v3q9N1rUTXW60Lhnb9vTsU1avXk1Gvvh8tKIQBI6qwkAEzP7TSSc5DJl1ncEa1z2njjkO" + "7+30zv3gIxMYusyOCoXP7m/fVhrecH1oeLQ3s999T4Y8NzQ06mhfq3x5+FCpdlJVpzwaBrJP1LjA" + "hP36mBuItbvXXPfUmzsuuuB85wa1K02rv/Ymuysuv1Qe79TRmW7YSy87YSvtiRUE1psiNCgdT6fX" + "gm+64Tppdmv0pytFCwKntdzw8x1pTcu4Iwsk87uXVhA4s757em5Nb6yMlsGwa68BrIcfTb1BX4cl" + "MyfQ6RETlje5A+30KQ3PPPuCfP3Nt07/kf5xh8CONO3I0WPk9anTQ5Ol53yanUnP9Q0z58fcN8/a" + "cfZVG4K78+52zjYk1rlBGwS288R6vf3ONp7Wfps2ucVpoCDW9HY4QWArEfvVfe4yVhA4WfvXWZlN" + "0nO/zw3qL6edemrslT80xh0E1vmGPTdY9Jgkra5n337ymQm8a5doNulobXN0He0TC6Oto/7216xR" + "I1D7OLXPPEOGPN0/2upm+Fg06kIPDUzvtlJn05tihz33jFSqWDHmolN2pchjjz8ZaiwzI78BWfW9" + "dG9r/HKdxO63EAQmCBzzy+YekdlBYP2sX3791dxtMsncSbXZaVFIH7VlO/0xHjX2VfnWBEf10Ql6" + "N5begaqdXqywd3qFf6k//9+X8tbbs81FkBTRA7rmTW8NXdx1P6brQnMXWe/uqXdw6l0vw0aOkt9/" + "/8N5XKIesFUoV975LHvApT0D+/WRunXOdoav27BeXhk9zjw+dblzZ9udd7TwtMDrDgI/8mAHaXDt" + "Nc583y9cKHPenet8VgnTDP8jpqUWewG2/9OD5YOPPnamc//Y6gBdr9enTZeChQrKDddd6xyY6nB9" + "bO1tpoVd7XTjOPm1saH11YP2uR98KHny5pFL6td37uR1JjT/2NZ0dcP9xuQJzoVcDRVqqwafffE/" + "KWwei9vqjuZy1plnOrOsWfuPNL/jLjt71Ff9wX1/9ltOoFgfN9mqTerjbP5b/yLnzkOdaer0N+Wl" + "l0dHnT984BCzY1P7jDOcwXbnWB879ObrE51h0QKL4cugH4GsFrA/tpl5gs79uFVtsVu3Ke7Obj/0" + "Yur1jW51Lgpn5bbSXRbeI4AAAggggIB/BTKy3+IOe9k11JZ2vv1uvvzw408yf8HCUIsZdrx9jRUE" + "tjcC6nTa4s7k198QfSTeRReeH3GBzIZP3YEJnU/DyC+9Mlq2bd/uPGFGH1FvQ6vui38TXh0tx5VP" + "Peab/c675njrK+fY7tRTTjZPebnfeeqMLu+W21o46xHrZL9OE6uz66PHttfddEusyTzD01uuRPYL" + "H36gvVxvjim106dHjHhllGzcsFHOqn2mOS5vGQpi93pqgPzfZ597gsC2sHv27HHq+C8z/2jTkgwd" + "AjlFICPbzXCjIwWBJ742OnRuSls9GjHyFeexqjpfu3vuCrWq+OlnX0jvp1IvBIQHgfVm+pfNuas/" + "Fi12zq21anF76ByUntdq2rxVeLEi+uMJi+n5oCtNWMJ9k7l7mzv2lZfMyf8TnWVrq0qvvzHdeWKU" + "XiC2oRI9fm3Y5DbnfFTNmjXk3Lp1Q0GUsa+Nd54StnzFStHtDh0CCGQvgYxsO91BYH0ylvscWJ48" + "ec31gpJS95yznafwqYq2dHfXve09j6FO7/b07LNqm0doFzUtsnd2zrPrvpw+xVC7hT/85Dzu+rxz" + "6zrn6HWYnoPXsu3atVu+MY2RaJfez9R5wvdrk3HtRJcbrUvGtj09+5TfGp9zzbUfbfxFW8vUTq+f" + "fPHll2Z/PyV0rSdaWRmGQE4VyMi2M9wsrRaB3WFEO9+GTRvlxx9/cZ7MozeCzX5zqjNKjwP1GuKC" + "hT+IHv/rE1dbt2zhbCs18HdL09Qbwo72tUotbBtzbGuDtt1793VuONPhj5qnumqrx7ou19xwsxOA" + "fMwEF7V7uFNncz7jZ+e9uyEpe31SR0QLAlepUllGvzRMRzudhqI/+PBj82Sk/fLf+hdGBIT1+qx6" + "1TfnOm6/rWlo/1zPZawzrcvr9dWlS/+K+F2I93yHLQeviQkk87sXbxDYljSj3z1dzusTX5UypmEz" + "7X757TeZMvUN2bx5i+i+y82mFd5ChQo742xmIpk5AV2wHq8OffaZUFZBh+m+1LzvF5j9qB+d7Ues" + "hgTCQ2B6jDhxyuumVe1vpYB5grS2IqzBfe103K3mSQz2STfpPZ+my9AnQF9sMgzabdy8SUaPedU5" + "N6jrcJO5+eHEE453xtnMR6xzg+4gsC2znmfUlpGbNLpZqldLvbFDn3T2zKF9yuPNssePftlZvv7z" + "5sxZ8uHHn0r+Y/LJLeamggv+c15oHEHgEEXMN+5wXqwgsM6cjP3rrLze3rhRQ3P+J/UJe9qooGan" + "Fpjv0bHFizvfB3e2yh0Edueg9HzSVJMx+unnX5ybXnS7ZP/u9W+u38BBjqvNFljkeLJJOu3R3Obo" + "zYbHmwYJ7ZMHte41i6TdZ198KXXMcZ292ckZaP7J6Hb2aO/j6E0PmXEsan2ivaZ3W6nLcD9lR38D" + "Ro4e6/wN6vFgW3NOs+IJJzgf5T43mZHfgKz6Xrq3NdbqaF8nsfstBIEJAtu/yTRfMzsIHL7Tol8a" + "+zgFLdijXbrK9+bise3cP1juuy/dX+o55uBqsOuOU53XPZ/7ETDTpoyXUiVKOi1pNjOtPNnHOug8" + "egFjyvixTvB47969cq25aFvR7JCNeXmEjha9+6tF63tDLa7oMC3/yGHPh+5qsDuFOu5VsyOnO4vu" + "A2Edrl01s/P38rAXnPcTp0yV0eYHXDv3j+275tGsgwYffpyijp/++gQpcWwJT2u53bs+7lzs1vGT" + "Xp8qo8wOq7tzj7eG3cwJzUsvru9M1qNPP/nchIDdXV/TStb5553rDOrwUMfQHRnuaex7906rO6Tb" + "8KYb5P52qaHg4S+/Im9Mf8vOkuZrn55PhnZ072rbPnSx56P3ZkecWEhzQYxEIAsF7I9tZgaBK5Qv" + "JxNfHeOslfuARgfo41Znz3jD+Y64W+DOqm2lvWM+C8n5KAQQQAABBBBIUCCj+y36WLImt9wc88ki" + "2ira++bGxImTp3qeYBAtCOwOeXz97bfmaS69PGulJ/vvv69taFi0IPCKVeZmxLtSjzvshO5joHb3" + "P+SE4XR/ac5bqa2G6EnNHr2fspM7r+4WOeyFwFgn+z0zhvWkNwicSLnSu1+ooY/XJ4xz9hWXr1jh" + "tGriLnZl02qntoKnT6Sxx+zhJ7g0PKzH6/ZpNu75eY9A0AUyut10+6QVBHYHevW7elfbDqHWyXQZ" + "epP0ZPOI9fzmYqh2+ojL1aZ1YPd8eqK9ZZu2oQujOp2e7xphWjyyFyLtxV8dF6tzh8V0mRpOcHd6" + "w3pJc37K3nSh42aYVsWHHmpV/OorL5fHzM392oW3FKfD3Nt3dyue7vns+un0dAggkP0EMrLtdO8j" + "xrPm2jCFBqhs594upmd7qvP369NDzqtXTzZv3SKNmjS3i3Re3efBBzwzxOzzfhQan+hnuoPAdj/M" + "LjTRayd2/vDXjG7bE9mn1DK4P3fo8Jdkxsy3w4tGPwIIHBLIyLYzHDE9QWC9ttnv6WdC+54aOOnX" + "u4ezyMHPD5U577znWfzgp/uFGhS6/NobnPncx+FH41qlFvDMM06TZwcNdMrqfuLN1EmvOdd+v/rm" + "G+navbezX20bH3I3ZDR1spnOPB1WG5K68ZbbQuscLQg8wDzZqN455zjTjJ80Wca+erhVeh3ofoKj" + "9tuGmvS9e1/Y3oisw7Vz/y7Ee74jdU7+zYhAMr976QkCJ+O7d40JuXcyYXftwlv91WHu8XoT0+Pd" + "ekoycwL6GdppQ22Pd3okFDpOHXr435SUneaG/K9Fb/hct+7w8WV4CMzeoH54TpEHO7STG69PfYqw" + "zWIkcj7N/VkaoNR9SNtyuH6eO+ynx8F6g3+sc4PuILD7yTh2OVPGj9O3TgjuwY6POe+ffKKLXPLf" + "1BDyiJdHybTpM5zh9p/epuXyC42jduH7hXaaoL1m5LvnPnfpvm7u3o6GOya6f52V19tnTp8SeuL4" + "A490iniqUxfTcr3elK2dOwj8QPu2Tphdhzdt0crzPdNhH777tnM+X28a1/Pl2iWSTXJvU47mNmeu" + "aagwX758oi20PjXgaWd99J/wm52SsZ31wz5OZhyLhtDC3iSyrXRfr9AAu2bx7E0bung9N6lPizmp" + "ahXn0+xxofuzdES8vwE6bVZ9L/Oasr/39uHttR+uk9htJ0FggsD6XThil9lBYHtB1V2Q8eNGOY9f" + "CD+w0mncX3x3mNR+qXUjcuMttzp33ruXqe9HDH0u1PS9Hkhpy7f2wG76jJmiodjwzn3BQTcyxYsX" + "k4c63OdMNubV8TJh0pTwWczdF4cPiO3Op06kd6OcXKumrFnzj+fudt3BaHt369APcawgsLsVFfuh" + "7pafrrupkbPeM9+Y7DxmUe86uK5h49CBup1Hd1CvuuJyKWVaStDWC/RRHDYQHe0isM7nPjnnvlPN" + "LtP9qk3/Pz849cdV71x7cfhIZ7S7RWBt9WXkK6kBRve80d67663BzU1CwWt7oK531V12derOfrT5" + "GYbA0RCwP7aZGQTW9dLHOdcyrSRpd/OtzUNBjAbXXSOPPNDBGf5E916hR+5k1bZSW42jQwABBBBA" + "AIHsIZCM/RZ9zJO2KKI3D+rjxjRAGt7p8UnHzk+EbiqMFgR234AYK/D15tRJTosHuvxoQeBoJ4e0" + "5bH+fXo6RXLvG1104QVSosSxTqu2Gp6zXcmSJZ2nmeixjXb2uDXWyX47X7TX9AaBdRnpLZfOk579" + "wssuvVi6du6ks0mXbj1MC87znPfuf9wXgfRYdPfuPZ4TXO7WkNzz8R6BnCCQjO2mdUorCOxubUMv" + "FGrLLeFdi+ZNRZ9Opd1zLw6XWW/P8QSBp705w7QiPCp8NnGfuwm/SBIxsRngPi8UbXz4sH/WrZXb" + "W90dOiflbgXk6usbem4MsfPac1Pup165z8vF+l2w8/OKAAL+FsjItjO9QWAN7T4/dHjoEbeJbk9V" + "NNEgcKKf6Q4q2H1Qd80mcu3EPb/7fUa37YnsU2q4xv259oKvu1y8RwCBwwIZ2XYeXkrqu3iDwNFu" + "fNCwhragmzt3btPK7Ueea7Da0NFAE4LVRou0s0Hgo32tUsui5bZPMLXXP93bIHeoedL4MVK+bDmx" + "07mfTPrJ/30uffoN0EU6XbQg8Jy33jANtBR0WqVvcPOtof1gO4++jhs10mkdVN8nEgROz/kO/Qy6" + "xAWS+d2LNwicrO+eex/kvgcfcZ5OHC5x0w0NpLxpbGjzli3y+tTpSc0JuD9Lv4NXXXGZ0/K1Pv3X" + "3sTqnkav848e96pMmjLNGezOgmi4qo1pJCy802zFrOmvOw20ud3Sez7NvY859rUJMn7i5PCPknp1" + "z5Gzz0p9crI+aadI4ULy1rTUfMjIUWOcJznrTDYIHOvpyvb77w70vzPzDeeJXO7wprsAx5lWTieM" + "TT2eDw+wuqcL0vuMfPfiCQIna/86q663L16yJPQ38OPPP8tDHTtHVLeel585bbLkMd8399+S/o7V" + "v+gCJxM1e867nvkuveRi6dYl9dxwrCBwvNkkv2xz4gkCu7cXFiS77uOkFQR210l6zidak/DXRLaV" + "Gk63T1uYaJ4kEe1pgnodSbNg2v3vq6/lyZ59PHnA9P4GZNX38quvv/HddRK77SQITBA4/PsbtT+z" + "g8DRfkC05aEqlSvJ4iV/yr3tH/CUy33gFS0I/PMvv8gDj6TeReWZ0fTc2qSR3HtX6iOn9EdeHxv2" + "zIDDrT7pjmZ4576ArTtzZcqUNhe4b3AmC29ZwM6rPxYfvjPL6XUHge14vQNVHy1WvVo1qVKlspQr" + "U8aOcl6jBYFTdqVIg5sae6bTnpYtmknL21NbItAg8J69+0Kf/e28edKla+oduhEzuga4y6uDozno" + "cGuhj/ftZFp+itW5A7/uE3m1TAh6+PNDnNkW/vijPNLp8ViLCA3Xsr1jDp51x00fn3OFuZPYdho2" + "thfmY11EstPyikBWC9gf28wOArt31EfpgbJpaU87e1JPHwF7Y6OmodW3O0CZva183TxehA4BBBBA" + "AAEEsodAMvdbdI11H776SVXlnDpnm1Yt6oceb6nj9DHNzVq2cW5eihYEHvvKCOfpKraVDZ0nvHO3" + "hhEtCNy8ZWvPY6B1fvexiDsIrOO0vOefV09qn3mGKWsV51i0eLFiOirU2ZPEWRUE1g9OT7l0+vTs" + "F+qjt/SxhLaLdgxoj/90mjbtOsgqE5S2d7q7TxDbZfCKQE4SSOZ2M60g8CjzaOGqVSp7ngIV7lzF" + "jLePILatnLlboYx14VeX84E5d6UXiqKdf9Px7s4dmNBHKP7886/u0eacUW4n1KAtitvtx/vmUcgD" + "Bg12prProj3Rtjk63M6n4+0N3wSBVYYOgWAIZGTb6b7w+NrESfLWrDkelGPM45NPNI9dbnTTjab1" + "3rrOON2W3HbHnU7LV3Yb5N6+eBZgeqpUidye6jSJBoET/Ux3EDhZ1050PaJ1Gd22J7JPuXTpXwSB" + "o1UGwxCIIZCRbWf4Iu01Ax0efk3N3VperLCGzqfHxOf/5zzn2lzVypVF9/3Cg30aBNbOXic9Wtcq" + "nUKYf8LX+woTTOn44P3OaHfru+4WRvV6q95QbB8z/tTAQfLRx5/aRUp4ENgdPrMtrIYmdr25686W" + "0rxpE2dIIkHg9J7vcH00b9MpkMzvXrxB4GR89/RpnS8PHyrVzHk5bTztyutuPOKa6/kn+33ViXV/" + "KVpnj9eOlBOINq8dpsG1s8z5t4vrXygaDNabC2zXrWdv+fKrbzwhsFjhXJ3nmYFPydm1azuz2xsQ" + "tCc959P0KWf6RDDtWt/TTpYtX+G8T+ufWOcGbRA42lPHdHlDnx0kp55yiqw059damqf5uLcbNgAX" + "7XPtTQYEgaPpeIe5TWO1CJys/eusut7+17LlMqBvL2dF7ZO+vWud2mcb1nMHge10Fc1xkv6mnVyr" + "lnPeW59g7v7uuc/z2haB480m7dq12zfbnHiCwMnYzqqr3WYezX2ctILAiR6L2r+Z8NdEtpXt7mkj" + "jRs1dBZln9AYvlztf3/OTOfpYnbb6L4ZJL2/AVn1vdQn2vjtOondbyEIbPZj9A8rbF8mV6UqVVP3" + "bkwLRrl0Au0OtWakOzi7UlJSh+WQfzM7COxu4dWS2iCw+0fHjjtSEDitHaVmTRtLmztbOYvq//Rg" + "yZM3T+guBLv8tF4nT50mFcqXNzunqY9oiNaEvp3f/tC4g8BVqlQ2Jw57RgR/7Tz2NVoQONbdY+FB" + "4MKFi8jUia86i5prHkU28JnU4K1ddrRX9wm/aOPDhx3pAtEVl10qjz/W0Znt6SHPyXtzP3De6473" + "2+buPL0jVrtoO1rOCNc/l1xcX558PPXOpvC67dPzSbnAnHDQLp5luRbLWwQyXcD+2GZ2ENj9vVq9" + "Zo20aNXG81iaN2a8JcNfeiW0vnYHKPz7FJrAvEnGtvIVc1csHQIIIIAAAghkD4GM7LdUqVLZWcm/" + "/lrmvEb7R2+E7NHtiVArvkNeeFG0FYJoQWDbYtD6DRvk1uYt/5+9swCUovjj+I+SVkIJEzARURER" + "+GMC0t3d3YJ0SUhKSHd3dypioGBQYgfdKQ0S//nNOcvcvb337vbuvTd3913l3cbs7m8+s/Pb3Znv" + "/sbucOJd4316t9A7cpudENjuHdObEJhFJa2bN7XeUWxPKFbGtRDYX7vYbn+eC/WoAN7yrK9v36mr" + "jOSsGrg+/WyrGGJtqJ4E8yAQUQQC8ZueoKITAquPI3gf5e8899c7IdV7ni4Eji56t+qoPHf+PFWq" + "Vsvz0G7LetvRV19vp159+rltVwv6KFk8EleRUuVkNDTl31W6mH5VRy6EwDGRwnYQCB0CgfhOXQg8" + "QjxLrvaIaKVT4OfOt94oIFepEf2c+lM+iFMhsNNz6kJgu+daJ30nOh99PlDf7uSZcveevRAC64WA" + "eRCIgUAgvtPz0J6C2Js3b1pJdCHwQPEh12bxQZfn1L5daypZrKj18ZbndrXMz3Hp0qaN975KZU+9" + "OjWpTs0acpHfbStVKCdHMzp15jRVq1lPJZNRP5XYqlff/uLDktdkFGRO4NkH6SkE1v3pdz/spM7d" + "elrH1WfKi5GUWrdoJlc5EQLb3Re8tXfo58W8/wSCWfd8FQIHo+6xEFiNTBVd25pORL9+9fXe5mPS" + "CfB+LFC7L0kSOnr0mO1oMJyGR+PiOseiZZ6UgFYXgalRb2QCjz/Dhw6UYmJerUT9/ran9ezWRQQx" + "cOk+POu5x+msRf0d3C4i8Jr1G2j4yNFWejXjKQTWuUen6VAj50AIrEh6//VFCGznR508X8dVfzuL" + "I1VU1fGTptDipcttAah3Fl0IzDyGCcE8C9A9Jxb8K3G/rslSQmBftUksBDbB53D+lD7Lc9SrcH3G" + "iU4I7PRd1PM6UctOfGXLZk2oYnnXxyjRtU0uFNq2hx58UIw2cY1Klqvk9jGIv/eAuKqXM2fPs4TA" + "pvSTqOcWCIEhBFb1NtrfUBMC//TLL9S63fu2eapaWUQEbtRAbntfRLS9K/4bNmiAXGbB7jc7dtju" + "p1Z++dXX1LBeHevLBW9fhnEHrPoKRAmBed3yhXModWpXZCkeWmbzp1vo19/+oEOHj9CVK5dp7QpX" + "BM1AhMBsqzrO1yIkeY/efZX5br/8kMoTD+96S7wUKHv5pj9gyEduaT0XeCjKU6dOe662lvWb6Swx" + "hMYMMZSGmtq3bUWlShSXi6vXrqMRo8aqTba/0yaNl18U80bPCMzqyybepjqIeB4TCJhAQN1sY1sI" + "zHlt3bIZlS9TWmab60luEX2PBS08eT5YqQeg2PaVeiOiNAR/QAAEQAAEQAAEjCXg9LlFj2TTtFVb" + "+uOPP73msUzpktSuVQu5/ZMtW2mAiKZjJwRWjVT//vsvFRXiMbtJHxlECeNiEkzYdYzx8KUTx3xs" + "NXr+sGuXHD76r7/305GjR+m5Z5+1Ii7EpRDYiV2Kk6/PhfpX/NNnzaZjx0+oQ0T55bL44sttbpFK" + "FixaQpOmTo+SFitAIFIIOPWbdnyiEwI7jeChC4Fbtesghfx251YRgf8WH3PYDbeq76N3UkYnBOZ9" + "Rg0fQi/kyCF354jiHP1RRaXilRxRLbrpuPBJP//yq0wCIXB0pLANBEKLQCC+0x8hcIH/5aN+vV0C" + "rPWbNtPQYSPJqT9lwqpT3W4Y2eg6X52eM6bnWidCBW9XSqC+3ckzJduin1cfUdCbnVgPApFMIBDf" + "6cnNVyFwhy7daNeuPW67c8RMrvM8cZRRFtmw4PXQ4cN06NBhalhf9J1WcEV94/66pCJSe3z3VaoM" + "6O0Bi5Yuo9Kij5IDFnn2UbJgav2qZbKNYP3GzZQr14uUKUNGOnbiBNWq21AdTv56CoF18Vl0QuAG" + "9WpTrerV5DEgBHZDatxCMOuer0LgYNQ9FgLPnDqJOOLnjRs3qHiZe6NB6ZCTJ09GSYRQ99btO0Ir" + "cD2oOgEOCDBi6GB5On0kU/38aj5zpow0d+Y0uag+UNWFwDwSxIxZc1Vyt9+hYtTn3GIEZp7Y7/Bo" + "Ov6287UR/avl/utf9exPlQcWf1jjkSplCrl48eIlt2BMgQiBffUbn25YI/0ShMCqRLz/6ky9RQQO" + "thA4tvvbc+bMQUMH9JeZ1kdL96QwbtQI0Zb9DOlC4GFDBogI3C/JpPwu88knn9GeH3+kg0KbxO0u" + "k0X0cB6lPVAhcHz7HMXCFyFwMPysKc84sfEuqlh6/jrxlXpE4Oj6jqKLCOzPPYDvf3Glg2E+KmCK" + "Kf0k6rkFQmAIgT3rr+1yqAmB+QW0bKWq4ouB61Hyo7/kcjTfmzf/pWULXQ+Pni98amd+2CxRrIh4" + "sb1N8xYupqLvFqKO77WVmz1FrmofPfqJEgLrHTt2L4F641cgQmDOtxoi4tLlS1S2ouuFUtnGv7ot" + "aphG9TWZCrmup+d5fsitXaOqfNBcs24jnRERurxN2bJlpSnjx8jNnl9A8APY/DnTKe0DaeR2z2F5" + "9WPqDYl2YfVVNJnbwqm/Kx7wMYGASQTUzTYuhMAPP5yZ5kyfIrO/eNly+VDPX9CyiKWx6HTVJ/UA" + "FNu+kh+2MIEACIAACIAACIQGAafPLbo4K7pGGabweoH81LdXDwlERbmwEwL36dWd3ijwP5muTfuO" + "tO8n96HnU6RMKUcZURELAhEC61GB7DomdMFJXAqBndglgYk/vj4XFir4NnXv3FHuxpGOvtr2jTqE" + "9fuK6Ex5UTQ2nz5zltau2+AmBI5uGDXrAJgBgTAm4NRv2iHR22iWLl9JPNSjmvRIi3Y+kdPVqlGN" + "GtStLXdRkTJ0IbDnMdWx9fNu/eIr6vvhQLXJ9ldvt4pJCKyPXtWxWw/64YddbtHc7Tre+KRly5Si" + "tGkeoN17fhT/9ko79HtNbTF86lERDQcTCIBAaBIIxHfqz2UxRQTW/Qa3k42fOIWc+lMm7VQI7PSc" + "pgqB7Xy7k2dKZqrfUyAEZiKYQMA7gUB8p+dR9T7SYqXLu0Xp1AP8tO3QiTggkD6NHvkR5cieXa6y" + "E3PoPk8F7onvvkrdfiUy0dd16dGbvv3ue30VKSGVvnLFqtU0auwEfRV5CoF548qlCyh1qtRCVHlN" + "RBCu4cZX7TxjykTiIdp5ghBYUTHzN5h1z1chcLDqXv8+vWTUayZrF9SM++pXLJ5HyZIlpzNnz1KV" + "GnUomDoB/T7/088/U+v3XO1PdiXNgmT10YCyRRcCHzh4SObBc1/WLaxbsUS2VSk9hJP2tHLiHbRN" + "y+by8OMmTqYly1Z4nop031mhak26c+e24LdApgtECMwHWLNiMaVInkJ+YFFMiLY9+1b19lQIgaMU" + "TZQV8SEEju3+9gfTp6MFs2fIvHq7Bri9fNWSBZQwYUJLCMx1ZPPalVLbwyL7GnUaRLkvqUi+gQqB" + "49vnqAvBFyFwsPysCc840QmB9ecyf9oTFUvPXye+Ml/ePFY069nz5tP0mfeCR6rjP/vM08TBH3lS" + "o5w5vQfwMeJKB5NY1C8lBDaln0Q9t0AIDCEw14UYp1ATAnOGVMeynrmXX3qRhg9xdWr8c/Eila9c" + "XW5eNH8WPZguPbGYtE6DxnT8xEl9N+l42AHx1KRlG7oo9lU326vXrop9mtK5c+esffimyiLYLE88" + "LtcpIbDeWDlh8lRatGSZtQ/PdO/SkQq987Zcp3814CT8/oiPBtFLOXPKY02ZPoPmLVgs59UffaiM" + "vgMG0dbPv6QeXTtTwbfflEmGDB9JG8TXrvqki3Ltjqmn1YfE0L+2Umn469uRQwfJh3P+GrGHGE6S" + "O4X0SR8ehx9q2nTo7Pbwy5xVFOOTp05R9dquL5H1Y2AeBOKTgLrZxoUQmPOpR8hW+R42chStXb9R" + "Lcpf9QDEC7HpK//88y+382IBBEAABEAABEDAXAJOn1v0xiYe/r3d+52jCHdVrvUIBP0HDaUtn221" + "jQhcumRxeq+N60MmboRsKSJZ6o3gulCYjx2IEFgf0qphs5a0f/8BZa78EJI76B4VH1zxpKIF6O86" + "emO/taPNjGpUVcNb2SRxW+XELv0AvjwXcqfMwjkzZGPwkWPH5egrOmfuiFkyf46MksTvbCXLVyYT" + "G7j0fGMeBOKSgFO/aWejLsj1FO3qgl7uAOXounpdTZMmDc2fNY2SJk0qD62Esvp+PFpLHSGg9RzZ" + "SY+uPmrseFqxao2dedY6vTM3JiGw/qHHwCFiaGkxIpYuzPP8aJxPkivXS9aoXbv37qX2HbvKc+v7" + "qfxZRmEGBEAgpAgE4jv1tvXohMDcCT5j8nh6MH16yabfwMH0mRgJUPeL/vhTPogSAl+6dFEEIKnu" + "xlx/HvZsU3d6TlOFwHa+3ckzJd/H9HsKhMBulxQWQCAKgUB8p+fBdDGbv0Jg9V7L7/6Fi7tGJ1TH" + "54ie/P7M0UV5UkLg+O6rVPbxr24LL/PoqO+WLOv2bM3ra9esRvXruD6y42WeOnfvRd99/4Nr4b+/" + "dkLg3j260VtvFJAplgvx8GgP8fC7hQqKj+M6WMfxJgTW13PimO4LesTj6IIvWSfGjE8Egln3AhEC" + "O6l7NatXlSMcc0btgpPVqFaZGtWvJzmo0ROCqRPgAyuRHM9PFyMHzxYjCNtN9evWEoHIXM9Xqs9S" + "F4HxPjyizKdbtrrt3rhhPapepbJcp0ZIdtKe9vTTT8kownygM+fOUt0GTdyCzfGoXZPGjpLnOXn6" + "NFWvVS9oEYH5oH3FKBavi9EsePL0G9w2N3HcGKtt0psIVO4cRn8CqXvxIQRm9Ora1YshWNok7m9X" + "Qn0+vp2f7/x+exnMkLeriMD68zaPgtexiytAB6fhqYgIfthF7MfTn3/9TU1atJbzTrRJJvgcNl4J" + "gbd+8aX42H2QzA//ieljJyd+Vn+usNNOxbYei/MVG++ifFy7yYmv1CO+84iDNes1cgs2yXqvCUIE" + "zMHteFLvhU7vAXyMuNLB8GgYEAIz8fifkqdIIZ/rpSXi+Z4n+fe/eblC/EnwRNZsrq0JElACa61r" + "jqMOXbt6Va2NiN9QFAJzwWz/9jvZifHPP/9QrpdfktFREidOLMtMORFeKFTwXiQk7uCcOnMW7dm7" + "jzKKSMD8UqaiUZ06c5qq1azHu7hFEOCvzCZNmU6//fEnPfLww1Svdg164nGXCJjTKiHwCzmeF0Mj" + "uoY+PH/hPA3+aCQdFkPmPProo8QP3Eq4y/twSP5uPT+QD5pObra6I+bj8YMji30zZ85ERQoXpFde" + "dg2TwR3R5au4vkpNly4dLZ43S3YE8z4rV6+RQ/skEcP35HstD1UsV1Z+RcTb+Gu3Cxcu8KzXaeHc" + "mfSQ6FxWDxuckCMlK0ee84UclCf3K3J/fuEeMXosrVm7Xi43bdyAqlaqKOf5Dw/Vc/nyFbnML9ss" + "CNBvAJs//YwGDvnISo8ZEDCBQCAvKp72R9dAp9LqvozX8ReIpYRggzt99Ul/AOL1sekr9fNiHgRA" + "AARAAARAwFwCgTy3DOj3gXxf4Nzxc/3nX26jNevWi+HFjlMCEYWA34N4eNAnxaghPPGzSYVqtejq" + "lSu2QmBOoz7W5HluiOROCe5sLCQ+XFRDzfM2ngIRAuudDdyY/rHopLshhkPk9yke1pSHAFXT4qXL" + "aeLU6XIoQLuoHyqd3a9qTOTnMx5VJqYpSZLEVieIr3bpwkBfnwu7dnpfvPO+I83hjysnifzxCDHP" + "ivyXKVWSnhadHTypUWT0xnRTvnSXBuIPCMQDgUD8pqe50QmBOe3cWVMpc8ZMcrejwrdOFB+XHxND" + "OObI/hxx+wlHD+JJ7+jQxWe8jdt/pkyfSft+/oXSi/af6mJoZ26X4YnbqCrXqBtFBCE3an/0TqSY" + "hMB6h9ec+Qto2ozZ8kh6Xnbt2UMLFy8jbrfL/crLVL5cGUqfNp1Mp3f0QgisFQJmQSDECQTiO3Uh" + "8N/7D0ifp+NIlCihaJt/RAgp8srodryN2/Nr1Glo+TfdB/nqT/k4+odoM+fMpSNHj9EPO3fL9nG9" + "jfoP0Um/fOUq0R5+kbbv+JZ3deTDYxJ8TZ04jjyH8JUnE3/4AxE1AmJ0Qwer9MHw7f4+U/K59fPq" + "fTXKLvyCAAjcIxCI77x3FNdcdP0MMYlk9Ei56zdupnmLFlPyZMnkc1wdIeJLnjy5dTo16owJfZXK" + "KN238jq7IEa8Xhf98TK3cxQXUTo9+1nshMB8T5g/a7rVz8rtI6vWrhXtDDfE/Sk/Va1c0drGx9YF" + "v/rzO/cr7xB93PsPHiQWgOm2242sASEw0wz+FMy6F4gQ2End4/abJfNnU6pUqSSYnbt3y3evREJ0" + "Veidt+jN1wtYwn0VKTLYOoFKFctRiyaNrYLhvv0Fi5eKj/D303Whx8jyxBPEwQAK5HeJYDmhEt3r" + "z1fqANwu99XX3witQgKhdShMxYu+qzaR+mDUSTsft6fpgr4Tp05K3cd5EUH1f/nyUeFCb4tRa9LK" + "c6mIlt6CBGzZuFamW7N+Aw0fOdqyT82MHjGUcjz/vGx7qys+1uWJhXJzZky1fANHxGRh8wP330+l" + "SxW32gI4LYTATCH6SW+71P18TH7UyfN1XPa36+9CfF+au2ChuE6+pZQpU1C50qXc6pHS5ugRgXmf" + "MeMnyoir/MEkH69ksaLWdcdBFFu2bU/HRLAIJ9okE3wOXxmrli6Ufo/zM2P2XLp06bJ8b8wtRr0b" + "/GFfefHYRQR24mdNeMbRfWWw3kWjq2H++ko+lv6uyG2Tk6fNoL1i1IlMGTNQ00YN6bFHH5Gn1LV4" + "er6UPb7cAzhtXNVL3deY0k+inlsQERhCYFVvov0NVSGwt0zpUUVUGvVVv1r2/OUO2xbi5qciXPID" + "3ugRwyzH5JleX1ZCYL7ZLhLi2HRpXQ+Lehqevy0eNPkBXE1//b2fGotIL05utnyMdm1aUpmSJdTh" + "bH97fNCXvv5mh7WtSqUKwuE2sG761gZtxpcGRE7eoV1rKlm8mNxTff2rf4mnHVLOfrNjB3Xv5boB" + "T5s03oqo7JmOG1tnzp7nFilGRZfxTItlEIhPAupmG1cRgdnHrBYPuKrB7dPPPqcPBw2JgsDzAShK" + "gv9WBMNXejs21oMACIAACIAACJhFIJDnFn4GmSbECGpoy+hyxh1n3NjGjf886VHFOMrl3+IdiCce" + "kWXowP5Wh4Vc6eVPIELgrFmzyNFc+INfu4kbSfVtC5cspfkLF9sO/2e3v1qnhMBqOaZfjk7Mo8zo" + "59b3sbNr4uRpVhJfnws5Yt6EMR9bkUWsA2gzLBDmYSSvXbsuR3Qx7Ut3zVTMgkCcEgjEb3oaGpMQ" + "mDsXPhrUXw4z7LmvWj50+Ihst+KPLHjShQQqjd0vR+To1rtPlFGa7NLqoq2YhMC6gIIFe42EX+OJ" + "88r+Xb232p1n+7ffio/j+1ibIAS2UGAGBEKeQCC+U+/89gUER+99v2tP+kME71CTE3/K+zaoV5tq" + "Va+mDiN/+4gIU5+LSFP83LVx9XK3dn0VLY4TOjlnbAgV3IzXFoLh2/19puTT6+eFEFgrEMyCgA2B" + "QHyn5+ECEQJXqiBEfU3vifo8j+25rEbVie++SmWX/nzK66bOmEUs3LCb9Eim3H7RvHW7KMnshMCc" + "iMW+3M/qy6QLgfkjPR6xQ59YcD1UjOAa030BQmCdWvDmg1n3AhECO617b735BvUQoxHr2gNPOhwU" + "7OMx463VwdQJ8EG7de5IhQu+zbMxTvpoynYiMG8H0AVYWbP6387H7Wksxh0zajilfSCNt9OQ/l4b" + "TCEwn5BHSW7VvKltOyBrVBIndgW6gxDYa/FYG3RxXlwLgS0jPGaC1d/+Yd9elD9vXo+jR11UQmDe" + "MujDPvTaq69GTeRlDWt6Phr0Ib38Yk46fvIE1RQfVXpOdUVQxLq1asrVJctVtCJom+BzdEGvsrtc" + "5Wqir+GZaIXATv1sfD/jxMa7qOJm9+uvr+RjcGTzMSOHyw9Z7Y7J665evSLf3X/99TeZxOk9gHeO" + "Kx2M7mv0+5DMQDz9Uc8tEAJDCOzTJRiIEDhr1iw0dcJYeZ6PxTCDK/8bZlBvxLf7etHXr27U0F58" + "AlWpeYiLP//6i8qXKWV9/c/bz54/R2vXbaQZYvgJu6mcSF9VRETJ+NBDbpv37tsnH4L1YWI5ATvW" + "Tu3bUf58edw6Y3jYCH5o7PheW9lRqoTAvA+HZ+8hHnq5Y1tN3Im7/8BBEZ5+oAzBX6NqFblJCYHV" + "UBz+3mz5IK8XyE/NmjSihzNlUqeTvzz82UcjPhZfjv3qtp4XuFOmQ7s2Uoirdzzz+SdNmSEbOKPs" + "ZLPiFfFlDT8o8KS+/m3RrDFVKl/OJjWRr0JgJURu37YVlSpRXH6NW75Kdbp48ZLtcbESBOKLgLrZ" + "BkMIrA8N4zlkl54/PV0rMYy2XR2Pa1+p24d5EAABEAABEAABMwkE47mllIjiUadmdWsYZj2n3GjN" + "HynNEh/1HT9x0tqkd3R5jjrCjeptWragHDmyy3c0fm+S0YE3bpLRhfmjQx7RhSPz8BTTO6a3jrE3" + "RBSU1i2autnNwrjvd+6k/gOH0PAhg6z3N08hsB6x0sqUzYy/QmAWN/trly4EZhN8eS7kdPxe27Zl" + "M3r7zdcpder7eZWcmDdHAh4tokUoYaHewDVKvN+v+O/9Xu2DXxCIJALB8JuKlz5U3tLlK2nshElq" + "k/XLIquuHduLEZ5echPRnv/nghzyfsy4iVZantGFwCNGjZHtJyrKN29nv/zbH3/QgEFD3fwyb/M2" + "6aKtmITA7C/WLF9sdVhWql6bzp07Jw/N/r1nt86UM0cO2W6mznf12lVasGgpzRMfXOhRznX/riI9" + "qX3wCwIgEFoEAvGdvgiB+RmORRo/iZEepooo6Pwhk+fkrz/l/dmn9enVTXSIv0hJkyaVh9QjSRUq" + "+DY1alCPMojR8bg9XRcdcGJ/z6n7vWD1nUijbf4Ey7f780zJZujnhRDYpmCwCgQ0AoH4Tu0wclZ/" + "T/TsZ9AjAteq30hGBfTcv2G9OlSxfBm3vlcWb6xet0F+NMvRcNUHX0oIzMeIz75KPQ/8YSv7dJ74" + "A1zPfl+Vtn+fXiISqEtsNWvuPNGvPFdtsn6ZBQ+Fzn3CVarXsdbzDPePsqjviccfs4R9/PzNUVn/" + "/vsAVRN90TzpQmBebiXaJnjUnlQpU8n91MgaMd0XvLV38DExOScQzLrHkW/fa9NKGtOlR2/69rvv" + "LcNis+49/HBmIQbuJEd80gXBHC2TR4Vav2GTZYeaCZZOQB2P60Nj8ZykayPUNv79Yddu8Q64iHbt" + "2mOt1kVgPGpwZqFz4Kjaum6BR7SaOGWqW8AzPoDT9jT2DRy5Mm+eV9x8HNfd5StXy1HC1HuqLgTW" + "2waXLporxcTe/IZdRGCVaa7HPOp0dvGbOlVqGYV8388/S//Trcv7ctQyXUuh9gvH30DqHpejCmLA" + "0ZV7ftBPIorJj4aCNokzwvePskLTpOuZuC1l/YbNlCXL45Q7Vy630bqZR3fxQYBn/Tl95gyx+J5F" + "w0MH9LdGBWchcDdRDwqKUfmcaJPi2+dkzZpFtJ11kDonFtBz/S0m+g70iMDh9owT7HdRvs6im/zx" + "lfpxmjVpKJ9xVIR13nb9+jXavXcfDRo6zE3rFcg9IK50MLqvMaWfRPlOCIEhBNbrntf5QITAXg8a" + "CxtUpf5y29fUu69LgMqdKSlTpaKjR4/aNvzZmcGNVvxyxp3KJ06ecut8sEvP63jIrXTp0tIR8dDp" + "OTyM3T7c+JfhwfR0UzROcoh9feKhN5KnSE5HxbGCNXGeHhUP+7dFZ+5xMXykelCN6fh8s74vSRLi" + "KFB2Dacx7T9v9jT5YLrju++oa48PYkru13ZV3pHy0OsXHCQ2goC62QZDCOxrhhbMnSF8y0OkRx7x" + "3FfVnfjwlZ62YBmh7h5RAABAAElEQVQEQAAEQAAEQMAMAsF8buF3nUfEe0RGMSTm1avXxDvVSZ/f" + "qxQN/oAyiXiHOSTeifjdhRtWbolf9R4zf84M2eDJHzhytNpgTOqdjj8wPCMaQ/WJ7eGhPD3X62li" + "a96pXb48F3razGX3cOZMYpjrf+Ilr572YBkETCYQTL/pbz7ZLzwo2pSia+vShcA16zaQYl+u4+yb" + "ub3Lsy3KXxuClZ7bwNKkeUD6HHzgHSyqOA4ImEsgPn2nHRVf/KndfoGsi49zBmKvk33xTOmEGvYB" + "Ae8ETPOd3N/II5/yM9wx0d+oPhxVOciWLatsA/Bcz9vjq69S2RbXv5zfx0V7wlUxFPapU6fj+vQ4" + "X4AEwqnuMQoWVqUU74QnTpzwuc8/UJ2AXgTctsdDwT/88MNyNWsPvNmii8CGiw9b16xdb/mPRELc" + "d/DQYauNUD+HPu+0PY2PoZ7Xzpw5K9rILuiHDfq88hN37twhHumHJ46gqXQZ/M68eN4sKYJesnwF" + "jZswOeg2mHZA0+qeNz7x2d/O9elxoWc6JeqRL20pnJ7bkfijxqNHj7npmXjbo+Jexe3squ3dW579" + "WR/fPscfW1XacH/GUb4tuvZExcKXX3U8f3wl+7fMmTOLYAHnvfrXQO4B8VkvfWEWm2mU74QQGEJg" + "n66zUBYC+5RBJIo1AvxFUtuWzYMetZe/Hu7bq4e021vU01jLFA4MAj4SUDfbuBIC6/ViyoyZNG/+" + "IltL7R6AbBNiJQiAAAiAAAiAQMQQiOvnlujA6iOL6MMCqn3UOwYv66OvqO34dUVbUu9L0T0XghUI" + "gIBzAib5Tbtc2AmB7dJhHQiAAAjEJQHTfWdcssC5QAAEQMBXAvCdvpJCOhAILgHUveDy9OdodiIw" + "f/YPpbQcJbNKRddoZ3aRynv36EZvvVFAZmnIsBG0YdMnoZQ9R7aGSt1Df7uj4sVOIBAjgUDuAZFc" + "L5XvhBAYQuAYKxkngBDYJ0xIZEOAv5pZMHu6HGZ3/abNNHTYSJtU/q+aPWMKPSK+FPlJDInR+r2O" + "/h8Ae4BAHBBQN9vYFgI3bdyAkojI3cWLvCuH3eJhEEtXqOL2NZ+e3Uh+ANI5YB4EQAAEQAAEQOAe" + "gbh6brl3Ru9z/FX4muVLrOH+1olhCrds/ZxSiFFT8ouhOfmZh6e7YrST2g0aGxPV0nuO4m6Lv8+F" + "cWcZzgQC4UfAJL9pRxdCYDsqWAcCIBDfBEz3nfHNB+cHARAAATsC8J12VLAOBGKfAOpe7DP2doZA" + "RGDejmnq+nx5X6MBfXtL866J6OELFi+lvT/+SBkeykAlihehl3LmlNvOnDtLVarXMTUbQbUrVOoe" + "+tuDWuw4GAhYBAK5B0RyvVS+E0JgCIGtyhTdDITA0dHBtpgIqCil3FFftVa9gIeYLVb0XerUvh3d" + "unWLatRtGPDxYrIf20HAKQF1s41NITAPubBs4Vw3E2OK+hbJD0BuoLAAAiAAAiAAAiBgEYiL5xbr" + "ZD7MlC9bmlq3aOY1Jb9bzJg9l2bPne81TaRtcPJcGGmMkF8QCCYB0/ymZ94gBPYkgmUQAAETCJju" + "O01gBBtAAARAwJMAfKcnESyDQNwQQN2LG852ZwlEBGZ3PNPX6VF/7WxlgXCPPv1o1649dpvDbl2o" + "1D30t4fdpYcMGUIgkHtAJNdL5TshBIYQ2KeqHCpC4GpVKtFLL75AP+77ieYtWOxT3pAobgjUq1OT" + "nn3maVqzbgNt+3p7QCdlYXHJ4kXpq23f0Nr1GwM6FnYGgdgkoG62sSkETpEyJU2bNJYeSH0/nTl3" + "jtaKOrZg0ZJoswVfGS0ebAQBEAABEACBiCQQF88t/oJ9+umnqHKF8pQzZw56KH16unPnDh05eowO" + "HDxEs+bMlb/+HjOc0zt5LgxnHsgbCMQ2ARP9pp5n/jigY/s2lDBhQho4ZBhdvHhJ34x5EAABEIgX" + "Aqb7zniBgpOCAAiAQAwE4DtjAITNIBBLBFD3Ygmsj4ft2KEdpUubhhYvXUE7d+32ca/QTfbG6wWo" + "TKnilC1rFkrzQBq6dv0aHTp0hH7/80+aNHUGXb1yJXQz56floVL30N/uZ8EiOQj4QcDpPSCS66Xy" + "nRACQwjsU1ULFSGwT5lBIhAAARCIIwLqZhubQuA4ygpOAwIgAAIgAAIgEOYE8NwS5gWM7IEACASd" + "APxm0JHigCAAAhFAAL4zAgoZWQQBEAg6AfjOoCPFAUHAJwKoez5hQiIQCDoB1L2gI8UBQQAEIoCA" + "8p0QAkMI7NPlDiGwT5iQCARAAATcCKibLYTAbliwAAIgAAIgAAIgYCABPLcYWCgwCQRAwGgC8JtG" + "Fw+MAwEQMJQAfKehBQOzQAAEjCYA32l08cC4MCaAuhfGhYusGU0Adc/o4oFxIAAChhJQvhNCYAiB" + "fbpEIQT2CRMSgQAIgIAbAXWzhRDYDQsWQAAEQAAEQAAEDCSA5xYDCwUmgQAIGE0AftPo4oFxIAAC" + "hhKA7zS0YGAWCICA0QTgO40uHhgXxgRQ98K4cJE1owmg7hldPDAOBEDAUALKd0IIDCGwT5cohMA+" + "YUIiEAABEHAjoG62EAK7YcECCIAACIAACICAgQTw3GJgocAkEAABownAbxpdPDAOBEDAUALwnYYW" + "DMwCARAwmgB8p9HFA+PCmADqXhgXLrJmNAHUPaOLB8aBAAgYSkD5TgiBIQT26RKFENgnTEgEAiAA" + "Am4E1M0WQmA3LFgAARAAARAAARAwkACeWwwsFJgEAiBgNAH4TaOLB8aBAAgYSgC+09CCgVkgAAJG" + "E4DvNLp4YFwYE0DdC+PCRdaMJoC6Z3TxwDgQAAFDCSjfCSEwhMA+XaIQAvuECYlAAARAwI2AutlC" + "COyGBQsgAAIgAAIgAAIGEsBzi4GFApNAAASMJgC/aXTxwDgQAAFDCcB3GlowMAsEQMBoAvCdRhcP" + "jAtjAqh7YVy4yJrRBFD3jC4eGAcCIGAoAeU7IQSGENinSxRCYJ8wIREIgAAIuBFQN1sIgd2wYAEE" + "QAAEQAAEQMBAAnhuMbBQYBIIgIDRBOA3jS4eGAcCIGAoAfhOQwsGZoEACBhNAL7T6OKBcWFMAHUv" + "jAsXWTOaAOqe0cUD40AABAwloHwnhMAQAvt0iUII7BMmJAIBEAABNwLqZgshsBsWLIAACIAACIAA" + "CBhIAM8tBhYKTAIBEDCaAPym0cUD40AABAwlAN9paMHALBAAAaMJwHcaXTwwLowJoO6FceEia0YT" + "QN0zunhgHAiAgKEElO+EEBhCYJ8uUQiBfcKERCAAAiDgRkDdbCEEdsOCBRAAARAAARAAAQMJ4LnF" + "wEKBSSAAAkYTgN80unhgHAiAgKEE4DsNLRiYBQIgYDQB+E6jiwfGhTEB1L0wLlxkzWgCqHtGFw+M" + "AwEQMJSA8p0QAkMI7NMlCiGwT5iQCARAAATcCKibLYTAbliwAAIgAAIgAAIgYCABPLcYWCgwCQRA" + "wGgC8JtGFw+MAwEQMJQAfKehBQOzQAAEjCYA32l08cC4MCaAuhfGhYusGU0Adc/o4oFxIAAChhJQ" + "vhNCYAiBfbpEIQT2CRMSgQAIgIAbAXWzhRDYDQsWQAAEQAAEQAAEDCSA5xYDCwUmgQAIGE0AftPo" + "4oFxIAAChhKA7zS0YGAWCICA0QTgO40uHhgXxgRQ98K4cJE1owmg7hldPDAOBEDAUALKd0IIDCGw" + "T5cohMA+YUIiEAABEHAjoG62EAK7YcECCIAACIAACICAgQTw3GJgocAkEAABownAbxpdPDAOBEDA" + "UALwnYYWDMwCARAwmgB8p9HFA+PCmADqXhgXLrJmNAHUPaOLB8aBAAgYSkD5TgiBIQT26RJVQmCf" + "EiMRCIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAA" + "CIAACIBArBOAEBhCYJ8uMgiBfcKERCAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAA" + "AiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAQZwQgBIYQ2KeLTQmBI+2C8QkOEoEACICAFwLwnV7AYDUI" + "gAAIgAAIgIBxBPDcYlyRwCAQAAHDCcBvGl5AMA8EQMBIAvCdRhYLjAIBEDCcAHyn4QUE88KWAOpe" + "2BYtMmY4AdQ9wwsI5oEACBhJIFJ9Z/IUKeju3buuMvnvVy6pdf+VVoInsmZzpUqQgBKoIhTzPCUQ" + "v9euXlVrI+I3Ui+YiChcZBIEQCDWCMB3xhpaHBgEQAAEQAAEQCDIBPDcEmSgOBwIgEDYE4DfDPsi" + "RgZBAARigQB8ZyxAxSFBAATCngB8Z9gXMTJoKAHUPUMLBmaFPQHUvbAvYmQQBEAgFghEqu+EENjh" + "xRSpF4xDXNgNBEAABCQB+E5cCCAAAiAAAiAAAqFCAM8toVJSsBMEQMAUAvCbppQE7AABEAglAvCd" + "oVRasBUEQMAUAvCdppQE7Ig0Aqh7kVbiyK8pBFD3TCkJ2AECIBBKBCLVd0II7PAqjdQLxiEu7AYC" + "IAACkgB8Jy4EEAABEAABEACBUCGA55ZQKSnYCQIgYAoB+E1TSgJ2gAAIhBIB+M5QKi3YCgIgYAoB" + "+E5TSgJ2RBoB1L1IK3Hk1xQCqHumlATsAAEQCCUCkeo7IQR2eJVG6gXjEBd2AwEQAAFJAL4TFwII" + "gAAIgAAIgECoEMBzS6iUFOwEARAwhQD8piklATtAAARCiQB8ZyiVFmwFARAwhQB8pyklATsijQDq" + "XqSVOPJrCgHUPVNKAnaAAAiEEoFI9Z0QAju8SiP1gnGIC7uBAAiAgCQA34kLAQRAAARAAARAIFQI" + "4LklVEoKdoIACJhCAH7TlJKAHSAAAqFEAL4zlEoLtoIACJhCAL7TlJKAHZFGAHUv0koc+TWFAOqe" + "KSUBO0AABEKJQKT6TgiBHV6lkXrBOMSF3UAABEBAEoDvxIUAAiAAAiAAAiAQKgTw3BIqJQU7QQAE" + "TCEAv2lKScAOEACBUCIA3xlKpQVbQQAETCEA32lKScCOSCOAuhdpJY78mkIAdc+UkoAdIAACoUQg" + "Un0nhMAOr9JIvWAc4sJuIAACICAJwHfiQgABEAABEAABEAgVAnhuCZWSgp0gAAKmEIDfNKUkYAcI" + "gEAoEYDvDKXSgq0gAAKmEIDvNKUkYEekEUDdi7QSR35NIYC6Z0pJwA4QAIFQIhCpvhNCYIdXaaRe" + "MA5xYTcQAAEQkATgO3EhgAAIgAAIgAAIhAoBPLeESknBThAAAVMIwG+aUhKwAwRAIJQIwHeGUmnB" + "VhAAAVMIwHeaUhKwI9IIoO5FWokjv6YQQN0zpSRgBwiAQCgRiFTfCSGww6s0Ui8Yh7iwGwiAAAhI" + "AvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQTgO00p" + "CdgRaQRQ9yKtxJFfUwig7plSErADBEAglAhEqu+EENjhVRqpF4xDXNgNBEAABCQB+E5cCCAAAiAA" + "AiAAAqFCAM8toVJSsBMEQMAUAvCbppQE7AABEAglAvCdoVRasBUEQMAUAvCdppQE7Ig0Aqh7kVbi" + "yK8pBFD3TCkJ2AECIBBKBCLVd0II7PAqjdQLxiEu7AYCIAACkgB8Jy4EEAABEAABEACBUCGA55ZQ" + "KSnYCQIgYAoB+E1TSgJ2gAAIhBIB+M5QKi3YCgIgYAoB+E5TSgJ2RBoB1L1IK3Hk1xQCqHumlATs" + "AAEQCCUCkeo7IQR2eJVG6gXjEBd2AwEQAAFJAL4TFwIIgAAIgAAIgECoEMBzS6iUFOwEARAwhQD8" + "piklATtAAARCiQB8ZyiVFmwFARAwhUCwfOed+xLSnfuTUIKkiYnE/5QggSlZDF877t4lukV098Yt" + "SnjxX0p480745jUMcxasuheGaJAlEIhVAqh7sYoXBwcBEAhTApHqOyEEdnhBR+oF4xAXdgMBEAAB" + "SQC+ExcCCIAACIAACIBAqBDAc0uolBTsBAEQMIUA/KYpJQE7QAAEQokAfGcolRZsBQEQMIVAMHzn" + "rQeTUoJUSUzJUsTacffyv5T4zI2IzX+oZTwYdS/U8gx7QcAEAqh7JpQCbAABEAg1ApHqOyEEdnil" + "RuoF4xAXdgMBEAABSQC+ExcCCIAACIAACIBAqBDAc0uolBTsBAEQMIUA/KYpJQE7QAAEQokAfGco" + "lRZsBQEQMIVAoL7zdsbkRMkTmZId2HHtNiU6eQ0cQoBAoHUvBLIIE0HASAKoe0YWC4wCARAwnECk" + "+k4IgR1emJF6wTjEhd1AAARAQBKA78SFAAIgAAIgAAIgECoE8NwSKiUFO0EABEwhAL9pSknADhAA" + "gVAiAN8ZSqUFW0EABEwhEIjvRCRgU0rR3Q5EBnbnYepSIHXP1DzBLhAIBQKoe6FQSrARBEDANAKR" + "6jshBHZ4JUbqBeMQF3YDARAAAUkAvhMXAgiAAAiAAAiAQKgQwHNLqJQU7AQBEDCFAPymKSUBO0AA" + "BEKJAHxnKJUWbAUBEDCFgFPfeee+hHT34RSmZAN2eBBIcOwqJbx5x2MtFk0i4LTumZQH2AICoUgA" + "dS8USw02gwAIxDeBSPWdEAI7vPIi9YJxiAu7gQAIgIAkAN+JCwEEQAAEQAAEQCBUCOC5JVRKCnaC" + "AAiYQgB+05SSgB0gAAKhRAC+M5RKC7aCAAiYQsCp70Q0YFNK0N4ORAW252LSWqd1z6Q8wBYQCEUC" + "qHuhWGqwGQRAIL4JRKrvhBDY4ZUXqReMQ1zYDQRAAAQkAfhOXAggAAIgAAIgAAKhQgDPLaFSUrAT" + "BEDAFALwm6aUBOwAARAIJQLwnaFUWrAVBEDAFAJOfeftR1ISJUlgSjZghyeBf+9SoqNXPNdi2SAC" + "TuueQVmAKSAQkgRQ90Ky2GA0CIBAPBOIVN8JIbDDCy9SLxiHuLAbCIAACEgC8J24EEAABEAABEAA" + "BEKFAJ5bQqWkYCcIgIApBOA3TSkJ2AECIBBKBOA7Q6m0YCsIgIApBJz6zttPCCFwAgiBTSnHKHbc" + "FULggxACR+Fi0Aqndc+gLMAUEAhJAqh7IVlsMBoEQCCeCUSq74QQ2OGFF6kXjENc2A0EQAAEJAH4" + "TlwIIAACIAACIAACoUIAzy2hUlKwEwRAwBQC8JumlATsAAEQCCUC8J2hVFqwFQRAwBQCTn3n7Syp" + "TMkC7PBCINGBy162YLUJBJzWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIAvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQSc" + "+k4IgU0pQe92QAjsnY0JW5zWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIAvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQSc" + "+k4IgU0pQe92QAjsnY0JW5zWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIAvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQSc" + "+k4IgU0pQe92QAjsnY0JW5zWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIAvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQSc" + "+k4IgU0pQe92QAjsnY0JW5zWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIAvCduBBAAARAAARAAARChQCeW0KlpGAnCICAKQTgN00pCdgBAiAQSgTgO0OptGArCICAKQSc" + "+k4IgU0pQe92QAjsnY0JW5zWPRNshw0gEMoEUPdCufRgOwiAQHwRiFTfCSGwwysuUi8Yh7iwGwiA" + "AAhIArHlO5MnT053bt+mGzdvgjQIgAAIgAAIgAAIBIVAbD23BMU4HAQEQAAEDCQQbL+ZOFEiSpky" + "Jd3891+6du2agTmGSSAAAiAQOIFg+87ALcIRQAAEQMB8Ak59J4TA5pcthMBml5HTumd2rmAdCJhP" + "AHXP/DKChSAAAuYRiFTfCSGww2sxGBcMd2jkevklevbZpynDQxno2PHj9Oeff9H3O3dFa9UTjz9G" + "OV/IQdmyZqF//71Ff/39N+3e8yOdOn062v2wEQRAAATim0AwfCfnIel991HVKhWlL8z+3HOUNk0a" + "mbVr167SwUNHaOGSpbRp86fxnV2qXLE85c+Xl+7evUMdOnWLd3ti0wC+pw38sA8lSpQ4ymlu3LhB" + "hw4dpgOHDtHff++n337/I0oaXhEdr/Tp01HrFs3pueeepdSpUtCBg4eoZZv28jgvvZiTGtSrTY89" + "9iglTpyYVq9ZR5OnzpDbwvFPl47tKUOGDLRi5Wr64qttjrPYomkjeuqpp+jXX3+jSVOnx3icIu8W" + "omJF3vWajp9Jjhw5TH/+9Tet37jZazpfN7yQ43lRrnVk8kFDhuE5x1dwSAcCIBA0AoE8tyifeefO" + "berSrRfdEh8sOZmyi/teqRLF6Mtt39D2Hd86OQT2AQEQAIE4IxCI37Qzsqt47i1VsgQdOXaMqtZw" + "PRfapcM6EAABEAhlAoH4Tu4naNu6pcz+2PETRT/Bfr9QcFvLiy+8QM89+4xoT0lE+w8cFP0Me+nI" + "0WN+HQeJQQAEQCCuCTj1ncEWAqd+6H7KXiIXpXksPZ367Tj9sm4n3bhywzEOPl6OMrnp/sxp6eQv" + "x+in1d/TrZu3Yjzek29kpyfyP023Rdo/t/5ER3cfjHGfp97MTo+++iQlSZaEju05SD+t3RnjPpmf" + "f5SyvZmDUmVMTWf/PEk/r/2Brl64GuN+/iSAENgfWnGf1mnd87T0RaFzeOaZp+lx0adz/vwF+v2P" + "P2nn7j0BfwDqTzvak9myEqd/+qkn6cqVq/TX/v20Y8d3dPnKFTdzORDRC89nd1vnbYH3/UX0t3hO" + "sZVfz/NgOXwJBKvuxZbOiOtJq+ZN6PCRo7Rg0ZJoC8LXd5Bg1L3Yym+0GcRGEAABYwgEy3cakyEf" + "DYEQ2EdQnskCvWDyvZaHunXtSOnTpvM8tGhwO0Adu/ag48dPuG1jkVWPbl3o3ULvuK3nhbt379K8" + "BQtp3MQpUbZhBQiAAAiYQiBQ38n5yJolCw0bOoAyig8oopuOnzxBjZu1ko0I0aWLzW3dOr9PJYsX" + "k6co8Hbh2DxVvB87w0MP0fLF832ygxt1+g8cHKWDKjpes6ZNJm6YUdOFi/9QyTIViV8EN65ZIQTI" + "idQm+mTLZ9S774fWcijODPqwr+yE+/6HXW4vzQ/cfz+tW7VMZmnshEni3r/IcfaWLZ4n69H3O3dS" + "2/adYjxO3149qFDBt2NMxwm4/nXs3EM+0/i0g00iPhefk6e6DZtIgbFcwB8QAAEQiCMCgTy36D6z" + "UvVaUd7tfM3CqBFDKXeuXPIDmJp1G/i6G9KBAAiAQLwQCMRvehrMbWAb166iZMmS0ux582nCpKme" + "SbAMAiAAAmFBIBDfyR+Mde30vuQwdPhIWrFqjc9M+OPgmtWr2abfsvUL6j9gEEbesqWDlSAAAiYQ" + "cOo7gyUEZkFs4R6VKOWDqdxx3CW6cOQMre0yjy6dvui+LZqlrPmfobc7lKGk9ydzTyWOd+bPE7Tx" + "g0W2xyvYqQw9XTAnJUiYwG2/2zdv04/LttP2aZ+5reeFAk3fpewlX6HEQgDsNol+5qNCELy60xy3" + "1bzwbOGclLdRYUqRLmWUbRePnZf2nT0QnGBVEAJHQWzUCqd1T2WC+5EGD+hHzzz9lFpl/V69eoUG" + "fTSCPt2y1Vrn74wv7Wjcp/Rh396UN8+rUQ7/rxiNZs68BTRl+kxr2/9EsJ+hg3zvb6pRp74IWHRY" + "7h/b+bWMxEzYEwi07sW2zqhWjarUvEljWQ4Fi5Tw+h7hzztIIHUvtvMb9hccMggCYUIgUN8Zqhgg" + "BHZYcoFcMBy5cNyoEdaZb4voUJcuX6I0D7giWvIGjmpZsmwlt5tkn17dqXDBd6z9rl+/JiMvJkly" + "72Vt0tRpNHP2PCsNZkAABEDAJAKB+E7Ox5uvF6D+fXpZok/2lft+/oX27fuZrt+4Tq8IoYz+8n7s" + "xAmqVaeBmy+NSx7RCVvj0o64OJcuBL506ZIQLB20Tpv+wQcpc8aMlCCBe4MkR6uZt3CxlY4j3ZYW" + "Eb/4vvhmoaLW+mfFl+HTJo2Xyyww/Ux0SCUUxxo9biLVq12TGjesL7ft++knGSH/8JEjtGbdBmv/" + "UJzZunk98f1986ef0Qf97jUy6ULgUWPH08LFSx1nLxAhMEf95fqnplSpUlGmjBmEMDuFWkVc/ypX" + "q2Ut+zvzzltvyvrO+9Wq1yggUbG/50Z6EAABEGACgTy3BEMI3Kh+Xapft7YsDI6EDyEwrksQAAHT" + "CQTiNz3zVrJ4UerWuaNcXaZiFTp79pxnEiyDAAiAQFgQCMR3OhUC9+jSiYoXK2Lx436GW7duUapU" + "qa113+zYQe937m4tYwYEQAAETCLg1HcGQwicIk0KqjWvLSUUkdStSYhoReO3tXjj4nWaXukjazm6" + "mRxClPtGm+Ju+4voT27LV89eoVnV7/Ur8/FK9KtKj+d9+t6hxS5yumcG7V6wzU0MnLfe25Sr+uvi" + "2P+ltdnn3P5TtKjppP8SELHoufRHdUR+E7rWyX3c7bt55SZNKz/E2ieQGQiBA6EX+/s6rXtsGQvz" + "5s+dSQ9nymQZelnoI1KkSEUJNTF7o2YtbaPqWjt5mfG1HW3x/Dn0cOZ7Nvxz8SIlT5aM7hMjkapp" + "9LgJVoAWvX9KbY/ut0GT5nJUzNjOb3Q2YFv4EQik7jGN2NQZvZYnNw3+sJ9Vh7wJgf19B3Fa92I7" + "v+F3dSFHIBC+BAL1naFKBkJghyUXyAWjhDd86mUrVtKwkaOlFWnTpqERQwfLISh4xaw582jilGly" + "G0dB5GiIPPHQ27369LOGA69WpZIYLr2Z3MbiqaKlygU8dIY8GP6AAAiAQJAJBOI7+aV55bKF1kcT" + "v/72O7Vq1yGKv8uaJQuNHz2CUqd2dV4sWbacRowaG+Sc+Ha4SBUCf/HVNurao7cbJC6/bOJe1rt7" + "V8qS5Qm5je9ZVWrUphMnT8llvg/mEEMsnTt3nn7+5Vdrf10E0ElEzN/2zXZrW7/ePangO2/JyPjv" + "ligT5XqwEobYjDchMHPMl+81mZsfdu4OKL/qecRJRGBv0S1ZvNu7RzchYk4sbeTIzByh2cmk8nr3" + "zl23MndyLOwDAiAAAk4IBPLc4kQInFR0OJQrU4oefjgzvfFGAbfRDyAEdlKC2AcEQCCuCQTiNz1t" + "nTFlomwf2yWGqG/Vtr3nZiyDAAiAQNgQCMR3OhECP/rIw7Rgzkz5sTaLf7v37kNfbftG8nxLPIP2" + "6dXTeqdv3qot7d33U9iwRkZAAATCh4BT3xkMIXDl8Y0p/ZMZJUwW/G78YCEd23dYRs19s21JSpTU" + "1S66/8tfaGO/mIM41F3UnpILcTFPZ/86KY63mC6evECv1nyDXqn5uiU4/mvrT7R5wHKZLsMzmanC" + "aDFqEIuPhSb3T7Htk4HLKWnKpFSsTxXK/OJ/7e8iMvDkUgPlPvyn0arOViTgo7v20+rOc4mFzfma" + "FKan33mBEiRyiX039FhIB779Q+5XfWpzeuCx9HL+0sl/aEPPBcTRf/M1eIeeL/Uq3Zcqqdzma35l" + "4mj+QAgcDRwDNjmte2x6jaqVqWXzpjIXJ0+fojbt3qcjR49JgXBf0c/z1ptCpC4mHjmZA4PENDlp" + "R+N2t47t28lDnzpzmlq37SBt4BXNmjSk2jWqy2137tyhd94tTrdEHxZP6dOnoySJXXVbrtD+PC/6" + "tLifiqe/9x+g+o2ayv2CnV/tlJiNQAKB1L3Y0BmVLV2SMmbIQP/Ln8/SNqlisRMCO30HcVL3YiO/" + "Km/4BQEQCC0CgfjO0Mqpu7UQArvz8HnJ6QXzxOOP0bxZ0+V5eGj0+o1dAl51Yh6OYt3KpfKLmevX" + "b1DRkmXkw6L+8Dl56nSaMXuu2kX+6h3NfT4cSJs2f+q2HQsgAAIgYAIBp76Tba9fpxY1alBPZuPH" + "ffuoWSvXy7pc4fFH/0rw/IULVKpcJY8UrkVuKGBR6s2b/9Lhw4etl3rbxB4rOQJuhgwP0YEDB+ny" + "lSseW12LvgiBWfz6kIiYm1g0Ily+fJmOHTvukx0sknzyyWzyRGzDjZs3bW1QK1OlTEkPCZtTpkxB" + "V65cFeLbkz6LSPlF6/7U90cbkVWPCGwnBFZ28O/QQf3pf/nyyVUcxbdpy7b65ijzesdW9dr16NDh" + "I1YajhDN4lOOXlOoWGlrvecM8+Ky5qGd1LBMnmm8LfO9m8vYMwoZc8ksvl7/6+/9PrPkc7BYncvh" + "sMgHf21uN3kTAtul9Vzna1nHhhCYbalTqzo1bdRQmmU3jDOXRbp06ejBB9NLAff58+ctMbhnXnxd" + "9uUa9fVYSAcCIAACikAgzy36+5m3jyfUedQvN4gunDtLLbr9QgjshgMLIAAChhIIxG/qWdL9Ybee" + "venzL7fpmzEPAiAAAmFFIBDfqbeXDB0+klasWhMjm4b16lAD8Y8nu5GGqlauSG1aNpfbx02cTHPn" + "L5Tz+AMCIAACJhFw6juDIQRusq6bjI7LwQtmVPyIbly5YaHJmv8ZKvpBFRlx15eowE++kZ3e7VlR" + "7n/lzGWaXWOkdSyekdGC25aQ6y6duEBz64yR83o04ON7D9LK92fL9epPzVmtKHUm1yi0383YSj/M" + "+0qKhOsvEyNuCO2w3bmK9KhA2d58Xh7izy376JNBK+R8o5VCPJw8Cd29fYcmFh+gTiF/Mz33MJUb" + "JQTJYrp47DzNqxd4QBYIgSVOY/84rXucIR71kfvueLKL+rtiyQLZV8bbS5WvROfPX+BZr5P+3uiZ" + "yFs72oQxIynnCy/I5HajEH40+EPKnzev3F63YRPikRGjm7iPce7saWJEzEzEI2VWrVnX6vMJdn6j" + "swPbwp9AIHUvNnRGn25YS8mSuT4E8aRvJwQO9jtIdHUvNvLrmUcsgwAIhAaBQHxnaOTQ3koIge25" + "xLjW6QVT5N1CMhoin8Bb49wHPbvTu4XekTao4SMmjx9Nz2fPLtcVLVk2iugs+3PP0pQJrhestes3" + "0IDBvg05Iw+IPyAAAiAQRwSc+k4279MNq8VLRXJpqV0jgWcWRo0YSrlz5ZKrPcWj3FHCnR78taKa" + "7orhtg4cPCj9px6NlrdvWrtSCDdTEvtXFpIWK1LE7QXnnBAyLlu+kqbPmqMOJ3+jEwLXrF6Vqlap" + "SOnTpnPbh6O+f/LpFuo/aIi1XhdWsphoUP++xF80JuAv/v+bPvv8C+r34aAoguB8eV+jFk0by/Qq" + "rfrdKwTVXbr1shomnhLC4plTXcN+Vaxag0qVKE6lShaXjS8//fwzNWnRRu0a5dcfITCLNlcuWWjZ" + "r14KPXmx7cMGuzcuRjmxzYrO3Xta0WyYE0eozZY1i3U+Fg3v+fEnGvLRcDcBao1qVahlsyaywYbF" + "47xf/nx5KHnyFKJsZ9OUaTPl2dq0bEZlSpWQ69XpD4sv19dv2EAzZ89Tq2SjFjf28NSuQyeqUrkC" + "5cmdW0TWSWKl4S/EO3bpJu3gF9ctm9ZZ2zxnSpSpIATP12nTulVSOD52wiSat2CRlcyfsuadYksI" + "/NKLOWncKNdQdVs++5x6ilEMeOL8tWnVnEoUK2oNESQ3iD8siJ4+czYtXuqKasHrCxV8m1hIx5Nq" + "dAvkGpUHwh8QAAEQ8INAIM8tToTAfH8cMqC/m4VZnnhMPv9468BwS4wFEAABEIhnAoH4Td309m1b" + "U8XyZeVzebHS5fVNmAcBEACBsCMQiO90IgTW215Ue4wOVQ9ksn7DJrf2KT0d5kEABEAgPgk49Z2B" + "CoGfejsHFe7mej49L6LiLmwyMQoGK8KviNQ7oaj7O75n4gLNi1LO8nnk6j2LvqZvpmzxTEJN1nWV" + "UYFvXfuXppQdLLfXmd+OUqRPJaMBz601ii6ddg82kbNsHirQsqhMe+bPE7SkxRS6P2MaqjG7lVx3" + "4fAZWtBwgtu5CncpR08VdAkk92/7lTb2WSK3N1rVRUQRTkz6+dWOuhCYowXPre0aAVdtd/ILIbAT" + "anG3j9O6x8FBPv90ozT0yLFjVLWG66Mk3XI9GFCvvv3p0y1b9c1R5p20o6k+EW9Rh6tWqiD6MFrI" + "c/ky2qHqh7wjPgxo3rot7fvpZ7lvbOQ3CgCsiCgCTuseQ4oNndF4Iaq/L8l9Vhk8lOFBq7/b7h0j" + "2O8g3upebOXXyihmQAAEQopAIL4zpDLqYSyEwB5AfF10esFUqlCO3mvjetHy9kW9GuacbRk45CNa" + "s24DrVmxhNKmSUNnzp6lshWrRjFTf6DctWePGDaxQ5Q0WAECIAAC8U3Aqe/kF/pVS12CR7to6v7k" + "a0DfD6whhuz2Y0HwOBZYLlxsbVZCYGuFl5mvt+8Qos7u1lb9xabA24Wt9e3bthId2+WsZbuZVWvW" + "0uCPXGJKXQh84eI/lOb+B+x2oS+3fU1duveythUQQ7IMHtDPEsBaG7SZY8dPUGUhLuYp32t5aNiQ" + "gXKeO3uKFysi5/lPMIXAfDw9KrASeXry0vPN+/g6KSEwd4h1fr89JUzoGtLMc/8L/1yghk1aWGJg" + "FqlWrVRRREy+Qjt376E3CvzP2oWFwLPnzKfJ4qMbFhd7m5YKQfjwj10Nnnpkam/peT0LyUuXryyH" + "wPps8wZh7z2Bt74fC4F5WrdqmfzVhcD+ljUfQDV6fb9zJ7Vt30keM7o/voraihUpTD27dZGHWrJs" + "OY0YNVbmbeK40fTcs89Edwrq0bsvsaidJzshcCDXaLQnxkYQAAEQsCHg9LmFD+Wrz7Q5rdsq1VAL" + "IbAbFiyAAAgYSiAQv6myxO1bG9Yslx/dLVi0mEaPiyquUGnxCwIgAALhQCAQ3+lECKwiZF29eoXe" + "LVE2CsLX8uSmEUNdQrOZYlTCSWJ0QkwgAAIgYBoBp74zUCHwW+1KUPYSr0gcv3+yl7YMWRUFTYVR" + "9SnDc4/I9Rt6LKQD3/4RJY1aoUfhXdZqKp36/bjaZP023dCdEoj2Yj3CsIrSe+v6LZpSZpCVVp9p" + "tlEEWBDNzHr0X7Xf7Ru3aLqIZnzr5i1rl3qLO1CyB1yBWHRbqk1tRmkee1CKjj8ZuJz+3PqTtU+5" + "EXUpU47H5LI3HlZiH2cgBPYRVDwlc1r39D6+9Rs3U/+BrmcNPRu5XnqRxnw8XK6aOGUqzRL9Mf5O" + "MbWjqSi9n3/xFXXr9UGUw3MQmKqVXSOMtmjzHu3Z+2OUNGpF4YLvUJ9erv5A1Q+itsVVftX58Bv+" + "BJzWPSYTFzqjxg3rUb3arr5mOyFwMN9Boqt7cZVfPg8mEAAB8wkE4jvNz513CyEE9s4m2i1OLxhd" + "wDJ77jyaMHlalPOMGz2CXsqZU64fPW4CLVi0hLZt/UQuRyfE2rxuJaVIkVJEtDxENeu6hmKJcnCs" + "AAEQAIF4JODUd+oNAJ4v1P5kR4/Kzvtxx/KmT7aISHvJiCP0spiSJxYDV6pW0xKIegqBuZFg2YqV" + "dOXqVSkWrVOrhiW2HTB4qIgc7Pqy2VPYysdOJSILbxQRhnm6fv0GzZo7l37YuZvOnDlDRYWAsnGD" + "+vJYZ8+fozLlq8h0noJY/lp5zPhJdFFEUS349ltUuWJ5GSGWE7NYlKOr8rR4/hx6OHMmOb9y9Rr6" + "QgypyxFoX3jheeoiBLIc5ZinMhWr0Nmz59yEwHKD+MMfoOzes5e2f/udiHi7Sa2O8utPRGDeWX8p" + "VF9We/LKLGx/PvtzlP+11yxR8pRpM+jQkSPW+Vu1aEoZHnxIRGq+Rf0Guho9v/9+p9y+UojHkyRJ" + "THfu3KGZc+bS1s+/lHluWL+OFS362AkhhK7mejlVQmDr4GKGWfNX3CtXrxURkotRuTKl5ea//t4v" + "ymACnT59lnK/8jI1b9LQiljNEXA5Eq6nEJiHhhozfiKxmP2VXC9T9WqV6cF06eXx9H0effQRKxLu" + "z7/8QgsWL5VpPv/PfjshsL9lzQeMDSEwX99zZk61hvAaMmyEZPfWGwVoQL8+Mh/8xf98Ecl4z959" + "omxuU6P69ajgO2/JbZs2f0p9Phwo52MSAstE4o+v16hKj18QAAEQ8JWA0+cWPj6EwL5SRjoQAIFw" + "IhCI31Qc9A6d8pWr06nTp9Um/IIACIBAWBIIxHc6EQLHBFEJZDhdt5696XPRloQJBEAABEwj4NR3" + "BioELjmgBj32ajaJ45uJn9CepdujoCnauxJlLfCcXL97wTbaPu2zKGn0FUlTJpWLN67c0FfL+Tfb" + "FKfnS+WW83oE4qbru1GCRAnp+j/XaEblYVH24xUqkvDNyzdoWoWhMk2JflXp8bxPy/l/r96kIz/8" + "JaINJxRi3ico6f3J5PrLpy/RnJofy3n+81LFfJS/iQhyIkTFd2/foWM/HhLi4ov08EtZKdWDqeX6" + "O7du05waH9PVC1et/ZzOQAjslFzc7Oe07ukj/emjL+pWc3/QEtGnxZPTfsCYhMD6+TznuW9jxZL5" + "1kiQdiM0q334A9blSxZQurRpZT9fqfKV6Nq1a2ozxVV+rRNiJuwJOK17DCYudEZ6n6+dEDimAvL1" + "HSSmuhdX+Y0pP9gOAiBgBoFAfKcZOXBmBYTAzriR0wtGF0rdvHmTeIh3Fl+pSRcK87ppM2bRVPFP" + "3aC/2bGD3u/s+rpM7aN+ly6cS5kyZiQ9uqPahl8QAAEQMIGAU99ZsXwZat+2jczCiFFjRCPACkfZ" + "WbV8kTU0iRKf6gfq2L6tJfTc9s126tS1h9ysC4FXr11Hg4a6vkpW++oix9NC0FuuUjW5yVPYyitZ" + "bDxkYH+5XYkk5cJ/f9RwJrz4VqGidOv2bdKFwIcOH6bqtevru1C/D3oJQfCbcl2jZi3pl19/E40V" + "yemT9avlOruvm2vVqCrEq43l9lZt29MuIfb1vAd9suUz6vfhIGmD2wltFvT72xdfbaOuPXrbpLq3" + "qmzpktSpw3tyxWQRXWaGiDJjx4sTlCxeVGzrKNNWr12PDh2+JwRWUfSvX79GhYq5RLqckL/EZhEB" + "T9179aGtX3wp59WfwWLo9df/5xJ+N23ZRop9PYXAo8aMo4VLlsldOH/LFs2TIu0DBw5SzXoN1aHk" + "b9YsWWjWtMkymq/6aEcXAt+6dYsqVK3hds8vVbI4de3YQe7PAufps1yNXLxi6+b1QsSchDZ/+hl9" + "0O9DmYb/PHD//VEiAjspaz5WIEJgLuPf//iDDyOn5EJM/9hjj1H+vHml+JpXcpmUrViNLosIy2p4" + "Z15fUXA4cfIUz1rTl1s2ycjNv/72OzVs6hp6q1DBty1BtIoaHcg1ap0MMyAAAiDgIwGnzy18eAiB" + "fYSMZCAAAmFFIBC/qUBMGjeKcjz/vHg+/4matmyrVuMXBEAABMKWQCC+M9hCYL0t5eSpU1ShSo2w" + "5Y6MgQAIhDYBp74zUCFw2Y9qU+YXn5DwNvZeRPu/+T0KSD1q8L4V39NX4zZESePLihdKv0oFWhaV" + "0YDpLtGWwSvo9y375K4qSrAe7dfzmI1WdabEyZIQC36nlhtibS7aSwiVX3cJla2V/814Ox7b8nqr" + "YlL067kPi4CXtZ5GZ/466bnJ0TKEwI6wxdlOTuseB3yZPH6MtFPvd9EN1/s+OLDNkGEj9c0+zTsV" + "ArMIePyYjylb1izyPBzohYO3eJuaNKxPdWvXlJunTBd9OzPv9e3wyrjKrzf7sD78CDite0wiLnRG" + "gQiB/XkHianuxVV+w+8KQ45AIDwJBOI7Q5kIhMAOSy+QC+bj4UPp1VdyyTNfvnyJJk6ZToeFqCl3" + "7lxUs1o1tyHBx0+aTHPmLZSiH34A/vOvv4nFMHYTC5QyZsggxDUnhcjG9fBplw7rQAAEQCC+CDj1" + "nTWqVaGWzVy+b/jHo2npcldEXX/yoQtVOcpq4+ato+ye9L77xBApi2V09WvXrlLh4mVkGiUEZjFn" + "sdLl3b7sVQeZOnEcPffsM3JRRdi1E7by14osAE2YMCHxEEj6V8LPPP0UDRsyUH5FzAeyEwKryLHq" + "vPz7v3x5aeggl1iUxcssYubp7TffoHTp0tL3P+x0E8/ysET9PuhpRZ+3EwKfv3CBSpVzDYEkDxbD" + "H52vv0LgkaPH0uKly4MqBF65bKGMtmsn2uWs6PauEeLugULcrQuBv/p6O3Xu5hKCc3o9mnSHzt1o" + "+45vebXbpL+scmTmTJkyEn/FypM6h74DX29bNq2Tq5YuX0HDP3Y1hPEKf4TAnN7fsuZ9AhEC8/7R" + "TXfu3KUBQ4ZaUaTTpk1D77z1pozOvGLVGrddixQuSL17dJPr/BEC+3uNup0UCyAAAiDgAwGnzy18" + "aAiBfQCMJCAAAmFHIBC/yTAyZcxASxfOk1zs3nvCDhgyBAIgAAKCQCC+M1hCYPa/gz7sR08/9aQs" + "Ex51qUWbdvTzL7+ijEAABEDASAJOfWcwhcAbeiykA9/eC5SgQOlC4F/W7aTPR7raf9V2X34LdipD" + "zxR60RLeHt97kFa+P9vaVQmBr569QrOqj7DW6zONVgohcPIkdOvavzSl7GC5qUiPCpTtjeziuAn0" + "pPfmheD45K9HaHnbGda6lyvnpzx136ZE9yWy1nnOXDl9mdb3mh8UMTCEwJ50zVp2Wvf0aL+jxo6n" + "hf+NgqjnThcC2/Wn6Gm9zTsRAufL+xr17NaJ0jyQRh6WP4aqU7+xDHBidx7u19m0bpUcpZNHgeR+" + "NA7oo09xlV/9nJgPbwJO6x5T4VFGY1tn1LhhPapX2zX6qq8Rgf19B/Gl7sVVfvk8mEAABMwnEIjv" + "ND933i2EENg7m2i3BHLB8E1txpSJlDq1GDIlhqn/wMFSKKa+1Nm5eze1bve+7V6rly+W4jEecrtq" + "jTq2abASBEAABOKTgFPfqUfcXbBoMY0eN9GnbLDolid+CX8tT24aMdTV4KUi0NodZOSwwZQnt2u4" + "rcLFS0uhrhIC7923j5q3ame3G9WsXpVaNHWPsGsnBFY780vXG6//j17M+QI9mS0bZXniCUqWzDUM" + "mEpjJwTmSPLHj59QSeSv/nWxLgTmjcyggIh8+0qul+nJJ7NR1qxZKM39D/Ama7ITAs+aM098qDLN" + "ShPTjC6s9UUI3KxxA6pd0xVZpmOX7vT19h1BEwJznj//dKNlMotSPSdu60zwX4Pn9zt3Utv2ndyE" + "wC3avEd79v5o7daqeVOqXrWytWx3zIQJ7zWg8kc7iYQdSgg8dPhI8hTA8sHU/Z3F7SxyV5O/QmDe" + "z5+y5vSBCIHv3r1L4n+36baoZ0fFM8jf+w/QxMlT6MjRY27beeGJxx+j/+XPK76If15+Xf/4Y49K" + "UbxK6I8Q2N9rVJ0DvyAAAiDgKwGnzy18fAiBfaWMdCAAAuFEIBC/yRxat2hK1apUpqtXr9C7JcqG" + "ExrkBQRAAAS8EgjEdwZDCFy/Ti2qX7e2bMNgI1nQ8l7HLnK0Ka9GYwMIgAAIxDMBp74zmELgLYPu" + "RejVcUgRb2Eh4hWTvxGBH3n5CSrUpQKlSJfSOuTRXftpdee51jLPWELgc0IIXM2LEHhVFxEROLEV" + "ETjDM5mpwuiGLnGxaNc989cJ2jV/G104fIZy13qDshZ4jhIkSijPc/zHQ7Sywyw53+i/4/ACRwze" + "u3Q7/fHpXnqxomjjLZWH7kt5n0x37cJVmllluJwP5A+EwIHQi/19nda97M89S1MmjJUGTpg8lWbP" + "nR/FWA5gs2rpIrl+xarVNHT4x1HSxLTCHyEwiwp7du8iA5io43LfRvNWbb2KgDmdPtrlIjGi5Mdi" + "ZEnPKa7y63leLIcvAad1j4mofsjY1Bn5KwR28g7iS92Lq/yG75WGnIFAeBEIxHeGMgkIgR2WXqAX" + "DA/j3bNrZyFMe0UM355CWnHh4j/0zdc76Ach9u3RpZNc165DJ/pORHJUQ2bvP3CAatVrZGv11s0b" + "5HDc/JBau759GtsdsRIEQAAE4oiAU9+ZNUsWmjNjirTyux9+oHYdOsdocZuWzahqZVdE2159+9OV" + "K1dp2OABcj9vDQ28kf1v8WJFZLqqNetIMaMSAn+57Wvq0r2X3Ob5p3bN6tSssWhIE1O/AYNow6ZP" + "vApbO7//HpUqUcItArzn8XjZTghctGTZKI0Q3oTA3CHUrnUL6z5jdw5eZycEVh+ieNvHc72/QuCP" + "Bn9I+fPmlYepUac+HTx02CuvksWLim0dZdrqteu5RTfu17snFXznLbp+/RoVKlZaptFt8bTTbvn3" + "P/6k+o2buQmBVVRnlV6dRy3H9Nv6vffFNXfFEgJ379WHtn7xZZTd1At4oEJgf8uaDQlECGwnSI+S" + "OW0FN6p9PHwI5XzhBW2ta5ZFxUqU7Y8Q2N9rNMqJsQIEQAAEYiDg9LmFDwshcAxwsRkEQCAsCQTi" + "NxnIxjXLKVWq1LRk2XIaMcrVSRyWoJApEAABENAIBOI7AxECc9sJj0qVLWsWyxoeYap33w/dRq+y" + "NmIGBEAABAwi4NR3BioELjmgBj32ajZJYvvkT2n34m+iUCnepzI9kf9ZuX73gm20fdpnUdLYrfCM" + "1nv75m3aOe9L+mHeV1GSN13fTYp2b1y8TtMrfRRlO69osq4rJUyciG5evkHTKgwl3a5DO/6gdT0X" + "uu331Ns5qHDX8lIofOPSDZpecSg9nudJKvFhdZmOo/7OrjnSbZ/E9yWmeks6CMFxEiIhLp5QtL/b" + "dicLEAI7oRZ3+zite09my0qzpk2Whi5cspRGjXGNpKhb7pZm8RIaNXaCvtmneV+FwHlyv0J9eveQ" + "UVL5wHfu3KG58xfQhMkxB8fh4C/PPvO0tKdOg8b019/7o9jmlpdYzG+UE2NF2BJwWvcYSFzojHwV" + "AgfyDuJL3Yur/IbthYaMgUCYEQjEd4YyCgiBHZZeMC8YjgqZNGlSOnX6tLSmUf268it8XmDRL4t/" + "ly6cK4ZIzEgcbe/NQkWjWK1/JffNjh30fufuUdJgBQiAAAjENwGnvpNFhFs2uYbQYtFg2UpV6ezZ" + "c9FmZ+rEcfTcs8/INOUrV6csWR63IgJPmjqNZs6eZ7v/8KGDKG+eV+U2z4jA+376iZq2bGu7X41q" + "VahlsyZym/qIwy4icK0aVal5E1fk4Fu3btHmT7bQt99/TwcOHqKD4l9TESlXCZgDEQI/8/RTUoSq" + "BJYsoN76+Zf0519/0+HDRyh79ucsYbSdELht+470/c5dtnm1W6mLb2OKCJw2bRpavWyxJf5Uw8TY" + "8eJz+SsE1iMC8/XSVwizo5v2isi/J06echMCK/ZqvxZNG4moz9Xk4pRpM+jo8eNqU5Tff2/+S599" + "/oVsDFIRgWNTCOykrNnouBQCjxoxlHLnyiVZnb9wgTZu2ky79uylQ0IAfuzYcZouRkrgzkd/hMD+" + "XqPy5PgDAiAAAn4QcPrcwqeAENgP0EgKAiAQNgQC8Zv6KDD+fnQWNgCRERAAgYgkEIjvdCoEfvSR" + "h2ni+NHWiFGnzpymAQOHyoAkEVkIyDQIgEDIEXDqOwMVAr/VrgRlL/GK5HXwm99ofe/FUdhVmdiE" + "0mXNINdv7L2I9n/ze5Q0nivKf1yfMmZ/xLVaCGpP/HyY1ogowLdu3vJMKpcbreosxbd3bt2mSSUG" + "2qZptrGHFPVyFN/ZNUZSnfntKEX6VNEKdmvPbUcpH3KlmVJ6EBX9oIolfP5h9hf0XWpKDQAAQABJ" + "REFUnfjnOZXoX40ef+0puXrPoq/pmylbPJP4tQwhsF+44jyx07rHWoh1q5ZJe3/YtYvavOcK/KJn" + "oFiRwtSzWxe5apSIsrtQRNv1d/JFCFyo4Nv0QY/uVqCeH8VIoB/0GyD7iGI6n94PdvLUKapQxTXq" + "ped+cZVfz/NiOXwJOK17TCQudEa+CIEDeQfxte7FVX7D90pDzkAgvAgE4jtDmQSEwA5Lz+kFw2I2" + "/sKMhUksEtq0+dMoFixeMIcezpRJRDe8QUVLlpFD2utD1fNw4yzk0qci7xai3t27ylXevqTT02Me" + "BEAABOKDgFPfybbqQsK16zfQgMH2X7pzWv4aVwkwWXRYqlwl0l8Sfvr5Z2rSog0ndZtYQMqNESlT" + "phTRT65S4eJl5HYVEZiFu8VKl7eNjDJp3CjK8fzzMn3FqjVko4GdsHXi2I/phRw5ZLoGTZrTb7//" + "4WaDHnlWiVHr1KpOTRu5og37GhFY/6hk4pSpNGvOfLfz6J1FdkLgFm3eoz1CIOvrpPONSQg8sH8f" + "evP1AvLQepRlO16cyF8hMO+zctlCejBdehk9mKMIe05c1nVr15Ri5FVr1smPcdq0ak5VK1WUSRV7" + "tZ9+n+3Wszd9/uU2tcn65a/IX3oxJ50+c4ZWrl7rdh3GphDYSVmz0XElBGbWn4lRCxImTEBnz5+j" + "ylVr0Y2bNy1uPLN21VLZAemPENjfa9TthFgAARAAAR8IBPLcAiGwD4CRBARAIOwIBOI3x3w8nHK9" + "9KLbh2FhBwgZAgEQAAEbAoH4Tr1tZ+jwkbRi1RqbM0RdpcQAvOXTLVup74cDZR9E1JRYAwIgAAJm" + "EnDqOwMVAj9T8AUq2KWchPLP4bM0v2HUqKb1l7xPSe9PFq3gVqdaUkTcfUxE3uXp1vV/adu4jfTL" + "ht16kijzdea/J0S9KeX6ebXH0MWTF9zSvFw5P+VrXEiuO/vXSVrcfDLVXdiekqcVI9SK/ukJRT90" + "S68Was5uTakzPiBtZyFwcSHyfeTlLHLz1xM2095lO1RS67do70qUtcBzcvnHZd/RtgkbrW1OZiAE" + "dkIt7vZxWvfYwq2b14uRjZPIkRRLlKkQ5dmjl9A5FBV6B546dOpK27/9Ts778ycmIXCmjBlo8fy5" + "sq+CtRpjJ0yk+QuX+HyKqpUrUpuWzWX6mbPn0qSp073uGxf59XpybAg7AoHUvbjQGfkiBA7kHcSf" + "uhcX+Q27CwwZAoEwJRCI7wxlJBACOyy9QC6YT9avksO08zAT5SpXc4tqWaRwQerdo5u0av2GTdR/" + "0BA5X6lCOXqvTSs5r4tkeAULbJYunicFT7ysBF08jwkEQAAETCIQiO/MmiULzZkxxcqOt48e+Evb" + "SRPG0KMPPyzT6sPKrlq+iNKnTSfX81CHn2z5zDoez3Rs35bKlSkt1329fTt17CK+mheTEgLzvO6b" + "eZmnV3K9TKNHuITJFy7+QyXLuMSkdsJWJXjke8AbBYu4DvDf38yZM9H8WdNlYwivUmJUJ0JgXYDk" + "OTwR3zfmiPM8JiLA8KQiq+Z7LY8cFpLX+Suy9EUInDx5curepSO989abfAr5UUylajWtL63teHE6" + "J0LgPr26U+GC7/DuNHDIR7Rm3QY5r/7oEX4nTJ5Ks+fOjzYiMOdv2aJ5Ujh8+OgxqlWnvltDFedt" + "tRAfJ0+ewvqQ58kns1mCdKdC4C2ffU49+/RTZsuhqtSX82MnTKJ5Cxa5RZ30taz5gHElBNavDY5M" + "3a5DZys/PFO8WBHq0aWTXPfHn39RvUZN5Tx/mc/XMU/qI6hArlF5IPwBARAAAT8IBPLcot+HA4ls" + "GVMHhh/ZQVIQAAEQiHUCTv2mPspVHyFGs/toPtaNxwlAAARAIJ4IOPWdbK4TIXCNqpWpZXPXe/dG" + "EaSERcCYQAAEQCDUCDj1nYEKgZlTk3XdKGHihFIsu7brHDq884CF75XqBei1+q426RsXr9P0St6D" + "mfBODz6ZkSqNayQi9yaQIuDlbabR2QOnreN5mynRryo9nvdpufm8SL+wyUS3pHUXCdFvGiH6FdP3" + "Mz+n7+d+SRVGN6AMz7r6A+wEu2xLxbGNKIEI5nDr+i2aUmYQ5an9JuUW/3g69/cpWtRskpzX/9Rb" + "3IGSPZBcrrITJetpfZmHENgXSvGXxmndY4uHDOxPBfLnk8bPnjefJkyaamXk8ccepXmiz4pHuOS+" + "s3feLW71v3DgHzX9JQKl3RKjJ3ubYmpH0wWCrMHg/j5/ph5dO1Pxou/KXWLSYzjNrz/2IG3kEAik" + "7jnVGXEEXw6cxdNR0S96+coVr8BjEgIH+g7iT91zml+vmcMGEACBkCUQiO8M2UwLwyEEdlh6gVww" + "eiTEg2JI7OUrV9Evv/5GuV95mRrVr28NRdG4eSv6+ZdfpYWpxE129fLFdJ+IKMzT9zt30uo16ylZ" + "8mRUoWwZGXWQ1/MwXuUrVedZTCAAAiBgHIFAfCdnRvefvMxD9nz2+Zfi9ydeFILcl6h+nVqULJmr" + "4en4yRNUrUZdq2FAj+rK6VlMvPmTLZQsaVKqUb0K/S+fqxHizp27VLn6PYGqLgTm/b7evoOWLl9J" + "//zzj/TdjRvUp8SJE/MmGjFqDC1ZtkLO2wlbVUMEJ1izbj3Nnb9Q2JuM8ryaW9heUwpJ5c7ij4o8" + "60QIrL90cQTkYSNHC4HqdXr22WeoSaP6lDljJnUaWrBoMY2fOIVeFRFthw1xdQAFIgS+evWKyNci" + "6/jJkiWlp59+inLnymWJnHmjLtLmZTtevN6JEJjFBCsWL7TuqctWrJRlzffR/+XPS5UrVhDbRIOt" + "mEqVr0Tnz1+IVgjM6fQv0k+cPCmZHTp8mJ4TTFlArhqk1m/cTP0HDg4oIvDGNcspVarUxMLyadNn" + "0qVLl4lFwfzS7SkEdlLW3FgWV0JgFp5v/WTDf414d+njMWPpq21f04MPPijLtnTJEnIbM+b8Nm3e" + "mo6IRgUIgZkIJhAAgfgkEMhziy4EXrFqNZ0+fSbarCxfuZr+uXgxShr13HDg4CGqWbdBlO1YAQIg" + "AAImEXDqN5s1bkC1a9aQo7IUK1Xeen8zKW+wBQRAAARii4BT38n26ELgHd99T3tjGNmJ03Tp2IGe" + "Eh8u8zR63AQ6d+6cnLf78+NPP9Px4yfsNmEdCIAACMQrAae+MxhC4MrjG1N6IZrl6eblG/Tzmu9p" + "+7TP6K12JeiZwi9RovsSyW37v/qVNvZdIuf5T9MN3a020BVtp9GJX49R6SG1rIi7v67fRUd+cB8N" + "1tpZzFw5c4mO/3xErsrwTGYp7BUHlILko3sO0HfTtogowakpd603Lftu37xNk0u52vtzVc1PeRu6" + "oq1yVOA/t/5MnwxcLo/3fPFclL/pu5QkhasP+szvx2lJq6mUNGVSqrf0fSkO5oSnfj1KG3otpKsX" + "rkoRc9HelSl1pjTyGDcu3aDpFYfK+UD+QAgcCL3Y39dp3WPL8uV9jYYNHiCNvC36J9Zv3ERfiJEX" + "n3wyK1UoV5YeEv0FPPGIyj1695Xz3Lfw+af3okxXrVlH9h3IjTZ/omtH41Gbt2xaZ+3Vp7/LFmuF" + "x8znX3wVZWTDmVMnWc9RHNXYri1PHcZJftW++AUBTwKB1D2nOqOpE8fJ/k+2ZdjIUbRsxSpPs6xl" + "vZ+yYJES0dYdJ+8g/tQ9p/m1MoMZEACBsCEQiO8MZQgQAjssvUAuGI5WOX/ODBnVz9vpJ02dRjNn" + "z3PbXKFcGerQLupQ9ioRD2HRul0H2rVnr1qFXxAAARAwikAgvlNlRI/aq9bZ/V7454KIkP4+7T9w" + "wG1zvw96UcG3XV+xu234b4F96ZjxE4U49l4jnacQ2G4/Xrdz927hh9+3NtsJW/XhS6yE0cxwtN7n" + "n3+OmjZqKFMVLVk2yleXz2d/jiaPHyO3d+rag7Z9s52ezJaVZkyZZAlhPU/BYueE4ut+Nc1buIh+" + "+GFXUITA6pjefq+Ir0YHDhkmG3T0NHa8eLsTITDvx1+YtmjWxGpg5XWeE79wqrJu06o5Va3kiuas" + "ojHr6fnlccqk8VYkZX2bmmeBcK16jYSI4VpAQmDVYKWOy7/csMSTpxDYSVmPHT8pzoTAbPOwIQMo" + "32uv8axPE/N/6603EBHYJ1pIBAIgEFsEAnlu0YXAvtjXqFlL+XGoZ1p1P4AQ2JMMlkEABEwk4NRv" + "rl25hNI8kEYMab+ahg7/2MSswSYQAAEQiDUCTn0nG6QLgX0xcNqMmVSvTh239qDo9hszfoJfw2VH" + "dyxsAwEQAIFgEnDqO4MhBE4hIu3WmtdWRAV2CX5lvu6Kv/ea2skuGnCzjd1l5F9Ov7LdDCnqrT23" + "HaV8KJU8REx/rp79P3v3AS41sTZw/AVF6V0pioBYAQELn3pRbNcuKqj03hEUBem99yZiAwQEpGPD" + "fu0NxYoVEQELRUBRkF6+THBCkpM9J5s9Z0nO/vP4eNImO/lNdnaYvJnslCcbTLR2s48KbK10zXwx" + "/30zSFmvrj2xuZSoeKpeNIOIzf+pgOJ/p33/7JMnah95W61adUmrq6RavRp6s/n3sHFvQY0cbE3G" + "PZVXBi6StR/+YK0KOkMgcFC55KQL+t3TuRs5bLBcXuM/ejHN3x07dkjtuuoh0d3mtswMBK5cqaI8" + "NuXBNJ8Za4X77Ytqv7dee9kY7OZ42b9/v1xpjFqc0RTv+WZ0PLanrkCi370gcUaZFQisvsdvGt8d" + "+z3p9ErS698g8X73gpxvenliGwIIRFMg0bozmmfNiMCByy3RC0a9Jvv+zp2k5mXOfzypkQUXLFpi" + "3PxY5pk39Ursbl3vk5Iljjxtqnda89NaUU+uqb9MCCCAQFgFEq079XldWfNyadakkZxevpw1Eq/e" + "pp4kViOtT57yaMyRpNSre5o3ayKnli6tk4lKp+rQkWPGyaofVlvr1YwOBFYjp6xe/aPcWec2a9Rh" + "tX3rH9uMUdpflGlPzFKL1tSudUtp2rihbN22TW67o55jfd07azuOoYJjn132gsyZO1+WLJhjjQys" + "AoFLlDjZGC23m5nebyCw2lk53XdvR+tJarVOdVB8/MmnMmDwMHlo4jjraU53IHBGT1arY9kn9bv2" + "9KJ59lXW/J49u2Xd+l8M359M4+cMK92RY+1kzMTySi8QuFe3LnKLMaKs+oxrbqhlP5w5rzp3ejzQ" + "RcqVLev4R+aGTZuMEX2nmqPs6kQZBQKr/dQ/WNXv91VXXuF4oEcFVr/y6msycfIUK1DbbtKu473y" + "tTGSjnt6/63/mavUCNPjJ022Nqvg3n69e0r5cmXNa3z//gPy3xtudowIbH9tcrxlbQ8E9vsqUHtQ" + "W7yvuVdP2w/o21tqXl7DEZit3mQw5eHHZfv27TJh7CijjHKaBioQuJJRdg8/OMFc1qM2q3aQHrU6" + "3mvUwmUGAQQQ8CmQSLvFXmf6+bhYgcD6hgGBwH4U2QcBBI61QJB684Lzq8nkCWPNrDdo0lx+/uXI" + "KGfH+lz4fAQQQCBZAkHqTp23eAOBn5zzlDECewPHv8v1sbz+et2E99qPdQgggECyBYLWnZkRCKzO" + "teQ5peXafnXTBvEaAcF//rxVnu/2pDlqrt3FHgi8uMNU2bpms7R+rqccn/vImwbt+3rNuwOB1T5X" + "P1BLzrzmPMlx3JE+VZ3u4N4D8uXiD+XjWW/rVdbf//aqLeVrnGONXGxtMPK+dc0meWXAQtmx5W9r" + "tZq5sOFlUqXOJXJiwdyO9Wrhny075f2HX5Kf3l+VZluQFQQCB1FLXpqg3z17Dhs1qJfm7ZiHDh0y" + "3sT5sQwZNsK6v6LT6LcnqnswN9a6Pc12vZ/6m14/2hXGvYnhQwbZd0933h0IrO4N/e/lF8xA4NU/" + "rpHmrdulm15vjPd8dTr+ImAXyIzvXrxxRqNHDJUalx55k26vvgPknffet2fJMW8PvHWPCKwGWXp5" + "2TOB/w0S9LsX7/k6TogFBBDIFgKZUXdGEYIRgQOWWmZdMOqHq3jxYsbrv/PLunXrYwatubOpAmpK" + "GwFs+/fvk02bNvtO5z4OywgggEAyBTKr7rTn+dRTSpuj3/799w5Z9/PP8uef2+2b051XdXCZMmVk" + "z9496b7qUAcCq1cB9e4/0DxmqVIlpYBRd//y62+eQa3pfrCxUX120aJFpXDhQrJhw8Y0nRfqNY2q" + "ft9pBAgnOhUpUliKGZ+ljH7fssVxuNPKnGoE0e5Ns96xUzZZUNdKrlwniBq11ysQOd7TVP94LV26" + "lBHE+ldo/MJe1qr9ctJJxeXEE0+UX43vzt59+yx2ta2McT3G0x6yEjODAAIIZIFAVrRbsiCbHBIB" + "BBAIjUDQelPdkD1o3Ph97/0PQ3MuZAQBBBBIlkDQujNZ+eNzEEAAgTAKBK07MysQWJucmO9EOfem" + "C6Ro2eLy+6qN8vXzn+hNSf1b5oJyRnDvuXJw/yFZ/cZK+f2HjRl+filjZOByNc6WEwvkkc3f/CLf" + "vfJlhmkKlihsBB5XlkKnFpU/1m6R7178TPb+szfDdPHsQCBwPFrJ3zfod88rp+r+SsmSJTzvW3nt" + "H/V1qXa+US+vsOU/M797qRZnlGrnG7Zrl/wgcCwFMrPuPJbnEe9nEwgcr9i/+6fqBROQi2QIIICA" + "KRDVutMrEJgiRQABBBBAAIHsLRDVdkv2LhXODgEEwixAvRnm0iFvCCAQVgHqzrCWDPlCAIEwCwSt" + "OzM7EDjMRlHNG4HA4S65oN+9cJ8VuUMg/AJ898JfRuQQAQTCJ5CqdSeBwAGvxVS9YAJykQwBBBAw" + "BaJadxIIzAWMAAIIIIBA6glEtd2SeiXFGSOAQFgEqDfDUhLkAwEEoiRA3Rml0iKvCCAQFoGgdSeB" + "wGEpwdj5IBA4tk0YtgT97oUh7+QBgSgL8N2LcumRdwQQOFYCqVp3Eggc8IpL1QsmIBfJEEAAAVMg" + "qnUngcBcwAgggAACCKSeQFTbLalXUpwxAgiERYB6MywlQT4QQCBKAtSdUSot8ooAAmERCFp3Eggc" + "lhKMnQ8CgWPbhGFL0O9eGPJOHhCIsgDfvSiXHnlHAIFjJZCqdSeBwAGvuFS9YAJykQwBBBAwBaJa" + "dzZuWE+qVa0iX678WmbPnUdpIoAAAggggEAKCES13ZICRcMpIoBASAWoN0NaMGQLAQRCLUDdGeri" + "IXMIIBBSgaB1J4HAIS1QW7YIBLZhhHA26HcvhKdClhCIlADfvUgVF5lFAIGQCKRq3UkgcMALMFUv" + "mIBcJEMAAQRMAepOLgQEEEAAAQQQiIoA7ZaolBT5RACBsAhQb4alJMgHAghESYC6M0qlRV4RQCAs" + "AkHrTgKBw1KCsfNBIHBsmzBsCfrdC0PeyQMCURbguxfl0iPvCCBwrARSte4kEDjgFZeqF0xALpIh" + "gAACpgB1JxcCAggggAACCERFgHZLVEqKfCKAQFgEqDfDUhLkAwEEoiRA3Rml0iKvCCAQFoGgdSeB" + "wGEpwdj5IBA4tk0YtgT97oUh7+QBgSgL8N2LcumRdwQQOFYCqVp3Eggc8IpL1QsmIBfJEEAAAVOA" + "upMLAQEEEEAAAQSiIkC7JSolRT4RQCAsAtSbYSkJ8oEAAlESoO6MUmmRVwQQCItA0LrzYNl8Ijly" + "hOU0yIdb4PBhOW79P+61LIdIIOh3L0SnQFYQiKQA371IFhuZRgCBYyyQqnUngcABL7xUvWACcpEM" + "AQQQMAWoO7kQEEAAAQQQQCAqArRbolJS5BMBBMIiQL0ZlpIgHwggECUB6s4olRZ5RQCBsAgErTsP" + "nmIEAuciEDgs5ZgmH/uNQODfCARO4xKiFUG/eyE6BbKCQCQF+O5FstjINAIIHGOBVK07CQQOeOGl" + "6gUTkItkCCCAgClA3cmFgAACCCCAAAJREaDdEpWSIp8IIBAWAerNsJQE+UAAgSgJUHdGqbTIKwII" + "hEUgaN15oPiJkiN/rrCcBvlwCRzeuV+O37rXtZbFMAkE/e6F6RzICwJRFOC7F8VSI88IIHCsBVK1" + "7iQQOOCVl6oXTEAukiGAAAKmAHUnFwICCCCAAAIIREWAdktUSop8IoBAWASoN8NSEuQDAQSiJEDd" + "GaXSIq8IIBAWgaB156ETcsrh0nnDchrkwyWQY8MuybnvkGsti2ESCPrdC9M5kBcEoijAdy+KpUae" + "EUDgWAukat1JIHDAKy9VL5iAXCRDAAEETAHqTi4EBBBAAAEEEIiKAO2WqJQU+UQAgbAIUG+GpSTI" + "BwIIREmAujNKpUVeEUAgLAKJ1J2MChyWUnTmg9GAnR5hXUrkuxfWcyJfCERBgO9eFEqJPCKAQNgE" + "UrXuJBA44JWYqhdMQC6SIYAAAqYAdScXAgIIIIAAAghERYB2S1RKinwigEBYBKg3w1IS5AMBBKIk" + "QN0ZpdIirwggEBaBROvOgyXyiOQ5LiynQz52H5TjNu/GIQICiX73InCKZBGBUArw3QtlsZApBBAI" + "uUCq1p0EAge8MFP1ggnIRTIEEEDAFKDu5EJAAAEEEEAAgagI0G6JSkmRTwQQCIsA9WZYSoJ8IIBA" + "lASoO6NUWuQVAQTCIpAZdScjA4ejNBkJOBzl4DcXmfHd8/tZ7IcAAkcF+O4dtWAOAQQQ8CuQqnUn" + "gcB+rxDXfql6wbgYWEQAAQTiEqDujIuLnRFAAAEEEEDgGArQbjmG+Hw0AghEUoB6M5LFRqYRQOAY" + "C1B3HuMC4OMRQCCSAplVdx46IaccKphLcpx4vIjxn+TIEUmPSGX68GGRAyKH9x6QnH/vl5z7DkUq" + "+6me2cz67qW6I+ePQLwCfPfiFWN/BBBAQCRV604CgQNe/al6wQTkIhkCCCBgClB3ciEggAACCCCA" + "QFQEaLdEpaTIJwIIhEWAejMsJUE+EEAgSgLUnVEqLfKKAAJhEaDuDEtJkI9UE+C7l2olzvmGRYDv" + "XlhKgnwggECUBFK17iQQOOBVmqoXTEAukiGAAAKmAHUnFwICCCCAAAIIREWAdktUSop8IoBAWASo" + "N8NSEuQDAQSiJEDdGaXSIq8IIBAWAerOsJQE+Ug1Ab57qVbinG9YBPjuhaUkyAcCCERJIFXrTgKB" + "A16lqXrBBOQiGQIIIGAKUHdyISCAAAIIIIBAVARot0SlpMgnAgiERYB6MywlQT4QQCBKAtSdUSot" + "8ooAAmERoO4MS0mQj1QT4LuXaiXO+YZFgO9eWEqCfCCAQJQEUrXuJBA44FWaqhdMQC6SIYAAAqYA" + "dScXAgIIIIAAAghERYB2S1RKinwigEBYBKg3w1IS5AMBBKIkQN0ZpdIirwggEBYB6s6wlAT5SDUB" + "vnupVuKcb1gE+O6FpSTIBwIIREkgVetOAoEDXqWpesEE5CIZAgggYApQd3IhIIAAAggggEBUBGi3" + "RKWkyCcCCIRFgHozLCVBPhBAIEoC1J1RKi3yigACYRGg7gxLSZCPVBPgu5dqJc75hkWA715YSoJ8" + "IIBAlARSte4kEDjgVZqqF0xALpIhgAACpgB1JxcCAggggAACCERFgHZLVEqKfCKAQFgEqDfDUhLk" + "AwEEoiRA3Rml0iKvCCAQFgHqzrCUBPlINQG+e6lW4pxvWAT47oWlJMgHAghESSBV604CgQNepal6" + "wQTkIhkCCCBgClB3ciEggAACCCCAQFQEaLdEpaTIJwIIhEWAejMsJUE+EEAgSgLUnVEqLfKKAAJh" + "EaDuDEtJkI9UE+C7l2olzvmGRYDvXlhKgnwggECUBFK17iQQOOBVmqoXTEAukiGAAAKmAHUnFwIC" + "CCCAAAIIREWAdktUSop8IoBAWASoN8NSEuQDAQSiJEDdGaXSIq8IIBAWAerOsJQE+Ug1Ab57qVbi" + "nG9YBPjuhaUkyAcCCERJIFXrTgKBA16lqXrBBOQiGQIIIGAKUHdyISCAAAIIIIBAVARot0SlpMgn" + "AgiERYB6MywlQT4QQCBKAtSdUSot8ooAAmERoO4MS0mQj1QT4LuXaiXO+YZFgO9eWEqCfCCAQJQE" + "UrXuJBA44FWaqhdMQC6SIYAAAqYAdScXAgIIIIAAAghERYB2S1RKinwigEBYBKg3w1IS5AMBBKIk" + "QN0ZpdIirwggEBYB6s6wlAT5SDUBvnupVuKcb1gE+O6FpSTIBwIIREkgVetOAoEDXqWpesEE5CIZ" + "AgggYApQd3IhIIAAAggggEBUBGi3RKWkyCcCCIRFgHozLCVBPhBAIEoC1J1RKi3yigACYRGg7gxL" + "SZCPVBPgu5dqJc75hkWA715YSoJ8IIBAlARSte4kEDjgVZqqF0xALpIhgAACpgB1JxcCAggggAAC" + "CERFgHZLVEqKfCKAQFgEqDfDUhLkAwEEoiRA3Rml0iKvCCAQFgHqzrCUBPlINQG+e6lW4pxvWAT4" + "7oWlJMgHAghESSBV604CgQNepfqCCZicZAgggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggg" + "gAACCCCAAAIIIIAAAggggAACmSyw6ttvM/mI4T4cgcABy4dA4IBwJEMAAQQQQAABBBBAAAEEEEAA" + "AQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQSySIBAYJHDyvaw+X9LOUfZ8qcfWZMjh+TQ" + "q415NeUw/u7etUuvTYm/t1xRwDzP15bvS4nz5SQRQACBzBC49pITzMNQd2aGJsdAAAEEEEAAAQQQ" + "QAABBBBAAAEEROhv4SpAAAEEEEAAgSgI0GaJQimRRwQQQAABBBBAIPoCut257O0d0T+ZOM6AEYHj" + "wLLvSiCwXYN5BBBAwJ+A/rElENifF3shgAACCCCAAAIIIIAAAggggAACGQnQ35KRENsRQAABBBBA" + "IAwCtFnCUArkAQEEEEAAAQQQyP4Cut1JIDAjAvu62gkE9sXETggggIBDQP/YEgjsYGEBAQQQQAAB" + "BBBAAAEEEEAAAQQQCCxAf0tgOhIigAACCCCAQBIFaLMkEZuPQgABBBBAAAEEUlhAtzsJBCYQ2NfX" + "gEBgX0zshAACCDgE9I8tgcAOFhYQQAABBBBAAAEEEEAAAQQQQACBwAL0twSmIyECCCCAAAIIJFGA" + "NksSsfkoBBBAAAEEEEAghQV0u5NAYAKBfX0NCAT2xcROCCCAgENA/9gSCOxgYQEBBBBAAAEEEEAA" + "AQQQQAABBBAILEB/S2A6EiKAAAIIIIBAEgVosyQRm49CAAEEEEAAAQRSWEC3OwkEJhDY19eAQGBf" + "TOyEAAIIOAT0jy2BwA4WFhBAAAEEEEAAAQQQQAABBBBAAIHAAvS3BKYjIQIIIIAAAggkUYA2SxKx" + "+SgEEEAAAQQQQCCFBXS7k0BgAoF9fQ0IBPbFxE4IIICAQ0D/2BII7GBhAQEEEEAAAQQQQAABBBBA" + "AAEEEAgsQH9LYDoSIoAAAggggEASBWizJBGbj0IAAQQQQAABBFJYQLc7CQQmENjX14BAYF9M7IQA" + "Agg4BPSPLYHADhYWEEAAAQQQQAABBBBAAAEEEEAAgcAC9LcEpiMhAggggAACCCRRgDZLErH5KAQQ" + "QAABBBBAIIUFdLuTQGACgX19DQgE9sXETggggIBDQP/YEgjsYGEBAQQQQAABBBBAAAEEEEAAAQQQ" + "CCxAf0tgOhIigAACCCCAQBIFaLMkEZuPQgABBBBAAAEEUlhAtzsJBCYQ2NfXgEBgX0zshAACCDgE" + "9I8tgcAOFhYQQAABBBBAAAEEEEAAAQQQQACBwAL0twSmIyECCCCAAAIIJFGANksSsfkoBBBAAAEE" + "EEAghQV0u5NAYAKBfX0NCAT2xcROCCCAgENA/9gSCOxgYQEBBBBAAAEEEEAAAQQQQAABBBAILEB/" + "S2A6EiKAAAIIIIBAEgVosyQRm49CAAEEEEAAAQRSWEC3OwkEJhDY19eAQGBfTOyEAAIIOAT0jy2B" + "wA4WFhBAAAEEEEAAAQQQQAABBBBAAIHAAvS3BKYjIQIIIIAAAggkUYA2SxKx+SgEEEAAAQQQQCCF" + "BXS7k0BgAoF9fQ0IBPbFxE4IIICAQ0D/2BII7GBhAQEEEEAAAQQQQAABBBBAAAEEEAgsQH9LYDoS" + "IoAAAggggEASBWizJBGbj0IAAQQQQAABBFJYQLc7CQQmENjX14BAYF9M7IQAAgg4BPSPLYHADhYW" + "EEAAAQQQQAABBBBAAAEEEEAAgcAC9LcEpiMhAggggAACCCRRgDZLErH5KAQQQAABBBBAIIUFdLuT" + "QGACgX19DQgE9sXETggggIBDQP/YEgjsYGEBAQQQQAABBBBAAAEEEEAAAQQQCCxAf0tgOhIigAAC" + "CCCAQBIFaLMkEZuPQgABBBBAAAEEUlhAtzsJBCYQ2NfXgEBgX0zshAACCDgE9I8tgcAOFhYQQAAB" + "BBBAAAEEEEAAAQQQQACBwAL0twSmIyECCCCAAAIIJFGANksSsfkoBBBAAAEEEEAghQV0u5NAYAKB" + "fX0NCAT2xcROCCCAgENA/9gSCOxgYQEBBBBAAAEEEEAAAQQQQAABBBAILEB/S2A6EiKAAAIIIIBA" + "EgVosyQRm49CAAEEEEAAAQRSWEC3OwkEJhDY19eAQGBfTOyEAAIIOAT0jy2BwA4WFhBAAAEEEEAA" + "AQQQQAABBBBAAIHAAvS3BKYjIQIIIIAAAggkUYA2SxKx+SgEEEAAAQQQQCCFBXS7k0BgAoF9fQ0I" + "BPbFxE4IIICAQ0D/2BII7GBhAQEEEEAAAQQQQAABBBBAAAEEEAgsQH9LYDoSIoAAAggggEASBWiz" + "JBGbj0IAAQQQQAABBFJYQLc7CQQmENjX14BAYF9M7IQAAgg4BPSPLYHADhYWEEAAAQQQQAABBBBA" + "AAEEEEAAgcAC9LcEpiMhAggggAACCCRRgDZLErH5KAQQQAABBBBAIIUFdLuTQGACgX19DQgE9sXE" + "TggggIBDQP/YEgjsYGEBAQQQQAABBBBAAAEEEEAAAQQQCCxAf0tgOhIigAACCCCAQBIFaLMkEZuP" + "QgABBBBAAAEEUlhAtzsJBCYQ2NfXgEBgX0zshAACCDgE9I8tgcAOFhYQQAABBBBAAAEEEEAAAQQQ" + "QACBwAL0twSmIyECCCCAAAIIJFGANksSsfkoBBBAAAEEEEAghQV0u5NAYAKBfX0NCAT2xcROCCCA" + "gENA/9gSCOxgYQEBBBBAAAEEEEAAAQQQQAABBBAILEB/S2A6EiKAAAIIIIBAEgVosyQRm49CAAEE" + "EEAAAQRSWEC3OwkEJhDY19eAQGBfTOyEAAIIOAT0jy2BwA4WFhBAAAEEEEAAAQQQQAABBBBAAIHA" + "AvS3BKYjYRIFch53nPVphw4etObDOGPPq8pf2PMbRkPyhAACCHgJ0GbxUmFdGAXsbYHs1A7IrucV" + "xmuIPCGAAAIIHFsB3e4kEJhAYF9XYlYGApcuXUpuvuFaRz6++uY7Wf7RCsc6FhBAAIGoCegf26wI" + "BD7zjApyZc0aniSLn35O/vxzu+c2tdKr3tU7f7D8Y/nm2+/1Yrb7e8XlNeSsMytY5/XFyq9lxSef" + "WcuJzBQpUljurH2rdYj9+w/IzNlPWcvMIIAAAggggAACCCCAAAIIIIBA4gJZ0d9yevlycs1VNRPK" + "3LxFS2Xnjp3SpmVTx3FefOV/8ttvGxzr0lsoX66s/PfqK6xdtm7dJk8/94K1nOjMzTdeJ6VLlbQO" + "892qH+S995dby8wkLlDK8J06ZYJ1oJ9/+VXu7tzNWs7KmSYN60nu3CdaH7HspVdl48ZN5nLlSufK" + "pRdXt7b9/Otv8v6HH8n8WVOtdX9s/0uatmxvLTODAAIIIBBcICvaLCo3mdVuyXX88Y57GurYs2bP" + "k33796tZXxPtFl9Mod5JtQ07tGlh5fHZZS/J1CeetJajOnN5jUulR9d7rey/9+HHMnLM0faZtYEZ" + "BBBAAAEEsoGAbncSCEwgsK/LOSsDgS8xOp769ujiyMfLr70hDz1ytPPJsZEFBBBAICIC+sc2KwKB" + "O7ZvLTded42nhLrxNHfeIs9tamWnDm3khmuv9tz+5NwFsnDJM57bgq68suZlkjNnTiv5G2+9Y80n" + "e6Z7185Ss8Yl1sdu+2O7NGvdwVpOZObaa66Uzh3bOQ7RuEU72f7X3451LCCAAAIIIIAAAggggAAC" + "CCCAQHCBrOhvufa/V0nnu9sGz5SRcv6ip2XOvIWybOk8x3HmLVwic+cvdqxLbyGr+xfmzpwqhQrm" + "t7LwutFPM+HBR6xlZhIXGDa4n1StXNE6UDIDap6aNU0KFshnffbrbxrlO/lI+cbqFxs5dIBUrniO" + "lWbkuEkEh1sazCCAAALBBbKizaJyk1ntlhWffS7jRgx2nGDXXv1l1arVjnXpLdBuSU8n/NvUiLlz" + "ZzwuBfLntTI7YOgo+fSzL6zlqM6oc5s/e5rkzZ3bPIXDhw9Lg+ZtzQf3onpO5BsBBBBAAIFYArrd" + "SSAwgcCxrhHHegKBHRwsIIAAAr4E9I9tsgOBN/++VVq1vydmHt03BOw7ZnYgsPtJYvVZdzVsIbv3" + "7LF/bNLmY93wyIwMZHWHV2bkkWMggAACCCCAAAIIIIAAAgggEHWBrOhvyayAmkgGAtsCRaN+bYQh" + "/5WMgNpRRmCtnpIddOLu9/MTCHzhBdVkUN8eOsuybv0v0un+7tYyMwgggAACwQSyos2icpJZ7ZZI" + "BgLTbgl2McZI1aRRfal3x23W1s2bt0irDkdH0bU2RHRGvanjtltutHL/zPMvybQZT1rLzCCAAAII" + "IJBdBHS7k0BgAoF9XdMEAvtiYicEEEDAIaB/bJMdCKwy0bZTF9mwYaMjP2qhQoXyMmnM8DTr9YrM" + "DgSudfMN0q5VM3148y+BwA4OFhBAAAEEEEAAAQQQQAABBBBAIA6BrOhvyayAGgKB4yjIbLrrwL49" + "5aILqlpn98HyFTJ89HhrOatnZk17RIoVLWx9jJ9AYLWzO12Xnv3khx9+tI7DDAIIIIBA/AJZ0WZR" + "ucisdguBwPGXaXZLsWDOE5Ivbx7rtB56dJq8/Orr1nLUZ4oUKSyzpx9988XuPXulXpNWcujgwaif" + "GvlHAAEEEEDAIaDbnQQCEwjsuDBiLRAIHEuG9QgggEBsAf1jeywCgRctfU5mzXG+ilLl1P30qzv3" + "2T0QuHjx4lLUdjPkwP4D8tPadW6GQMuMCByIjUQIIIAAAggggAACCCCAAAIIxCWQFf0tpUuXkpZN" + "G6XJR6mSJ0vZ08o41m/c9Lus//kXxzq18Na778l77y+XZUud/THzFi6RufMXp9k/1oq8efPKqaeW" + "dmzOzIDMuTOnSqGC+a3j2wNFrZXMBBI4IVcuWTxvpuTMmdNKP3TUeFn+0QprOatnSpQ4WQoVKmh9" + "zB9/bJetW7eay+m9Katd6+ZS66brrXSffPalDBw60lpmBgEEEEAgfoGsaLOoXGRWu2XL1m0ybsRg" + "x4l17dVfVq1a7ViX3gLtlvR0wr2tapXKMmxgH0cm6zZuJbt27XKsi/rCo5PHy6mnlLJO4/EnnpTn" + "lr1kLTODAAIIIIBAdhDQ7U4CgQkE9nU9RykQWHW2lStfVk4+qbgULlRI9u7dK3/9vUN++fU32bhx" + "U5rzzXnccY51sZ4Ac++nEnntm79Afjn7zDOkpNHhtm79z7J69RrZt3+/4zPsC/bj2o+n/hF31hkV" + "JE+e3PLuB8tl546d9mTMI4BABAT0j+2xCATeuu1Pad7m7jRKs6Y/KsWKFEqzXq9ILxBY1W/ljJtf" + "xYsVk/z588k//+ySv3fskFWrf0xTR+m6rVG9O6Xenbfrw5t/m7TqYNbLasFe79l3UgG755x9hvEk" + "cl75wahH165bb9+cZl5/ntpgP6ZXXWrf172//cDxnK9KRyCwXY95BBBAAAEEEEAAAQQQQAABBLJG" + "ICv7W9w5vv66a+Se9q0dqxcseVZmz53vWGdfiBUIrPojzjDe1HRmhdNl3759svrHNUb/cdqAYnUs" + "v30Xet+yZU41j62WNxh94L/9tkG2//W3Wkwz+QkEdn++Oojub3Fvs69X/eKnG33zGZ2fO1Px9qnr" + "9Cov6jOLFStiBDcXlN179si2bX/IeqNfPtb5Z0ZafQz336uvqild7ulgrT58+LDcUb+ZdX8glp26" + "p1Gp0rlSqmQJWWM8sL72p3VWGn0wZXTR+dUkV67jzTL+ydhHna97ivUZar/0AoErG58/ckh/x+Ga" + "teloejpWsoAAAggg4FsgmW0Wlal42y1nn31mzEBg9dt03nmVzN8mdZ/9u+9/sB4scQOk99vjtW8Y" + "2i0qgLlSxXOkxMknmfer0js/9zlkl3ZLt/vvlSsuv9Q6PXUf7p4uPa3lWOVa2HjgqHLlipInd25Z" + "Zbw94OdffrXS6Bl1b66Ksc8eYwTe34y3l6r2jW4z6n3U31ifodZXKF9OzjzjdNn8+xbz+nMHKKtr" + "tGrV84x7lkXNfdSDeqod6J6aNW4gd9W51Vq9zXhIqlnro+01awMzCCCAAAIIRFhAtzsJBCYQ2Ndl" + "HIVAYBU01rpFE7n4/y6UXK7gXn2Sf27/W+YvWiIvvPSquequO26TZo3q683m336DR8jnX6x0rFMN" + "2jkzHnOsW/7xpzJ05FhrnXoNS6tmjSV/vrzWOj3z24ZNol6l8dXX3+hV5t9bjCfs2xtP2uvp6+9W" + "yeBho2VA3+5S6Zyz9WoZOW6SOZqEtYIZBBCIhID+sT0WgcAKqNP93R03lE4zbgo9PGlMunZegcDq" + "qeBG9e+SiuecFTOtethi/IOPmDex1E5PPPaQ8UBGsZj7qw07jUDi+sYrePSk/mHftmVTuf7aqyXX" + "8cfr1ebfg8Zrer43Ao5HGKOouG/kxFOXVq54rtxy43XWsdUNN+Vkn4Kcr0pPILBdkXkEEEAAAQQQ" + "QAABBBBAAAEEskYgK/tb3DmON6BGpfcKBFYBNG2MvuvjXP3Wqr968PDRVn+KSl/9ogtkQO9uatac" + "Dh06JHc2aJ4mMFT1h/fucb+cZQQWe00rv/lOZs5+StyjCWcUCFz71pulVfPGjkOqgNbOD/Q236rk" + "Pj81cuDVV1wuN13/X8mRI4cjndf52XcI0qeu0qvAk/vvvVuqV78g5r0A1df++LSZad4ElUhae969" + "5gf06SHVL6xmbfrm+1XSo/dAc7mYEaQya+oUa5sybdHuXuM8OkhVI1DGPb37/kcyZuJk86H8Xt3u" + "NwKxTnbsovrKlhn3OabPmusIrHlq1jQpWCCfte/Tz70o02fONpfTCwRW/XJL5s109MnNnrdQFix6" + "2joWMwgggAAC8Qkks82ichZvu8UrELhX/6Fyw7XXOAJE9VmvMgZtGTB0pGNgmDC3W3KdkMsR6KwG" + "7mra+m7p36ub5/0ur/PT567+Zrd2y8KnZkheo02lpznGGyzmG2+yUJO7XFWsQ/8hI2TE4P5p7v2p" + "NsmsuQtk6TPPy41Ge7BJw/qOtog63t87/jHeYvqUvPLaG2rRmtztFvUmBXUfr9ZN16VpN681HvTq" + "N3CYMQjcPiOWoodUOvfsNG3PFcYbDcZNeshxjZ5pDLw2YfRQ6zPVzP3d+zra346NLCCAAAIIIBBB" + "Ad3uJBCYQGBfl2/YA4FVcNvo4YM8g3C9TnDpsy/IE7PmSNUq5xmvvOjt2OXNt98zGohHO+TURneQ" + "mVqng3PV02Z9jH8wXFjtPLU65qQ69h6ZOkNefPk1a59G9e+UBnXvsJb/3vmP7DdeU+8erVN/lrUj" + "MwggEAkB/WN7rAKBn3n+JZk240nLqqnx4ENd4wGI9CZ3ILAKbr337rZp/jHtdQx1Y2qg8TDDZ59/" + "6SsQWB3jljoNzEOVKlVSBvfrleamhvtzduzcJX0HDZU1a9Zam+KpS/9zycVSs8YlVlr3k79Bz1cd" + "kEBgi5UZBBBAAAEEEEAAAQQQQAABBLJMICv7W9yZjjegRqV3B8q6j+leVv3G7e7pKhuM0dLU5Kd/" + "QT1E3b5NC0fQpvu4ennsxCny1jvv6UVJLxD4phuulbvbtrT2VTMqfyPGTpQPPvzYXJ/o+amDJNKn" + "rgJ5J4wZ7njFs5kxj/+pgJ9e/YdYrzhPJK3H4dOsctu+9OrrMsUYIERN7kBgtU7ZuoOn1Xo9qaCb" + "4saD9ica9yBiTc+/+Io8ZgQ868kdUPP6m+/IhMmPmJvTCwRWO7hfnf35yq/NgBt9bP4igAACCMQn" + "kMw2i8pZvO0Wr0DgjM5wu/FwU+Pmba3dwtxu8To/dY+pQP60g3rpE3Kfn1qfHdstXu0SFYS7/KMV" + "JoVXue7avVvy5smjqdL8VSMKly9XNs16+4oBQ0fJp599Ya1yt1usDTFmVPntMd6IcFLxojH2EPMN" + "o/aRjVX5LV1w9F6pSqgepHr62WUxj8EGBBBAAAEEoiag250EAhMI7OvaDXsg8IzHp3g2+LZu+1Py" + "5svjeJpNnfBu4zUUdzVsbp67u4G5y2g81m3Ywtym/zdmxGA513g9ip5U+nrGKJbqFRbdu9wrNS+7" + "VG/K8K+9Ee0OXouVmEDgWDKsRyDcAvrH9lgFAv+x/S9p2rK9hTT90cnGa46KW8t7jZsh7hsJ9kBg" + "9Xqj+bOmWvvrGXWTYtPmLeaxcubMqVebfz/94isZMHi4jBs11Hg1YwXHNq8FHQjsZwRhnX6/Ufc2" + "Mc5r546d5qp46tL0AoETOV+VEa+OkcYt2qUZwVifB38RQAABBBBAAAEEEEAAAQQQQCB+gazsb3Hn" + "Jt6AGpU+3kBZleZNI1B3nBGwq6aM+hf8vPHJPNC//1MPbt/fo6/1ULU7WFUHil59VU3pco/zNckq" + "7ZCR42TFJ59Zh0z0/NSBEulTv6P2rdKiyZEHy3WmVMDvNuNeQMkSJ6UJrN1s9GG16nCvuWsiafVn" + "xfqrXjG+cM50x+ZZc+fLoiXPmuu8Am4cOyewYO9/ct/v0OWrDp9RIPCg/r0dA5543StJIJskRQAB" + "BFJOIJltFoUbb7vFK1DWTyGNm/SwvPn2u+auYW63ZMb5qZPMju2WGpdeLL263eco7nu79rLepOBV" + "ro6dAy5s+/Mvadbq6H1Ld7sl4GHTJHM/CPf0ojmOt0h8/Mnn5ls50iRkBQIIIIAAAhEV0O1OAoEJ" + "BPZ1CYc5EFi9Am3m45Md57Fm7TrpY7waQgeJ9evVXS6ufr5jH/UqePVK+FbNm0jtW29ybFNpvzSe" + "dleTGiVg4dwnHB2Ir73xlkx66DGpVPEcGTV0gCPtz7/8KjPnzJcDBw4Yr035j1xe41LzSUG9k9p+" + "d+cjr3bLKHhNvSZjvzlqwWBrRAh9HP4igED4BfSPbbICgdVNo6tqXuaA6dytt3mjp0SJk2X6I5Mc" + "29SoJDded41jnT0Q2KvTSKVRo5urByFU/Thl0ljHa4DUjZc69ZqKep1g0SJF5Nabb5A6t93s+AyV" + "p7/+2mGu27p1q/GaoHpS787bHft8+NGnxusNX5bChQrJf6+5Ss6vUsmx3T7aSTx1aeMGdWOOCJzI" + "+arMeXWM2G/EOE6ABQQQQAABBBBAAAEEEEAAAQQQCCSQlf0t7gx59RUsMAI7ZxsBnrEmr0BZ9Sa4" + "eQsWy5/bt8s1V10p1S+o6kiuRlir2+jISLwZ9S+MHzNMzqpwuiP9J5+vlGUvvmy8MS+fXGeMFlyl" + "0rmO7a++/pY8OOUxc51XIPCKzz6XHsaAF/bRadVrntWbnz7/YqXjWImeX6J96u5gVTUynAooUX1S" + "qj+qd7f75ZL/u9CR52ZtOhqBwn9IImkdB/RY8Hr74Kjxk+Xd9z4w944VCKzuFyxa+pwUKVJY6tet" + "k2ZQE5X4p7Xr5YWXX5XcRl9cowZ3pdnHPrqeO6AmnkDgTh3aGK+Dv9pxdvRtOThYQAABBOISSGab" + "RWUs3nZLrEDZtet/lmeNN06qtkD9u+rIKaVLOs77g+UrZPjo8ea6MLdbMuP8smu7paURH1HHFR9R" + "v1kbK7bCq1xVga/49At54613pOK5Z0utm653XBd6QV0fyz/+RE4vX05ur3WjXm39VfcQVbtNTe52" + "i1qn2s3zFy6Rvfv2Se1aN3u+BULFUCxYvFRUG7rOrTdLmVNPUUmtyX4PUa10D5TkfluolZAZBBBA" + "AAEEIiqg250EAhMI7OsSDnMg8PnVqkj/3kcCa/XJDBkx1nw1vV6+xWiItm/dXC+af3v0HSTffPu9" + "qNfRT50ywbHN3jnm1dC9v3tfWf3jGhk+pL+jU3XL1j/M0QVUgJyeGta7UxrWu0Mvmn979hssX3/z" + "ncQKXnvvg4/kwYcfl127djnSsYAAAtES0D+2yQoEVnXLSKNesk/6H7v17qotTYwgWD2pEV269Rko" + "44wRz+2TPRBYdQTUuuk6a/OBAwfNEYZ3GyOn62lAnx5S/cJqetH8e+tdjc1AYbVQywgEbteqmWP7" + "Xcao6/ZjPGs8iXuccaNGT6oOHGm8ctI+jR4+SCqec5a1SnUS1G/cyuwsiKcuTW/kk0TP1+v3gpsl" + "VpExgwACCCCAAAIIIIAAAggggECmCGRlf4s7g/EG1Kj07kBZ9Wal5m07mYGo+viPPTQhTVCNfmtS" + "ev0LZ55RQSaMHqoPY/798utvpU//IdY6FQw7Z8ZjUjB/Pmvdn9v/Nt6u1M5cdgcCq5Uqj/Yg4P3G" + "IBf9Bg03+7Ctg/w7k+j5JdqnPnPqw1K8WBErWyrvw0ZPsF5lXbhQQXmgS2dr+wGjD2n0+AfNvvZE" + "0loHjDHjNaJy1179ZdWq1WYKr0BgNSpem/b3WMEwXsf46++d0sQIdNb3HC65uLr07dHFkYsZs+fJ" + "kqefM9e5A2rs9zrS6xdTieveWVuaNjzaf6jWqUFNVLAyEwIIIIBA/ALJbLOo3MXbbvEKlN2ydZu0" + "MNotelIj3i+YPc3RTli1eo10Nd42oKYwt1sy4/yya7ul2/33GgOaOd94rNuiscpVPXg2cMgIs9zV" + "/7yOod8aqnfq2L51mgGJ7unSU9auW2/u4m63qHadGplYb1cPSs2e/og+nPXXfgyva/SLr76VvgOO" + "to9HDO0v51U8+qCcevNobeNeJhMCCCCAAALZRUC3OwkEJhDY1zUd5kDgWCegXvF+SulSxiiS58lt" + "xtNiBfLndeyqA4HVyikTR0vZ08pY2+0jMAwZ2NcxEqUK9m3RtqO57/zZ041RFo4e93NjFOFXX3vD" + "Oo6aKVnyZGnWqL5jnR41wit4baXRcdvb1nHrSMgCAghESkD/2CYrEFg9RfvQxDFSulQJy0ndLGjU" + "vI08PGmMqFdH6umTz740RvZ9Is0owfZAYL2v+6/6h3e5sqeJenXQdddcKTlz5nTsEk8gsNeo7s8u" + "e0m+//cmiT6wGs3m/CqV9aL5t8/A4cbo7V95PlQRqy7N6IaH4wP+XfB7vul1eHkdl3UIIIAAAggg" + "gAACCCCAAAIIIBC/QFb2t7hzE29AjUrvDpRdaQwI0dt4eNs+NWvcQO6qc6t9ldQ1HnhWA0Ok17/g" + "lR89aIX9YJdcfJFceP7RB7dVIOfzL7xs7uIVCGxPq+bHT35E3njzHfdqcznR80u0T31g355ykWtE" + "ZZUxNXrcSqN//qtvvpXPv/zK8w17iaT1xLCtrH3bLdKqWSPbGhE9ErFa6RUIrB/g14m8gl1eNu43" + "PPTIVL2L+YauRU/NsJbVzPxFT8uceQvNde6AmngCga803jT2wH1H7n3oD7DfR9Hr+IsAAggg4E8g" + "mW0WlSOvdoK+J+2VY69AWa97RJPGDpcKp5e3DvH7lm3Sst2RYOEwt1sy4/yya7tlyMA+jntu+l6i" + "LmSvcrW/gUDt5/UA0/AxE+SDDz/Wh5HqF10gA1wDunXrPVC++36VuY+73fLLr79Jh3sfsNKrmdkz" + "HpcihQpY6zZv3mIOzGatMGbcD9nZg9XVfl07d5SrrnC+UdV+L9N+LOYRQAABBBCIooBudxIITCCw" + "r+s3CoHA5cqWkZtvvN58FUWZU0qnCUxzn6i9A5mlGHsAABYNSURBVOvmG6+TDm1aOHZRI2v+8MOP" + "snjeTMex5sxfbL6OQj1dtnDOdEcavwv6VWxegcB9Bg4zAtu+9nso9kMAgRAL6B/bZAYC3268Aqdp" + "o3oOlYcenSadjKdu7dOIMRPlx5/W+goEVv9Qv+qKy+Xcs8+Sk4oXtR/Gc97+j+eMRgT2GsXE86Ae" + "K9V5vfzq656BwLHqUj+BwEHP16tjhBGBPQqOVQgggAACCCCAAAIIIIAAAggkIJCV/S3ubMUbUKPS" + "uwNl3YGcah+vN9jp1zGn17/Qznjrnf01zGrk3tp1m6hD+p78BAK7R3OzHzyR8zt08FDCfepeASX2" + "/Ol5FdDy7LIXZbExUq4eTTeRtPq4sf42b9JQ7qxdy7HZ3kfmFQg8acpj8trrb1lp8uTOLe4gX/to" + "v3pHdxlkViCw1+vPh44ab422rD+fvwgggAAC/gSS2WZROYq33eIVKOsO5FTH7d+7u/zfReerWXPa" + "uu1Pad7mbnM+zO2WRM8vM2IBEml7JJL236KK+WfSuBFSoXw5a7sagVeNsqsnr3K1P+Ck9vu/6hdK" + "/17OoF01mu9Pa9fpw8i555wtY4YPtJbVTHqBwO++/5GMGud8Y+jjUyY6BkByvw1DHXPcqKFy9pkV" + "1Kw5uQOBmzSsJ/XuvF1vNv/qh/AcK1lAAAEEEEAgogK63UkgMIHAvi7hsAcC39uxnTkqpa+T+Xcn" + "eyDwCblypQn4fe2Nt+TTz7+Unl2PvkZMJdVBXWpkTTXCZpBJjcQ5cOhIz+A13eEb5LikQQCBcAno" + "H9tkBgLnM1776PWaHLvMPuOViHc2bGEE9RZLNxBYvUpSPeld3hj9N57JfpMjo0Dg22rdJG1axHfD" + "SudlweJnZPZTC+KqS9MLBE70fL06RvRvhs4zfxFAAAEEEEAAAQQQQAABBBBAIDGBrOxvcecs3oAa" + "lT69IE19/Gv/e5V0vrutXjT/6n7h9PoXBhij4Va3jYb7x/a/pGnL9o7jZLTgJxBYHWPsxCny1jvv" + "pTlcIudXtHDhhPvUVYYur3GptG3VXIoULpgmf+4VP61dL/d172MFAyeS1n1s+3LrFk3l9lo32leJ" + "vY/MKxB4zISH5O1337fSeAUCPzptpix78RVrHzWTXhm4R9aLZ0Tgs846Q8aPPPoabfVZg4aPkRWf" + "fKZmmRBAAAEE4hRIZptFZS3edotXoKw9SFOfbs8H7pPL/nOxXhS/gcDHut2S6PllRiyAQkuk7ZFI" + "WqvAPGbcb0tet/4X6XR/d2vP9NqjeievQOW2nbo43srg1bawX2PptVv05zw6ebycekopvSgrPv1C" + "Bg0bZS2rmdHDB0nFc86y1rkDgRvWu1Ma1rvD2q5m7jLuk+7es8exjgUEEEAAAQSiKqDbnQQCEwjs" + "6xoOcyCwV0NUndSWrX/Iqh9Wi3rtWe48eaTOrTc5ztUeCKw29OvVXS6ufvRpxn927ZbvjFfTX3R+" + "FSvdt9//IN17DzCXvTrl1AgMX678xto/1sy69T/LzNlPeQav2TsHY6VnPQIIRENA/9gmMxBYBfk+" + "OG6knF6+bEyk94zX8ow0Xs9TosTJ6QYCu4Nm9QFVh8AaYzRhVZdVrVrFUU+qfez1WEaBwOpVlX17" + "dNWHNv9u2LhZNmzc5FjntfD6W+/Iu+99EFdd6j6nbX9sl2atO5iHd2/Tn+n3fL1+jwgE1or8RQAB" + "BBBAAAEEEEAAAQQQQCBzBLKyv8Wdw3gDalR6d5DmvIVLZK7xljn7FDQQuHOndnLt1Vdah1L9QHXq" + "NbWW9Yx62Dlv3jx6Ufbt2StqXzX5DQTetXu3MdpfJ9m1a5d1HDWTyPkd3H8gzYi38fap2zNTtUpl" + "Y4TCC6Ra1fPktFNPkRw5ctg3W/NTZ8yWZ59/0VpWM4mkdRzo34V6d9WWJg3qOjY1b3uPbN261VwX" + "hUDgy2pckmZglK69+ssq4z4JEwIIIIBA/ALJbLOo3MXbbvEKlPWq94MGAh/rdkui55cZsQD2qyaR" + "tkciae150PMjhw6QyhXP0YuyY+cuadC0lbXs535XlAKB7zEewLveeBDPPt1Sp4F9kXkEEEAAAQQi" + "LaDbnQQCEwjs60IOcyBwnx4PyKUXX2idx6FDh2TwiLHyyaefW+suOL+qDO539HUWaoM7EPjCC6rJ" + "oL49rDReM+MmPSxvvv2utWnBnCckn61D9ZnnX5JpM560tusZ1fF6fM6c5uIBI3/6VWSN6t8pDeo6" + "nz6j0anV+ItA9AX0j22yA4G9Xi9p1xwwdJR8+tkXGQYCu+s4FTTbtWc/6waGOmablk3ltltij3aS" + "USCwVzByv8Ej5PMvVtqzbM5nRl3qDva1BwIner5+OkbSnBQrEEAAAQQQQAABBBBAAAEEEEAgLoGs" + "7G9xZyTegBqVPpFA2Z07dkp6/QtefT7uVzCrPLhHp91ljHZW1xj1TE3uQGAV8NujzyDp1KGN45XK" + "al/9Zjs1r6dEz8/d/xJvn3q5smUkX758OjvmYB6qv1299U8FpNSvWyfN262Wf/ypDB05VhJJa31g" + "jJkbrrtGOrVv7dhqH/EuCoHAdW6vJS2bNnScQ7t7uspvv21wrGMBAQQQQMCfQDLbLCpH8bZbEg2U" + "VZ8Z5nZLZpxfdm239O7eRf5zSXVVhNZkj1FIr1x1gigFAg8Z2FfOr1JJZ918QM7rYTprB2YQQAAB" + "BBCImIBudxIITCCwr0s32YHAn37xlcw3RkrIaFq3br1MmTRWTj6pmLWrGqWy8wO9rWU14/WUlzsQ" + "WO0378npUiB/XjWbZlIjJtxpdJbqIF61w8hhA6XyuWdb+6oO1WYtOzheI5G/QH5Rr6woXLCAtZ8O" + "wiMQ2CJhBoFsKaB/bJMdCJw3b15ZMHua5ygo9hs/XkG4T85dIAuXPGOMGpNXFs6Z7iiXRUufk1lz" + "5jnWTX14kpQqebJjXUYjArufKH9u8VzJ+e/DEupA7lf2qHXnVa4kwwf1sc5J1cmNW7Q3R6WJpy6N" + "FQicGefrp2NEnQsTAggggAACCCCAAAIIIIAAAggEF8jK/hZ3ruINqFHpEw2UTa9/wSug5fOVX0u/" + "gcOsrKsHqRfMni55cp9orVv/8y/S8b7u5rI7EFi9cWnCg49IqVIl5fGHxlt9LzrxqPGTzTcy6eVE" + "zy+RPvXvjDf2ufurBg4b7RgUROXTnccVn30pY8Y/GDjtoKEj9enH/HvJxdWNt151cWwfO3GKvPXO" + "e+a6KAQCd2jbUm6+4VrHOdRv1kZUgDoTAggggED8Aslss6jcxdtu8WpXuO/fqOMGHRHY6/jJbLd4" + "fX6855dd2y0djYeXbjQeYrJP9jdcptce1WmiFAj8+JSJUrpUCZ11483S26RF207WMjMIIIAAAghE" + "XUC3OwkEJhDY17Wc7EBgX5kydho6arwxom4dqVC+nJVkz9695mi/a9asNUcBuO7aq6V96+bWdj3T" + "Z+Bw+XLlV3rR/NvO2K/WTdc71umFt9/9UMZMeFAvmn+rVjlPhg10Bh3/sf0veWr+Itn8+xapXKmi" + "XHNlTSlerIiVbq8RvNagcSvzSbN4gtesAzCDAAKREdA/tskOBFZAI4b2l/MqnpvGSt/cURvSCwRW" + "N42eWzTHkf7X3zZKlx59zeBb9ZBDu1bN5Kqalzn2UQv2QGCvkWq2/fmXrPzqK/lp7Xp5+tll0rJ5" + "E6lz602O4/yw5idZvPRZOXTosFxkjNh+xeU1HDew7A99xFOXxgoEzozz9dMx4jhJFhBAAAEEEEAA" + "AQQQQAABBBBAIG6BrOxvcWcm3oAald4dhDrPGPBi7vzFjkNfa7yauLPximL7pAMuM+pfmDJxtJQ9" + "rYw9qXy+8hv54suVxiAX+eWqKy6XYkULO7bb85AmEPhNIxB48iPm/u6RhNXKf3btluat77YGv0j0" + "/BLtU3/yiUelaOFC1vmp/vhBw0aJuh+gJq++qCeefEqWPvO8JJLW+sAYM8WLF5eZj092bNUP3KuV" + "UQgEHti3p9EPV9U6h53/7JL6TY6+JtzawAwCCCCAgC+BZLZZVIbibbdkRqBsmNstmXF+2bXdcr0R" + "P3GP8TYI+9S5W2+rPZVRuap0UQoEXrrgSTNuRJ/vB8tXyPDR4/UifxFAAAEEEIi8gG53EghMILCv" + "iznMgcAXnl81zRNr6qTUqJd5c+eOeX4jx02S995f7theunQpc9QDx8p/F7xGEFab+vfuLv930fle" + "STzXLX32BXli1pHguniC1zwPxkoEEAi1gP6xPRaBwFdfVVO63NMhjU/PfoPl62++M9enFwisdvAa" + "7ffw4cOyZ+8+R1Cu+0P0jSu1Xo3kO2JwX/cu1rJ61ZAKwp3x+BQpVuToTRxrhxgzfYyRbr40RrxR" + "Uzx1aaxAYHWcRM/XT8eI+hwmBBBAAAEEEEAAAQQQQAABBBAILpCV/S3uXMUbUKPSJxoom1H/wimn" + "lJZHHxybZuRed9718tZtf0rbuzubA1OodekFAqs+mplTpzgCbVWaFZ9+YQbbqvlEz08dI5E+da9R" + "a9Ux1QAh6o1TJ+TKpRYd092du8nPv/wqiaR1HDDGwsKnZjjuSbz06usy5dFp5t5RCAR+eNIYOa3M" + "qdbZqQDzfgOHWsvMIIAAAgjEJ5DMNovKWbztlswIlA1zuyUzzk+5Zsd2i3oTxNQpE9TpWZMagG35" + "RyvM5YzKVe0UlUBg1TZUgcD2ST8kZl/HPAIIIIAAAlEW0O1OAoEJBPZ1HYc5EHjVD6vlMaOhml7Q" + "r9dJzl/0tMyZtzDNpkeMTtQyp57iWK9GFWjasr1jnV7IYwQb9+vdTapUrqhXxfz73gcfycixE63t" + "8QSvWYmYQQCByAjoH9tjEQis/mG7eN5M8waIBtuxc5c0aHp0FI+MAoG9Xmmoj5Xe3269B8p3368y" + "d1H5mDH1YSlUML9nEhUIrCZ1k0GNOnLyScU897OvfGTqDHnhpVetVfHUpekFAid6vn46RqxMM4MA" + "AggggAACCCCAAAIIIIAAAoEEsrK/xZ2heANqVPpEA2X99C9cabyhSb3SOU/uE91Zdizv3rNX7u3a" + "UzZu3GStTy8QWO3kFdSh1uuBNRI9P3WsRPrUVfrhg/v56o9X+z42fZY8/8LLatacEkmrjxHr76D+" + "veXCaudZm78z7l1069nfXA57ILAKAl9kBDKfaAukjnUPxTpBZhBAAAEE0hVIZptFZSTedktmBMqG" + "ud2yZes2GTdisKOMuvbqL6tWrXas6/nAfXLZfy621qmHqJq3udtazq7tliVGcKz9d3/eoqUyd94i" + "87z9lKtXm7Ftpy6yYcNGy+6ss86Q8SOHWMtqxn4P8alZ06RggXzW9tdtb6rQKx+dPF5OPaWUXnQ8" + "oKZXjh4+SCqec5ZelFWr10hX4w2naqpQobxMGjPc2qZm7HlwbGABAQQQQACBiArodieBwAQC+7qE" + "szIQ2KsB6CtTxk5devaTH374UU4vX046d2wrFU4vnyaper38uIlTZPyooZIvbx5re6xXPrRv00Ju" + "ufE6az81s3DJs/Lk3PmOde4F9Tq3RvXukuLFirg3ycZNv8viZ56TV4wRAOxTPMFr9nTMI4BANAT0" + "j+2xCARWQgP69JDqF1azsF585X/y8GPTrWWvQOCJDz0m/3vjLWsf9TrJ5k0beY7W+/a7H8pLr74m" + "I4ccuaGhE002Rjqx13fq1YidjFcMXVC1siMwWe2vA4HVvLrh0KZFE7my5uXGqyzzqlWO6etvvzcf" + "4NAjGuuN8dSl6QUCq+Mlcr5+OkZ0nvmLAAIIIIAAAggggAACCCCAAALBBLKyv8Wdo3gDalT6p540" + "AhryHw1omLdwicydv9hx6AsvqCaD+vaw1u0/cEDuaNBcDh08KH77F/IXyC9d7+0oVatUSjMK7qFD" + "h0T1A82aPU92G2/Os0/uQOBFS5+TWXPm2XcRd0Cr2qhG1FUj6yZ6fvYPCtKnrtKrPqRaN11v9ONf" + "L6VKnmw/pDWvgnCXPP28NbKd3pBIWn2MWH+vuLyGdLu/k7VZvVnrjvrNzNGYVRDR/NnT5Dgj73oa" + "M+Ehefvd9/WieV4L50yX3CceDfB+dNpMWfbiK9Y+asYdjG0P2J1ijOpb1jaqrz2gJr1+Ma8H5O/p" + "0lPWrlvv+GwWEEAAAQT8CySzzaJyFW+7xesekVegbLvWzc3fXX3m6t57l259zMUwt1sGDR8j0x+Z" + "pLNt/o33/OyJs1u75X7jraLXGG8X1dO69b9Ip/u7m4t+ytUrwDbeQOD02i06X8ONe5BVKp2rF+MO" + "BFYPz9143TVWevVW6boNW1jLzCCAAAIIIJAdBHS7k0BgAoF9Xc9ZGQjsKwM+dypSpLCULFFC8hsd" + "rZs3/y6bNm22Xnnm5xCqM27cqCGO11+pdM3adJRt2/7wcwizs051tBUvXky2GyMJb9myRbb/9bev" + "tOyEAALZS0D/2GZFIHCypVSHkKpfc+bMIRuMUWTUk9Tq5lS8kxoh+ARjtJpDBw+Zr2yMdQxVH59W" + "towREJxftm7datTpW9LcuIr3s+PZP7PON57PZF8EEEAAAQQQQAABBBBAAAEEEMhYIDv1t2R8tv72" + "UP3i5cqeJgcOHDT6bTb67sv2d/Ss30sF5wbtUy9cqKAULVpUlIEKgFb9+Fu2bPXVj5RI2lgqs2c8" + "LkUKFbA221+zba0M4cyQgX3k/CqVrZxt2fqHtGjb0VpmBgEEEEAgfgHaLN5mtFvC0W7xCgSv27iV" + "7Nq1y7vgIrp2ofHGA/ubpe0PSUX0lMg2AggggAACaQR0u5NAYAKB01wcXiuiEgjslfeM1ql/bKjR" + "gnft3i0lS5ZwvAJDpV3z01rp/EDvjA7DdgQQQCCNgP6xzQ6BwGlOjhUIIIAAAggggAACCCCAAAII" + "IIDAMRCgv+UYoPORvgXuqH2rtGjSwNo/1psJrR1CMJM3b15ZYIxWnCNHDis39teDWyuZQQABBBCI" + "S4A2S1xc7HwMBIYO6ifVzqtoffJDxhs/X3a94djaGMGZSy6+SPr26OrIudeo0I4dWEAAAQQQQCCC" + "ArrdSSAwgcC+Lt/sHAhc1XjKfZjxtHusacDQUfLpZ1/E2sx6BBBAIKaA/rElEDgmERsQQAABBBBA" + "AAEEEEAAAQQQQACBuATob4mLi52TLKDehjVvznRrwJHDhw9Lg+ZtZeeOnUnOif+Pu/3Wm6V188ZW" + "gv3GW8CatGwf6jxbmWUGAQQQCLEAbZYQFw5ZMwUqVTxHRg0dYGls3PS7tLm7s7Uc9ZlB/XvLhdXO" + "s07j1982Svt7uljLzCCAAAIIIJBdBHS7k0BgAoF9XdOpGgj84UefyrBRY30ZsRMCCCDgFtA/tgQC" + "u2VYRgABBBBAAAEEEEAAAQQQQAABBIIJ0N8SzI1UyRNo17q51LrpeusDlz73ojwxc7a1HLaZxx6a" + "IKeULmlla9HS52TWnHnWMjMIIIAAAsEEaLMEcyNVcgUeeXCslDn1FOtD+wwcLl+u/MpajupMnty5" + "ZeHcJxxvPOjZb7B8/c13UT0l8o0AAggggEBMAd3uJBDYOxD4/wEAAP//fpqRMgAAQABJREFU7N0H" + "mNREH8fxP7333uFo0nxBEURALKioSEcUEBUVQbqASBNRpIgUAaVasNAUpAlWEAsoiqKICNJ770X6" + "m8k5IdnbvcvlbsPBfs/nYVNmUj63MXOzv50kK1Is6pKon2TJJJk5ET0dvSiZnD51Si+NiNe6tTKZ" + "5/nlj2evufMtV/Y6GfxSP0mePLl5bucuXJC9e/bJws+/lHkLFl1z58sJIYCAfwJ33Zza3Nm1+P9O" + "/xTZEwIIIIAAAggggAACCCCAAAIIIHBZgP6WyxZMJU2BrFkyy/tvjzc+Xor+dGnj5i3SuVuvJHmw" + "qVOlklnTp1jHeuzESWn5+NNy0fichB8EEEAAgYQJ0GZJmB+1/RG47dYa0r1Le2tnH38yX959f6o1" + "f7VO3FDpf/JSv+etw1+1+i/p2/9la54JBBBAAAEEriUB3e5csPT4tXRacZ5LuvTp5dKl6IivMWGW" + "N//Vy/7bQjKCwE7LazkIbD/T5ClS0MFlB2EaAQQSJKBvtgSBE8RIZQQQQAABBBBAAAEEEEAAAQQQ" + "QMASoL/FomAiCQuozxr0T1IP1dqPVR1zUj9e7corAgggkNQFaLMk9d8Qx6cF7G2Ba6kdcK2el/69" + "8YoAAggggIAW0O1OgsAiBIH1uyKW10gJAsdCwCoEEEAg3gL6ZksQON50VEAAAQQQQAABBBBAAAEE" + "EEAAAQSCCtDfEpSFhQgggAACCCCQxARosySxXwiHgwACCCCAAAIIXKMCut1JEJggsKu3OEFgV0wU" + "QgABBBwC+mZLENjBwgwCCCCAAAIIIIAAAggggAACCCDgWYD+Fs90VEQAAQQQQAABHwVos/iIza4Q" + "QAABBBBAAIEIFtDtToLABIFdXQYEgV0xUQgBBBBwCOibLUFgBwszCCCAAAIIIIAAAggggAACCCCA" + "gGcB+ls801ERAQQQQAABBHwUoM3iIza7QgABBBBAAAEEIlhAtzsJAhMEdnUZEAR2xUQhBBBAwCGg" + "b7YEgR0szCCAAAIIIIAAAggggAACCCCAAAKeBehv8UxHRQQQQAABBBDwUYA2i4/Y7AoBBBBAAAEE" + "EIhgAd3uJAhMENjVZUAQ2BUThRBAAAGHgL7ZEgR2sDCDAAIIIIAAAggggAACCCCAAAIIeBagv8Uz" + "HRURQAABBBBAwEcB2iw+YrMrBBBAAAEEEEAgggV0u5MgMEFgV5cBQWBXTBRCAAEEHAL6ZksQ2MHC" + "DAIIIIAAAggggAACCCCAAAIIIOBZgP4Wz3RURAABBBBAAAEfBWiz+IjNrhBAAAEEEEAAgQgW0O1O" + "gsAEgV1dBgSBXTFRCAEEEHAI6JstQWAHCzMIIIAAAggggAACCCCAAAIIIICAZwH6WzzTUREBBBBA" + "AAEEfBSgzeIjNrtCAAEEEEAAAQQiWEC3OwkCEwR2dRkQBHbFRCEEEEDAIaBvtgSBHSzMIIAAAggg" + "gAACCCCAAAIIIIAAAp4F6G/xTEdFBBBAAAEEEPBRgDaLj9jsCgEEEEAAAQQQiGAB3e4kCEwQ2NVl" + "QBDYFROFEEAAAYeAvtkSBHawMIMAAggggAACCCCAAAIIIIAAAgh4FqC/xTMdFRFAAAEEEEDARwHa" + "LD5isysEEEAAAQQQQCCCBXS7kyAwQWBXlwFBYFdMFEIAAQQcAvpmSxDYwcIMAggggAACCCCAAAII" + "IIAAAggg4FmA/hbPdFREAAEEEEAAAR8FaLP4iM2uEEAAAQQQQACBCBbQ7U6CwASBXV0GBIFdMVEI" + "AQQQcAjomy1BYAcLMwgggAACCCCAAAIIIIAAAggggIBnAfpbPNNREQEEEEAAAQR8FKDN4iM2u0IA" + "AQQQQAABBCJYQLc7CQITBHZ1GRAEdsVEIQQQQMAhoG+2BIEdLMwggAACCCCAAAIIIIAAAggggAAC" + "ngXob/FMR0UEEEAAAQQQ8FGANouP2OwKAQQQQAABBBCIYAHd7iQITBDY1WVAENgVE4UQQAABh4C+" + "2RIEdrAwgwACCCCAAAIIIIAAAggggAACCHgWoL/FMx0VEUAAAQQQQMBHAdosPmKzKwQQQAABBBBA" + "IIIFdLuTIDBBYFeXAUFgV0wUQgABBBwC+mZLENjBwgwCCCCAAAIIIIAAAggggAACCCDgWYD+Fs90" + "VEQAAQQQQAABHwVos/iIza4QQAABBBBAAIEIFtDtToLABIFdXQYEgV0xUQgBBBBwCOibLUFgBwsz" + "CCCAAAIIIIAAAggggAACCCCAgGcB+ls801ERAQQQQAABBHwUoM3iIza7QgABBBBAAAEEIlhAtzsJ" + "AhMEdnUZEAR2xUQhBBBAwCGgb7YEgR0szCCAAAIIIIAAAggggAACCCCAAAKeBehv8UxHRQQQQAAB" + "BBDwUYA2i4/Y7AoBBBBAAAEEEIhgAd3uJAhMENjVZUAQ2BUThRBAAAGHgL7ZEgR2sDCDAAIIIIAA" + "AggggAACCCCAAAIIeBagv8UzHRURQAABBBBAwEcB2iw+YrMrBBBAAAEEEEAgggV0u5MgMEFgV5eB" + "DgK7KkwhBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAIGw" + "CxAEJgjs6k1GENgVE4UQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAAAQQQQAABBBBAAAEEEEAA" + "AQQQQAAB3wQIAhMEdvVm00HgC1v2uCpPIQQQQAABkRRF85oM/L+TdwMCCCCAAAIIIIAAAggggAAC" + "CCCQOAL0tySOI1tBAAEEEEAAgfAK0GYJry9bRwABBBBAAAEEEIgW0O1OgsAEgV1dEwSBXTFRCAEE" + "EHAI6JstQWAHCzMIIIAAAggggAACCCCAAAIIIICAZwH6WzzTUREBBBBAAAEEfBSgzeIjNrtCAAEE" + "EEAAAQQiWEC3OwkCEwR2dRkQBHbFRCEEEEDAIaBvtgSBHSzMIIAAAggggAACCCCAAAIIIIAAAp4F" + "6G/xTEdFBBBAAAEEEPBRgDaLj9jsCgEEEEAAAQQQiGAB3e4kCEwQ2NVlQBDYFROFEEAAAYeAvtkS" + "BHawMIMAAggggAACCCCAAAIIIIAAAgh4FqC/xTMdFRFAAAEEEEDARwHaLD5isysEEEAAAQQQQCCC" + "BXS7kyAwQWBXlwFBYFdMFEIAAQQcAvpmSxDYwcIMAggggAACCCCAAAIIIIAAAggg4FmA/hbPdFRE" + "AAEEEEAAAR8FaLP4iM2uEEAAAQQQQACBCBbQ7U6CwASBXV0GBIFdMVEIAQQQcAjomy1BYAcLMwgg" + "gAACCCCAAAIIIIAAAggggIBnAfpbPNNREQEEEEAAAQR8FKDN4iM2u0IAAQQQQAABBCJYQLc7CQIT" + "BHZ1GRAEdsVEIQQQQMAhoG+2BIEdLMwggAACCCCAAAIIIIAAAggggAACngXob/FMR0UEEEAAAQQQ" + "8FGANouP2OwKAQQQQAABBBCIYAHd7iQITBDY1WVAENgVE4UQQAABh4C+2RIEdrAwgwACCCCAAAII" + "IIAAAggggAACCHgWoL/FMx0VEUAAAQQQQMBHAdosPmKzKwQQQAABBBBAIIIFdLuTIDBBYFeXAUFg" + "V0wUQgABBBwC+mZLENjBwgwCCCCAAAIIIIAAAggggAACCCDgWYD+Fs90VEQAAQQQQAABHwVos/iI" + "za4QQAABBBBAAIEIFtDtToLABIFdXQYEgV0xUQgBBBBwCOibLUFgBwszCCCAAAIIIIAAAggggAAC" + "CCCAgGcB+ls801ERAQQQQAABBHwUoM3iIza7QgABBBBAAAEEIlhAtzsJAhMEdnUZEAR2xUQhBBBA" + "wCGgb7YEgR0szCCAAAIIIIAAAggggAACCCCAAAKeBehv8UxHRQQQQAABBBDwUYA2i4/Y7AoBBBBA" + "AAEEEIhgAd3uJAhMENjVZUAQ2BUThRBAAAGHgL7ZEgR2sDCDAAIIIIAAAggggAACCCCAAAIIeBag" + "v8UzHRURQAABBBBAwEcB2iw+YrMrBBBAAAEEEEAgggV0u5MgMEFgV5cBQWBXTBRCAAEEHAL6ZksQ" + "2MHCDAIIIIAAAggggAACCCCAAAIIIOBZgP4Wz3RURAABBBBAAAEfBWiz+IjNrhBAAAEEEEAAgQgW" + "0O1OgsAEgV1dBgSBXTFRCAEEEHAI6JstQWAHCzMIIIAAAggggAACCCCAAAIIIICAZwH6WzzTUREB" + "BBBAAAEEfBSgzeIjNrtCAAEEEEAAAQQiWEC3OwkCEwR2dRkQBHbFRCEEEEDAIaBvtgSBHSzMIIAA" + "AggggAACCCCAAAIIIIAAAp4F6G/xTEdFBBBAAAEEEPBRgDaLj9jsCgEEEEAAAQQQiGAB3e4kCEwQ" + "2NVlQBDYFROFEEAAAYeAvtkSBHawMIMAAggggAACCCCAAAIIIIAAAgh4FqC/xTMdFRFAAAEEEEDA" + "RwHaLD5isysEEEAAAQQQQCCCBXS7kyAwQWBXlwFBYFdMFEIAAQQcAvpmSxDYwcIMAggggAACCCCA" + "AAIIIIAAAggg4FmA/hbPdFREAAEEEEAAAR8FaLP4iM2uEEAAAQQQQACBCBbQ7U6CwASBXV0GBIFd" + "MVEIAQQQcAjomy1BYAcLMwgggAACCCCAAAIIIIAAAggggIBnAfpbPNNREQEEEEAAAQR8FKDN4iM2" + "u0IAAQQQQAABBCJYQLc7CQITBHZ1GRAEdsVEIQQQQMAhoG+2BIEdLMwggAACCCCAAAIIIIAAAggg" + "gAACngXob/FMR0UEEEAAAQQQ8FGANouP2OwKAQQQQAABBBCIYAHd7iQITBDY1WVAENgVE4UQQAAB" + "h4C+2RIEdrAwgwACCCCAAAIIIIAAAggggAACCHgWoL/FMx0VEUAAAQQQQMBHAdosPmKzKwQQQAAB" + "BBBAIIIFdLuTIDBBYFeXAUFgV0wUQgABBBwC+mZLENjBwgwCCCCAAAIIIIAAAggggAACCCDgWYD+" + "Fs90VEQAAQQQQAABHwVos/iIza4QQAABBBBAAIEIFtDtToLABIFdXQYEgV0xUQgBBBBwCOibLUFg" + "BwszCCCAAAIIIIAAAggggAACCCCAgGcB+ls801ERAQQQQAABBHwUoM3iIza7QgABBBBAAAEEIlhA" + "tzsJAhMEdnUZEAR2xUQhBBBAwCGgb7YEgR0szCCAAAIIIIAAAggggAACCCCAAAKeBehv8UxHRQQQ" + "QAABBBDwUYA2i4/Y7AoBBBBAAAEEEIhgAd3uJAhMENjVZUAQ2BUThRBAAAGHgL7ZXqkgcPLkKeTi" + "xQuOY2ImbgHc4jaiBAIIIIAAAggggAACCCCAAAJXSuBK97dcqfNmvwgggAACCCBwdQnQZrm6fl8c" + "LQIIIIAAAgggcLUK6HYnQWCCwK7ew+EMAqvAVc3Grc3juHD+nHz/ybuujimuQkXKXC9ZcuaVY4f2" + "yZY1q+IqznoEEEAg0QX0zdavIHCpytWlbJW7JXfh0pIhU1ZJliyZGQQ+ceywbF//q6xd/plsW7cm" + "0c/zat5gitSp5Ppb7pbSle+UbHmLSLr0Gc3TOXXiqBzeu032bF0rKxZNl9MnT17Np5lkj71ctdsl" + "Z8ES5vHt3vyXrP/lh5DHWrxiFSlY8n/R6y9dkqUfTw5ZNlWaNHJLvVbm+hNGO2Dl13NDlo1rRZU6" + "TSRdpmxy7MBu+W3JgriKu15focbdkj1fEaMlekm+m/0OoX3XchREAAEEEEAAAQQQQACBSBfwu79F" + "eYejrzlDliySq2AxyZQtlxw/vF+O7NslR/bvc/XrVX3qOfIVkGz5CkmatOmNejvlwI6t9F+40qMQ" + "AggggAAC/gj43WbJkjOn0d8eJekyZDE/39i/c5ucPX06UU42faaMkqtAMclsfva+Xw7s3Cwnjx51" + "tW3dbslVKMroB78oh/dtl0O7d8m5M2dira/6+d38xLWdcLq4OT7KIIAAAggggAAC4RbQ7U6CwASB" + "Xb3XwhkEVo34jiPnWccxrkejBHdYqj8o2o/4RFKlTiM7/vldZo58zto+EwgggIBfAvpmG+4gsOqA" + "adx5mOTKHxXnqf2z6jv5dPLgsIUOy1StZR7D2TOnZeOqFXEez5UskLtQUWnYYagZmo7tOFTH1J/L" + "P5XF08aFzS22/V/L62o1eVJuvKOpeYqHD+yUd16I/mJQsHNu1W+85MxXzFo1ZeDjcnDXLmvePlHy" + "xlvkgSf6m4vOG18yGt2prn11vKYff+ltyZazgFlnTNd6cXZOut140y5DpVCpimbxdwY8anTM7nFb" + "1Sp3NV1v1kEzgQACCCCAAAIIIIAAAggkUMCv/hZ9mInd11ygRGm5/8kXJWPm7HoX1uuxIwdk2bxJ" + "8teP31jL7BPqWCrf3VCq3POIpE6T1r7KnN6/a6MsfHtgyL+XY1RgAQIIIIAAAgiETcCvNsv/br1X" + "bm3UzvxcPPBk9m5fL/Mn9DcGzjoUuMrVfL6okka7pb9kzporRnk1CM3nUwbJ1rV/xFinFqh2S+2W" + "naT8zXVirL9kDJDx98qvZelH4+TU8RMx1qu6ncd8ag54E2NlwILZb/QMOihYOF0CDoFZBBBAAAEE" + "EEDgigrodidBYILArt6IV1sQuHq9R6RqnZbmuREEdvUrphACCIRBQN9swxkELnJdBanb5mVj9Jd0" + "jjO4dOminDpxLGjIVQUuZ43q7rnjx7Ej24waXbfzqOgRU48fOSiTeje3rU1akzfd1VBqNGhjdCIl" + "dxzYubNn5NTJo5IpSw6zk8q+ctOfP8qcN6PDpfblTHsXUCMqNe44zNrA613qyoWz56x5PRH4pSG1" + "/NtPxskvX87RRRyvtVt0lOurR4d/t/z1s8we29exPj4zSTUIfDVdb/HxpiwCCCCAAAIIIIAAAggg" + "EJeAH/0t9mNIzL7mirXulTuadbFvPuj0D/Mmy0+ffRRjXYN2AySqws0xltsXqGDNx6Ofle3r/rIv" + "ZhoBBBBAAAEEfBbwo81y3xPPyXU33hnrmV28eEGmDmkn+4ynB8TnRz3R755Hno+zytLZ42TlV86+" + "ehXkffzldyVLttyx1ldh4nf6PxpjAI4c+fPLo33fibWuXrl4xihZtXSRnjVfw+ni2BEzCCCAAAII" + "IIBAEhDQ7U6CwASBXb0dr5YgcObs2aXq/a2kQrV7rfMiCGxRMIEAAj4L6JttuILAeYtFSfMe4xxn" + "9es3s2Ttii9l/7Zt5ui1KixYoFgpueHOByWq/OUPis6e+VfG9WwSNHTp2GA8Zq6WYGL56nfJ3S26" + "W2d2/txZ+dboqNq8ZoUcPXDAWl68YhWpaYSFs+cuZC37belsWTJjgjXPRMIE1Hum08j51rf6Z43p" + "EXT0gBKVqki9p1527GzH+lUyc1RPxzI90/rlKZI1R15zdslHY+S3JdEBdb0+Pq8P9xwlmbPnM6u8" + "/UKrGB2S8dmWvWxCRwS+Wq43+zkzjQACCCCAAAIIIIAAAggkhkC4+1v0MSZ2X3PqdOnk6cEzrNH6" + "Thw7JL9+PUNOG1/kVuHeYuWqScpUqc3dqzDvuy895nh6TGCI+MDuzUboZoZRPpmUrFRLipSpLClS" + "pDTrHz96UN7q8whPNtK/TF4RQAABBBC4AgLhbrMULVdRGrUfap3Z0cP75HfjM6ITRw9JwZLXS4Vb" + "6lp973E9kc/ayH8T6TJkkCcGTrWeQKA+R/l18Ueyb+dGyZm3iFS640FrgJoLF87L2y+0kOOHj1ib" + "qdXkCeNpgA9a8+t+XSKbjcFWsubML6Uq32587lLYWrfxjx9k7viXrHk1cV2VW+W+x/qYy7av+83Y" + "7z+O9faZtT99Lfu2b7EWhdPF2gkTCCCAAAIIIIBAEhLQ7U6CwASBXb0tk3IQOE/RYsYoCp0lY5bc" + "kilrjhjnQxA4BgkLEEDAJwF9sw1XELhF7zGSp2Ap82wuXrwon78/RNb+tDTk2d3e7GmpVKuRtV6F" + "hr+ZOdGaT+jE1RBMVN9Cf2rwVGuk5JPHj8hHI7vIoT27g56+Kt+i91jJlT/KXK86tMZ0rscHaUG1" + "vC1safjmLljSrLziy2ny/SfvxtjQ3a26xnh8WKjfhfpgtcPwy6MPvN3/ETmyf1+MbV7pBQSBr/Rv" + "gP0jgAACCCCAAAIIIIDA1SoQzv6WcPY139q4tVS+s5nJrsI4H7zSzvFlU/X3bOuX3pP0GTKbZQK/" + "2Nqow0ApWvYmc93ODatlxojLX3JWC3MVKCwte0+wnn70weCnHaEYsyL/IIAAAggggIBvAuFss6iT" + "aP7865K38HXm+RzYs0U+HNLBMfiLGuykvvFESf0zd2I/2bhqhZ6N9bV6/VZS9Z4WZhk1sMzUoW0d" + "n6OoL0w17zXRaLdkMsv8/v18+XrqWHNafVbUccQ846mL0U9kDGzTqEK3PNBCbr63lVlePalxTJd6" + "5rT+p1aTJ40gcVNzduqwdrJn8ya9Ks7XcLrEuXMKIIAAAggggAACV0BAtzsJAhMEdvX2S8pB4OIV" + "bzL+iBkY8jwIAoekYQUCCIRZQN9swxEEjqpwozRoN8g6g/lvDZB/Vi6z5kNN3N6srREGbmiuVuHh" + "ib2ayqnjJ6ziKviqftSjomL7CSyn5tNmSCdth84yq6lvnE/u09Kcjm1bqdKkkTxFoiR95uyyZ9Na" + "OXboUMjdBu5TF8yaK7fkLXadrP/lhziPu2qdplK93pNmVTW6zlv9mse6T1UwfaaM1nmp+biss+XJ" + "KzkLRsnZUydk37aNcvrkSVUt5E/gean57HnzSvb8ReXkkQOyZ9smR+dd4IZ0fbVcW6uOttwFi0qW" + "nPnk4M4tcnD3TmtdYP1g88o0V+ES8u+JI7Lzn3Wx1tX71/tW21P7L1i8jJw+fjTOx43VMDoUq/zX" + "obhn29/GI8o6xzikNkOmSUbjPaL2cXj/DsmRp4hZZvrwjrJr43pHefu1cerkMRnfI7qz0F4oPu87" + "VS/YOdq3p8vkLFBIsucrJPt3bJSDu3ZZRYLVDxYEVqMs5C5cXNJlyipn1Ptn+0Y5efSotR09obbn" + "5XrT9XlFAAEEEEAAAQQQQAABBK5mgXD2t4Szr/mhbsMlf/HyJn2oIE71eo9I1TrR/Sl//fylfPbO" + "a9avyv70m9lvPi9b/vzNWqcn7MGXz98fKmuWL9areEUAAQQQQAABnwXC2WZRfdwdR86zzuij17vK" + "9nV/WfN6okmnQVL4uhvN2b9Xfi0L33pVr4r19bEXJ1tPS1zxxVT5fs6UGOUr39VAbm3YzlyuBl2Z" + "0DP6C0/2p1ke2rdd3n0x+jMZ+wZUH3eXsQutRRN6PejoC3+w66vGqMb/M9e/3qVurJ+RWBsxJsLt" + "Yt8X0wgggAACCCCAQFIR0O1OgsAEgV29J5NyEFiNlFDvqX6SImVq61yy5StijZxAENhiYQIBBHwW" + "0DfbcASBH+0/0QpDxueRTqoT5JnXZluPivzjh/ny1YfR39Kuck9jqVG/jam0ZOZo+e2bT4OK2Ttg" + "RnW4T0rfVEPufbR30LJqYbDHOuWLKin3te4nWbLncdQ7dfK40Vn1s3z23ghHx47qFOowaq6kTJlK" + "VGfVZ+8Mlzuat5cS/6tp/f9+bLcGcvb0acf2Amc6jJxrPcpKPYrq08lDAosEnX+wy1ApWKqiue67" + "ORPk5y9mO8plyJJF6j7RT/JFlbO+5a4LnDYCnb8vnS3L5n+oF1mv9mC2ChiXq3qP8SjQKtboPbqg" + "eqTXV++/Klv/Xq0XWa/2Drn3X3lK7jfuifbHaqmCly5dNALF62Te+P6OzjRrI8aEMlamZavcbTrr" + "dSowvX/nBlnxxTQzbK2Xq9eq9zWT6nVbm4sm9Wkm2XIXkBoN20ieQqXNR47ZRwGw17NPFyxVRh7s" + "MspcpMLpozvVdQSPM2XLKk+9oh51KqLu6VvX/myFuYN1QNpNAzs24/u+U/t8uOcoyVekjIQagVht" + "846HOhmjRpdw/O7Vo9J+MR7xum/7eqOdEj3qwqwxPYzj/0NtVuxB4LkT+0qlWxtaHbJmgf/+UeHo" + "OW/0sQL7ZarWivf1Zt8e0wgggAACCCCAAAIIIIDA1S4Qzv6WcPY12/skQgVa7KPj/bNqqcyfOMj6" + "dT3+0tuSLWcBc372Gz1ly5pV1jo9YQ8Chwob67K8IoAAAggggEB4BcLZZilStqI07jDUPAH1ucr4" + "Hk2Cnox9VGA1gMvEXg8HLWdfaH/6o1o+ue/DQQdUCXw63/iejc1+7Iq17jWe5tvF3OTKxTNl6cdv" + "2TdvTT/75ufWdOA+2o/4RNKkTS8njhnH/Hzcx6w3FE4XvQ9eEUAAAQQQQACBpCag250EgQkCu3pv" + "JuUgcLATUCMZth7wvrmKIHAwIZYhgIAfAvpmG44gsL2DZOG7r8jfK751fUq1W3SU66vXNcvvMwKe" + "H7zS3px2GwRuM9gYnTVLdrOOCgLfXPdhubnOIyH3v3Oj8bjK4d2t9bfUa2k8UqqlGRS1FgZMBD7G" + "yt7xpB5/mTxVSjOcaa8WVxA4cGTfdwY8Kof37rFvwtN0iUpVpE6rPlbAONRGdm9dK7NG93KEle97" + "4jm57sY7Q1VxLFeB3GXz35KfPvvIsbztqzMlfcYsjmWhZtQjvOaO7xVjZAAVtm3SdYT1gWKo+l9O" + "fU1Wf/+ltbpW06fkxtujOxhV6FU9qitZsujHfalCboLAKoDcafQCK0T74attZe+WzdY+rq95t9R+" + "uJs5/+3scbLlr5+lVd+3zfn9uzbJ+wOjRxzQFewhefu14eV9p7Zp/6B1TNd6jse2lqpcXe57rI8Z" + "otb7j+01VBA4tjpq3akTR83OTjUisjqP+FxvcW2b9QgggAACCCCAAAIIIIDA1SYQzv6WYBaJ1dd8" + "XZVbJWe+onLR+LLusnkfxNiV+vu41QsTrNH3Fr470Ojv+c4qZ39EdrAvXasnFD3a7x3z72v1heDR" + "xt+wF86es+ozgQACCCCAAAL+CoSzzWIP227680eZ82b/oCdn/1xEfcYwsn2doOXsC+1tn3Nnz8iY" + "LvXsqx3T+ml+auHUYe1kz+ZNogLCN91tfFZgLFv/67fGYBlb1GrHj33Ai2PGkxEn925hrbcHjNW5" + "LZv/jjGIRkXjaXzF5Py5M7J/+wZjP38bA5hss+roiXC66H3wigACCCCAAAIIJDUB3e4kCEwQ2NV7" + "kyCwKyYKIYAAAg4BfbNN7CBw5uzZ5cmB06x9BYYTrRUhJgqXLidNOo8w16pRS0d3fsCc9hoELlym" + "ghT/Xw1JmSq1OaKt3q0KgaqfI3u3y8qv55rTRctVlEbto7+lrhbsNUZLXfvTF3L231NSsHQlKXvT" + "XWY59Y895GkPAlsF/ptQHVGH9m6V6cOfjfUDrgIlSkuzZ0ebteznHbi9+MyrkYCfemW6FWJVHWlr" + "fvpcdm38U9KmzyBljBF+c+WPsja5abXRITfucodcsCCwelTWP799K0cP7JbchUpImSr3GN98T2dt" + "Y8aITrJzwzprPlgQWH0JZvOfy0XZFChZQUpVut0KXqsw8LieTRxWLfu8IbkLlDC3qUa+/f27ubJv" + "23oj8J1DKtzaQLJky23tzz7qkD0IbBX4b+LYkf2y8svp8tuSBYGrYszrUXfViu/nTpQVn8+yyjRo" + "N0CiKtxszuvwdqhRlALfJ+N6NJLTJ0+K1/ed2mmoIHDugkWkZe+J1nGeOnlM1q74Qg7u3GR0SBYx" + "f28ZMmW11quJuILAW9f+IptWL5M06TNKmZvvcQSzv54+Sn7/dpF5Lm6vN8fOmUEAAQQQQAABBBBA" + "AAEErhGBcPW3hOKxh2HCNehErgKF5c6HOkv+4uXNwzh//py80b2h4293VaZl7wnWF3BVMOanzz6Q" + "08ePSoES5aVmw7bWF4UD+x9CnRvLEUAAAQQQQCB8AuFss9Ro8KhUubu5efC/fjNLvpl5ua868Izs" + "A8vENaCKqluodFlp2nmkuZmDxmcvUwZEP0kycLtqvlm316RA8QrmqnmTX5ANv/4UrJi1TPXhV7z1" + "fvOpf+oJkOpnxecfGp8LvGeVsT9F0FoYZEJ9MWrRlGGOwVfC6RLkEFiEAAIIIIAAAggkCQHd7iQI" + "TBDY1RuSILArJgohgAACDgF9s03sIHDR8pWk0TNDzH15CbQGBol1x4/XILAapVT92EOYx48clEm9" + "ozuhzJX//fPkoA8kc9Zc5txvS2fLkhkT7KuND65KS9MuI60RVqe91kF2b/rHsW1d4ejhfTJ/XF/Z" + "t2OrXhTrq31kWTXi8HsvPR1reTcr6z3dT0oYIWj1c8YIM388+lnHaLZq+R0PPyMVa9ZXk+aP/la8" + "mgkMAqvRbtU397WpKqNG632w+xgrjLt3x3r5cFBHtcr8CQwCL184RZYvmKpXm68qCFvv6YFidax9" + "OU2+/+Rdc135W+6Uu1s+Z06rR4hNHdzG8ZgvNSJRvbYvSFT56DDu7s1/ybRhXc3ywYLAKxd/JN/N" + "fsdxDmbhWP6pVre5VLvvUbOECsPOGtPHKt1x1DxJlTqN2B9v9kCbvlKyYk2zzJxxvY3w7Epz2v7Y" + "r8MHdso7L7Q2l3t936nKoYLA9Q2T4tdXN7ev9jVjWCfzsWfmAuOfdBkySPNe4yRL9jx6UaxB4CUz" + "R8tv33xqlVUTD3Ubbn0I/PfKr2XhW69a691cb1ZhJhBAAAEEEEAAAQQQQACBa0ggXP0toYjCFQRW" + "Xy5u2nW4ZM1ZwPqCsToG9UXTj0Z2loO7dsU4pHxRJY2/FUdbYeAYBYwF/6xaKvMnDgq2imUIIIAA" + "Aggg4KNAONsstz3YRm64rbF5Nj8seFt+Wjgj5JnZB9aY0OtBOXn0aMiyaoV9YA31hMYZIy4/8TGw" + "ov0zkkVTBhkDvywNLGLOq4Du/4xBR9KkTe9Yv+rbObJ4+jjHshvvrC+1Gj/jWBZq5sSxw+Zowvoz" + "lXC6hDoGliOAAAIIIIAAAldaQLc7CQITBHb1XiQI7IqJQggggIBDQN9sEzsIXPLGW+SBJ6JHlVWj" + "u47tejlk6jiAEDP2AKEq8v4rT5mPUAp3ELhY+Ruk4TODzaNSo96+++KTQY+w2v0PS7X7HzPXrft1" + "iXw6eUiMIPCJo4dkcp+W8Qqb3tq4tVS+s5m53cCwadADiWOhCnq2GzbbKqVHbLUW2CYee3Gy9WjP" + "Db9/L/MmvGyutQeB1e/yzW6Ngp6T+qDv4e5jrS2+N7C1HNi105y3B4F3rF8lM0f1tMrZJ+xhWzWy" + "0OhOdc3Vj704yTi2wub0/LcGyD8rl9mrmdPqPdNm0HRJZ4xUq34m933YDAsHBoGDhVnNCnH8k7dY" + "lDTvEd3ZZ39P20fdXfvLV7Lo7WHmlspVu0PueST6PP/4Yb589WG0jf13rIPmCXnfqZ0FCwKr333b" + "V2dZoyxPH97RGAV6fYyztI+coFaGGhF4x4Y/ZOaIHjHq2x+PpkaZnj68m1XGfh2HCt5bhZlAAAEE" + "EEAAAQQQQAABBK4hgXD1t4QiClcQOEvOnPLESx8G3e3SWW9aT1eyF7A/5cm+3D6tvjj9uRHE2bF+" + "rX0x0wgggAACCCDgs0A42yx3Nm8v/6tRzzyjFbaBP4KdYrvXZsXo2w9WTi+zj8i7b8c/8sGgDnpV" + "jNf7n3xeSt9wu7n8iw9elT+XfR2jjFrwQJvexuAetWKs27xmhXw1dbgcP3zEWlfn8e6Op0eqEY/X" + "/bJEjh3cJznyFpSajdtKnoKlrPJ//LDA+IxgjDkfThdrh0wggAACCCCAAAJJTEC3OwkCEwR29dYk" + "COyKiUIIIICAQ0DfbBM7CGwPB548fkQm9Gzm2G9cM4EBVh3qDHcQuOq9D0r1B54wD2/dr4uNUWsv" + "P+rJfsw5ChSLEXS2hx5V2a+mDZc/vvvCXi3OaXvAOHBU3TgrBylgD+faR6sNUlTs4W37SLX2IPDK" + "xTNl6cdvBatuLmvVb5zkzBdlTi98d6D8veI7c9oeBLYHTQM3pAw7jZxnjRo0vmdjcwTbrm8sspZ9" + "MPhpOX/uTGBVc75hx1etUYkXzxglq5YuEnsQWH3zfuLzDwWtG9dCNepwx9fnSYoUKc2i7wx4VA7v" + "3SM339dMbqkbParvgrdfkvW//GCuT58po7QdOsucPnZkv/GN/5bm9CN935Rc+Yub09oiIe87taFg" + "QWD7B6/236e544B/nho8VTJlyWEu1cekZpp2GSqFSlU0l4caKUGNDvX04Jlmmf27Nsr7Ay+PgmC/" + "JggCm0T8gwACCCCAAAIIIIAAAhEiEK7+llB84QoCq/3VavKEpM2QRbLmyC/5oso5RgZe8cVU+X7O" + "FOuwqtdvJVXvaWHNq5Hv1FN7/jVGEM5ZqKT1N7sqoNZNH95B9mzeZJVnAgEEEEAAAQT8FQhnm8Ue" + "eP1x0XuybH7wLxepM7aPCKw/D4pNokiZ66Vxx+hBOXZvXSvThnYJWdw+InBsQWD1eUqFGnUlbbqM" + "kqdIGcmUNbrPXG341ImjMmXA43L65ElzP7VbdpKCJa6XlKnTytdTR8jmP3+Nsf+6T/WSUpVus5aP" + "6VpPzp05I+F0sXbGBAIIIIAAAgggkMQEdLuTIDBBYFdvTYLArpgohAACCDgE9M02sYPA9lFS1Q5H" + "dbgv6CiyjoOxzeQpWkxaPDfeXHLp0iUZ2b6OOR3uIHBgx4ztkGKdHPHMPTFGBB7brYGcPX061nqB" + "K0tVri51W79gLj596oSM6944sEjIeRVUTZkmtbn+/Jmzpnf56nfJ3S2iH4m145/fZebI50LWt4/y" + "c/HiReN3dq9Z1h4Enjuxn2xctSLkNmq36CDXV3/AXG/v2LMHgeNyeWLge5Ilex5zG2oU2xOHD8iT" + "A6eF3GeoFXr/9iDw79/PNzrlLo9aHKpuqOUPdn1VCpb8n7laB70f7jlK8hmdguon8NyeeOV960NO" + "FWr+9+Rp6TzmU3OU3kuXLsrrHeuav6eEvO/UfoMFga+vebfUfribWi32EZ7NBQH/NOowUIqWvclc" + "GioI/OGrbWXvls0BNUXsgWeCwDF4WIAAAggggAACCCCAAAIRKhCu/pZQnOEMAtv3qb4M2uCZgZKn" + "UPQId6r/YELPJmYoxt6voOrs2rRG5k8a4Hi0t3p6zl1GP4Xqw1A/e7b9LVOHdDan+QcBBBBAAAEE" + "/BcIZ5vF3jf/42fvy7J5H4Q8wS5jF1rtgwm9HnS0H4JVKlquojRqP9RcFVcQuGH7l6VYuSpm2VAD" + "XgTbR8Va98kdzS63U+L7+YIaKKPd0I8ldZq05uZ1H3s4XYKdB8sQQAABBBBAAIGkIKDbnQSBCQK7" + "ej8SBHbFRCEEEEDAIaBvtokdBE6VJo10NEZ21T/vDWwtB3bt1LNxvto7WNTjIt/q84hZJ9xB4Ie6" + "DZf8xcvHeXyBBVQA9MKF89J51AJzlQp5jmwfHaQNLBvbfK4CheWRPpOsIq93qSsXzp6z5mObuOmu" + "hlKzYVuzyLZ1v8rHr/cyvr1+t9zVPDoMumP9Kpk5qmfITQT+zvS30+1B4BkjOsnODetCbuOuR7pI" + "hWrR5716+UL58v3XzbL2ILAKTcf28+SgDyVz1pxmkflvDZDjh/ZI8x7jYqsSdJ3ulLN3qn03Z4L8" + "/MXsoOXdLKxSp4nUqPeUWXTdr0tk0dvDjGDvAnO04oN7txojArRxbOaOh5+RijXrm8s+f3+IHDu0" + "V5p2HmnO2z/sTMj7ToXNgwWBq9VtLtXue9Tc158/fiZfvBe9X8cB/jdjHxEhVBBYj4AcWJ8gcKAI" + "8wgggAACCCCAAAIIIICASLj6W0LZ+hUEVvtPnS6dtBk03Qq1qL931yxfIlXrNJXq9Z40D1E9HWpy" + "v5ZB+zQq3V5Xbm/a0TqV+PR9WJWYQAABBBBAAIFEEQhnm8X+pIA/flggX304Jugxqy8IqSCw/gkc" + "cEMvt78WLFVGHuwyylxk/wzJXkZPt+g9RvIUjP4S07xJ/WTDb6EHO9F19GutJk/KjXc0NWfVqMDj" + "n3tQr3L12qTzYClc+gaz7JdTh8vq77+QcLq4OigKIYAAAggggAACV0BAtzsJAhMEdvX2IwjsiolC" + "CCCAgENA32wTOwisdtJ+xBxJkzaduT8Vmvx08hDHvmObsY+kunnNCvnkjX5mcbdBYPtjpOyjEatv" + "YOuw7vEjB2VS7+aOw6jzeHcpe9Nd5rLDB3bKqiVxh0aPH90vG379yTEi8Imjh2Rir4cd23YzExjG" + "/X7eJFnx2cduqkqDdgMkqsLNZtk/ln0qX30wWtRIO/c8Eh3+3blhtcwYET06cLAN2j80VI/nVG7q" + "xx4EVsHcf1YuC1bdXFa7RUdjROC65rT9G/72IPC4Ho2sx2cF25D9d6+Cx0f275GnB8+0ii75KHhn" + "oVXgv4m/Vywx92MPAi989xX5e8W3gUVdz9tHulYfan7+3mBr1IEVX06T7z9517Et+6gE63/7Rg7v" + "22k9InX5p+/K8k+jRzpOyPtO7TBYENgeArdfQ44D/G+mZe+xkrtgSXOOIHAwIZYhgAACCCCAAAII" + "IIAAAvETCGd/S7Ajsf9NH9cTgYLVV8vUaL93NX9WkiVPLuuNfpw1yxeHKmqMCmz0QZSP7oPQf//f" + "3aqrlL85+olOsX0hNTDsE+qLpyF3zgoEEEAAAQQQSDSBcLZZ7E+tsw+MEXjwOfLnl0f7vmMutj8h" + "MrCcfT7wSQT2z4Hs5dR0p9ELJGXKVObiDwY/Lfu2b5Gq9z4o+aMqmMvmjnsx5BMts+fNJ4+98K5Z" + "Tv0T236sQraJ+598XkrfcLu5RD/FMJwutl0ziQACCCCAAAIIJCkB3e4kCEwQ2NUbkyCwKyYKIYAA" + "Ag4BfbMNRxD41satpfKdzcz9qc6bCc83kVPHTzj2H2ymeMUqUr/Ny9aqOeN6y6bVK815exB46aw3" + "ZeXXc61yeiIwTGvvmIkrCHzT3Y2kZoOnzU3pD7L0dgNfM2fPbi46eeK4OcKNfdvHjuyXyb1bBlZx" + "Nf9I33GSK3+UWfbUyWMyvkf0t81jq6xG43l68AxJlTqNWUwHdvMWi7JG0z196oSM69445Gauq3Kr" + "3PdYH3P9oX3b5N0Xo0e+tQeBV303VxZPezPkNh57cZJkz13YXG8P3dqDwHMn9pWNq34Oug11Hu1f" + "m22OsKsKjO/Z2HzP6MeCqffR6x3vD9kpp3736TJkEDUi8/HDR8x92IPA2iXozl0u7PT6fEmZKrVZ" + "Wo2yXLBURXN6+vCOsmvjesdW1Hui08j5xvkkE+V/7NAua+QB3eGoKiTkfafqBwsCFyhRWpo9O1qt" + "lrNn/pWxXaNHJjYX2P4JNCcIbMNhEgEEEEAAAQQQQAABBBDwKBDO/pZgh5QYQeCoCjcaXzIeZG5+" + "y18/y+yxfYPtylxmf7LMsgVvy48LZ4j9y8FrVnwhn787PGT9Z9/83Fr31gst5OiBA9Y8EwgggAAC" + "CCDgn0A42yxFrqsgjTu9Zp7MubNnZEyXekFPrOzNt0mdVr3MdceOHDA+W2kRtJx9YeAXi6YMfFwO" + "7tplL2JOqy862Qca0QOV2PvUQ9VVG7C3sfSTIPNFlZRGHYaZ29+zeY3MGhP9uYq5IOCfR/q+aXze" + "U9xcumjKIFn701IJp0vA7plFAAEEEEAAAQSSjIBudxIEJgjs6k1JENgVE4UQQAABh4C+2YYjCKxC" + "kO2Gfmw9KnLfzg0y47Vn5dyZM45jsM+ob1c/+Ozrkj5jFnPx3h3r5cNBlx8XWbHWvXJHsy7muu1G" + "CPOjUdGj3dq3UfmuBnJrw3bWovgEgYuUrSiNOww166oQ7sSeDwUNndpH2t29da1MG9rFMSJwQoLA" + "hUuXkyadR1jHv+anz+XzKZfnrRW2ifptX5Di11e3luhHZ6mQZ4fhc6zlocLTqoB9JF41eu2CSYPN" + "evYg8Pnz5+SN7g2DPtozd6Gi0rLXBGtfHwxqI/t2bDXn7UHgvduN3+ngy79Tq4IxYX+MqNrX6E7R" + "owu36jdecuYrZhZdOnucrPzq8jnZ69vPQQdzEzsI3LjjK1KkTGX7bsV+rI4Vxkzz51+XvIWvcyw+" + "f+6sjO78gLUsIe87tRF7p+WYrvXMa0wFotsNuzyitT2Ybe3YmKhe7xHD/XJonSCwXYdpBBBAAAEE" + "EEAAAQQQQMCbQDj7W4IdkT2k4nVEYPvIeurv3Em9mgV9oo/q73nqlamSPkNm81Bmje4uW/9eLRVq" + "3GWMKBz9JKIDuzfJey9f7puxH7O93+PChfPmF37t65lGAAEEEEAAAf8EwtlmiR4oY5418MeSmaPl" + "t28+jXFy9v7t2J4qEFjRPqjKxtXLRY3sG/hjf2KBPWT8QJu+UrJiTbP4b0s/kSUzxgdWNeftg3js" + "37VR3h/4jDkYie57v3jxorxpPAXx7OnTMeqrz7se7feOOVCIWqkDx+F2iXEgLEAAAQQQQAABBJKA" + "gG53EgQmCOzq7UgQ2BUThRBAAAGHgL7ZhiMIrHZ0010NpWbDttY+VUfLzNc6GiOjHrKW6YkiZa6X" + "+m1fsUZbVcunDmsnezZv0kWkaLmK0qh9dFA32CjDeYoWk6adR1nhY1UxVBA41CipTwx8T7Jkz2Pu" + "U3UezZ/wsiMMrDpp2gyaLunSZzTL6JGD1fLOoxaYyxISBFYbeLjnKMlXpIy5LfWP+hBv1ht9YgRw" + "1T7rtHrWeLTUHVbZX76eId/Oetuav7d1DylTubY5r855/qT+svWvVdZ69c35ex571iqjVrw3sLUc" + "2LXTLGMPAqsF6hFeM0Z0dxyL+lZ9857jJFPWHGYd3SFmzhj/2IPAatkfPyyQrz4co1ebr+r33+CZ" + "wZIiRUpzfuXij2Tpx5PNaftoxcHOQRW6+b5mckvd1mZ59UHimM71zN9bYgeBb6zdQGo1cn6YuXXt" + "LyG/9W8/LvPgjH82r1khn7zRT8+ar17fd6qyvaNUB4HV8rpP9ZJSlW5Tk6LCxzNGdpK9Wzab8+of" + "+4e0emG4gsChrje9X14RQAABBBBAAAEEEEAAgWtJINz9LYFW8QkCl6t2u/Fl4hrmJi5duiDzJ0aP" + "AqwWdBg51+pT2bbuV/lk3AuOv/9VH0KDZwZI0bI3WYegv4ycq0BheaTPJGv5r9/Mkm9mTrTm1USm" + "bFmNPo9xkjFz9FOW9JerHYWYQQABBBBAAAHfBMLdZmn27GtSoEQF83xUH/HE3g85QrP2p0CqQrPf" + "6Clb1lz+/OK2B9tI5mzRn9fs3fq3/PTZR5ZNVeMzger/fSagFuovJ+kCgYOXrFzysSz9KLqtYg/4" + "qpF+P3mzl2O/ahvqM4uGxudRyZMnNzdpf2JimyHTjfZMNnO5as/MHNnD0WZSA3U0fXa4NcDJ0UN7" + "5a2+rczy6p+EulgbYgIBBBBAAAEEELhKBHS7kyAwQWBXb1k/g8Dq8d4nj8X9uDL1yPDF08cFPf74" + "dM4G3QALEUAAgUQQ0DfbcAWB1SE2aDdAoirc7Djawwd2ihrR99iBXZKrYAmjI6ii1WmiC+qArZ5X" + "r2qE22eGzTI6XlKYi1Xgc5MR1t23bZ3kKlTC+AZ3Levb1bqePQislnUZu8jquDm4d6sc2btD9u/c" + "KMvmf2hWKV6xitRv87KuLieOHpKNq7+X40ZHTf5iFaTwdTdYYWU1Qs5b/VrIyaNHE21EYLVjc2Tk" + "bmOM0XUyWcehznXfjn9kpxEKPn3iqOQoECVFy1SxRk9WBdX5TBnQxqqjJlSH01ODZ0jKlKms5Zv+" + "/FF2bVotadNnkpI33iFZsuW21q37dbF8Ojk6bK0WBgaB1bKTx48YYdYfDbttkj1/MSlWrpoVjFbr" + "P379Wdm2bo2aNH8Cg8BqoTpW9ajRf42Rl/MVLWt8iFjF+r2o0Oq455o4Ro9+tP9EyZGnSPQGjX9V" + "3d3GY7dSG4HsqArVJFvOAta61csXypfvv27OJ3YQOEf+/PJo33esfamJUCMZqHW5CxaRlr2dH3wu" + "nvG6rFq6UK22fry+79QGQgWB1QesTw6cZo24oMLzKqR9dP9OyW0Eze2/d30giRkEVtuM63rT++UV" + "AQQQQAABBBBAAAEEELiWBPzob7F7xaevWQV5o8pH99NcvHjB/AK13lbgF0ZVn8jfP39h9Insk8w5" + "80nZm+91/P3/81fT5bvZl/9Gtm9bbVP1/6xb8ZUxsvAxyVmwuJSreo/Vp6NCNx8OaSf7tm/Ru+cV" + "AQQQQAABBHwWCHebRQ3e0uK5y6Ptqs/Y//h2jqTNmFkyZMouJf4X/eUkddrq848PBnVwCHQavcD6" + "bCNwgA01UIr9KQWqbbF62UJzgJBLRhvn+hr1rIFHVAj5rb7NracdqM+YWg+cIpmz5rL2t/GPH2TX" + "xtVidKgbn1dUlUKlKlrr1HG/27+VVb9CjbuNJyF0s9af+feU/LnsUzl2cI9kyZVfyle73/pylSo0" + "Y0Qn2blhnVU+oS7WhphAAAEEEEAAAQSuEgHd7iQITBDY1VvWzyCwqwMyCqmg1Zw3+wctHp/O2aAb" + "YCECCCCQCAL6ZhvOILA6TDUycI0GbaxAYmyHfubf07Jg0guyde0fQYvd3qytVKrVMOg6tVB9szqZ" + "8Q1t3YETGARu0WuM5ClUylF/p9G5M2N49OMr1YpbHmghVes8EiNUbK+kQpWfvPm89S3xxBwRWO1H" + "hZ4bdxrsGBnYvv/A6b3b1xv3nL5mKDlwXcFSZYzRYV+yHtsZuF7Pq/vW/MkDHd9cDxYE1uUDX5XJ" + "93Mnys9fzHassgeBVWdcsmTR36B3FPpvRnWYzR3fW3asX+tYnTl7dmnU6VXJnruQY3ngTOBoQokd" + "BFb7s4+QpObfeqGFHD0Q+gtCbst7ed+p/YcKAqt1BUqUlnptBzk+qFXL9Y/qwDy4Y4MU/K9T0z5q" + "QtMuQ63OzncGPCqH9+7R1azX9JkyStuhs8z5wJGg1UI315u1MSYQQAABBBBAAAEEEEAAgWtEwK/+" + "Fs0Vn75me1g3MAistle/7QvGiMHV9aZDvm77e6V8PLq3Y73qG3nshbesJy05VgbMLJ09TlZ+NSdg" + "KbMIIIAAAggg4KeAH22Wmo0el5tqPxTraanPBT4Y9FSMfvbYgsBqg+opkg3aDbK+aBRsJ+oziUVT" + "BsnfK75zrA72hElHgf9m1IAws0Z3cwR51ao6j3eXsjfdFayKtUy1tb6bMzFomychLtYOmEAAAQQQ" + "QAABBK4SAd3uJAhMENjVWzacQWD1rcAOI+dYI0C6OiCjkH1EwsA6KuDV/rXZZhjq75Vfy8K3Xg0s" + "wjwCCCAQdgF9sw13EFidSN5iUXJb4w6SP6pc0PNSI95uNoKoX00dKaeOnwhaRi+sUqeJVLv/cfPb" + "3Oqb3KnTpJVzZ8/I7k1/yqdvvSK3P9RerrvxTlHrxnatr6uZrypQeleLbpIvqrz1jezAILAqqI73" + "zoe6Ss78Uda3xvWG1OMxv/lorBzYtVMvStQRga2NGhPV6jaXEhVrSo68Ra0Rc+3rTxmjA3/3yXhZ" + "s3yxfXGM6VRp0sjdj3SVQqVvNAPB2k2Fdw/v3y6rlsw2RqldFKOePQg8b1I/4/dX3vgmfX3LTlVQ" + "v7v9OzcYI+OONX4H/8TYhj0IrAKlNRs8ZYzie4vjfNTx7PhnlXw+5VXrW/WBG1L3YxXsLVmplvkY" + "UX0OqpwKtP78+fvy69fzzW/867rhCALbR7k+ceywTHw+9k7M+u1elOLGqMXqR42mPKFnM314MV7j" + "+75TG4gtCKzWq1Ghqzd8wrz21KjKyYwRDU4cO2SMyv2rLJ8/RarXby2lb7hdFZUpAx+Xg7t2mdP3" + "tu4hZSrXNqfdBIHVKM2zx/Y1y+t/3F5vujyvCCCAAAIIIIAAAggggMC1IOBnf4vyik9fsz0IfOzI" + "AZncu0UM8mLlb5DbHuzgePqOLqSe8PPtrPFGH86velGM10q33S9V73vUeoqR/vtd9UHsMJ509NW0" + "kUG/bBpjQyxAAAEEEEAAgbAK+NVmKTdoDlEAAEAASURBVFLmernTGEE3a468otoD6qmAqVKnMT9b" + "2LT6B/lsynDHEwL1SduDwKuXLzKeBDhKr7JeVR/0vY/1lvzFy5mfu9vbHWqU4UXvDJJDe3Zb5e0T" + "6nOT25s9I9dVvtMaeVivVwHgP42nD34/5x05e/q0Xux4LVK2otzW9BnraYZ63+p175a18sWHr8UI" + "N9s34NXFvg2mEUAAAQQQQACBq0FAtzsJAhMEdvV+DWcQ2NUBUAgBBBC4CgX0zdaPILDmUR9O5cxf" + "SDJmyyVp0qYXFWQ9cWS/7N+2zRHg1OVje1Uj3qgAsOowOrJ/X2xFE7ROdSRlyp7LGG33sPFop4Px" + "Ps4E7dxWOXvefJK7cElzyaFdW40A756gnWO2KkEn1e8gZcoUkjZDJjmyb1+s52MPAs8c1cUaqVfZ" + "Z8iSzTSJy94eBNYjNKtQb/a8eSVN+ozG725P0JGMgx78fwvVKENp06U3ziGDnDp6NGR4OLZtJPV1" + "4XjfKfcUqVI63jdtBk+TjFmymxxjutZzrEvqRhwfAggggAACCCCAAAIIIJAUBa5Ef0s4HNTfkJlz" + "5DD//j9x5KAcP3Q41j6EwGNQf7tnyZ5Tzpw+ZXwZOJkcP3wksAjzCCCAAAIIIHAFBfxus6i2Rdbc" + "uc22wSVjtNy4BoWJL43qU79w4YIxuFeqWAO4wbarPjfJlscIKl+4JEcO7A0Z/g1WV5/XvyePy6WL" + "l+L9eYWur9pM4XAJdswsQwABBBBAAAEE/BTQ7U6CwASBXb3vCAK7YqIQAggg4BDQN1s/g8COA2Am" + "yQuECgLH58CDBYHjU5+y3gQ6jJxrjdw8ue/DcuzQoRgbKnnjLfLAE/3N5SpUP6ZLvRhlWIAAAggg" + "gAACCCCAAAIIIBA/Afpb4udFaQQQQAABBBC4MgK0Wa6MO3tFAAEEEEAAAQQiTUC3OwkCEwR29d4n" + "COyKiUIIIICAQ0DfbAkCO1iYsQkQBLZhXGWTD7TpKyUr1jSPes+2v2XOG30cIywUr3iT3N+6v/XI" + "s1++nmE83vXtq+wsOVwEEEAAAQQQQAABBBBAIOkJ0N+S9H4nHBECCCCAAAIIxBSgzRLThCUIIIAA" + "AggggAACiS+g250EgQkCu3p3EQR2xUQhBBBAwCGgb7YEgR0szNgECALbMK6yySJlrpfGHYc5jnrv" + "9vVy9tRJyVGwuKTPkNlad+bf0zL++aZy4ew5axkTCCCAAAIIIIAAAggggAAC3gTob/HmRi0EEEAA" + "AQQQ8FeANou/3uwNAQQQQAABBBCIVAHd7iQITBDY1TVAENgVE4UQQAABh4C+2RIEdrAwYxOwB4Fn" + "jOgkOzess611N9n21ZmSPmMWs/CoDvfJxYsX3FWkVIIFSlWuLvc91keSJ08Rclv7d22SBZMGyOG9" + "e0KWYQUCCCCAAAIIIIAAAggggIB7Afpb3FtREgEEEEAAAQSunABtlitnz54RQAABBBBAAIFIEtDt" + "ToLABIFdve8JArtiohACCCDgENA3W4LADhZmbAJFy1eSPAVLyNkzp+W3JQtsa9xP3nDHA5IqdVo5" + "sGerbFy1wn1FSiaKQIrUqaT8zbWlUOmKkil7HkmVJp0c279HDu3dInu2rZf1v/yQKPthIwgggAAC" + "CCCAAAIIIIAAAtEC9LfwTkAAAQQQQACBq0GANsvV8FviGBFAAAEEEEAAgatfQLc7CQITBHb1biYI" + "7IqJQggggIBDQN9sCQI7WJhBAAEEEEAAAQQQQAABBBBAAAEEPAvQ3+KZjooIIIAAAggg4KMAbRYf" + "sdkVAggggAACCCAQwQK63UkQmCCwq8uAILArJgohgAACDgF9syUI7GBhBgEEEEAAAQQQQAABBBBA" + "AAEEEPAsQH+LZzoqIoAAAggggICPArRZfMRmVwgggAACCCCAQAQL6HYnQWCCwK4uA4LArpgohAAC" + "CDgE9M2WILCDhRkEEEAAAQQQQAABBBBAAAEEEEDAswD9LZ7pqIgAAggggAACPgrQZvERm10hgAAC" + "CCCAAAIRLKDbnQSBCQK7ugwIArtiohACCCDgENA3W4LADhZmEEAAAQQQQAABBBBAAAEEEEAAAc8C" + "9Ld4pqMiAggggAACCPgoQJvFR2x2hQACCCCAAAIIRLCAbncSBCYI7OoyIAjsiolCCCCAgENA32wJ" + "AjtYmEEAAQQQQAABBBBAAAEEEEAAAQQ8C9Df4pmOiggggAACCCDgowBtFh+x2RUCCCCAAAIIIBDB" + "ArrdSRCYILCry4AgsCsmCiGAAAIOAX2zJQjsYGEGAQQQQAABBBBAAAEEEEAAAQQQ8CxAf4tnOioi" + "gAACCCCAgI8CtFl8xGZXCCCAAAIIIIBABAvodidBYILAri4DgsCumCiEAAIIOAT0zZYgsIOFGQQQ" + "QAABBBBAAAEEEEAAAQQQQMCzAP0tnumoiAACCCCAAAI+CtBm8RGbXSGAAAIIIIAAAhEsoNudBIEJ" + "Aru6DAgCu2KiEAIIIOAQ0DdbgsAOFmYQQAABBBBAAAEEEEAAAQQQQAABzwL0t3imoyICCCCAAAII" + "+ChAm8VHbHaFAAIIIIAAAghEsIBudxIEJgjs6jIgCOyKiUIIIICAQ0DfbAkCO1iYQQABBBBAAAEE" + "EEAAAQQQQAABBDwL0N/imY6KCCCAAAIIIOCjAG0WH7HZFQIIIIAAAgggEMECut1JEJggsKvLgCCw" + "KyYKIYAAAg4BfbMlCOxgYQYBBBBAAAEEEEAAAQQQQAABBBDwLEB/i2c6KiKAAAIIIICAjwK0WXzE" + "ZlcIIIAAAggggEAEC+h2J0FggsCuLgOCwK6YKIQAAgg4BPTNliCwg4UZBBBAAAEEEEAAAQQQQAAB" + "BBBAwLMA/S2e6aiIAAIIIIAAAj4K0GbxEZtdIYAAAggggAACESyg250EgQkCu7oMCAK7YqIQAggg" + "4BDQN1uCwA4WZhBAAAEEEEAAAQQQQAABBBBAAAHPAvS3eKajIgIIIIAAAgj4KECbxUdsdoUAAggg" + "gAACCESwgG53EgQmCOzqMiAI7IqJQggggIBDQN9sCQI7WJhBAAEEEEAAAQQQQAABBBBAAAEEPAvQ" + "3+KZjooIIIAAAggg4KMAbRYfsdkVAggggAACCCAQwQK63UkQmCCwq8uAILArJgohgAACDgF9syUI" + "7GBhBgEEEEAAAQQQQAABBBBAAAEEEPAsQH+LZzoqIoAAAggggICPArRZfMRmVwgggAACCCCAQAQL" + "6HYnQWCCwK4uA4LArpgohAACCDgE9M2WILCDhRkEEEAAAQQQQAABBBBAAAEEEEDAswD9LZ7pqIgA" + "AggggAACPgrQZvERm10hgAACCCCAAAIRLKDbnQSBCQK7ugwIArtiohACCCDgENA3W4LADhZmEEAA" + "AQQQQAABBBBAAAEEEEAAAc8C9Ld4pqMiAggggAACCPgoQJvFR2x2hQACCCCAAAIIRLCAbncSBCYI" + "7OoyIAjsiolCCCCAgENA32wJAjtYmEEAAQQQQAABBBBAAAEEEEAAAQQ8C9Df4pmOiggggAACCCDg" + "owBtFh+x2RUCCCCAAAIIIBDBArrdSRCYILCry0AHgV0VphACCCCAAAIIIIAAAggggAACCCCAAAII" + "IIAAAggggAACCCCAAAIIIIAAAggggAACCCCAQNgFCAITBHb1JiMI7IqJQggggAACCCCAAAIIIIAA" + "AggggAACCCCAAAIIIIAAAggggAACCCCAAAIIIIAAAggggIBvAgSBCQK7erPpIPDfuw+4Kk8hBBBA" + "AAGR6/LlNBn4fyfvBgQQQAABBBBAAAEEEEAAAQQQQCBxBOhvSRxHtoIAAggggAAC4RWgzRJeX7aO" + "AAIIIIAAAgggEC2g250EgQkCu7omCAK7YqIQAggg4BDQN1uCwA4WZhBAAAEEEEAAAQQQQAABBBBA" + "AAHPAvS3eKajIgIIIIAAAgj4KECbxUdsdoUAAggggAACCESwgG53EgQmCOzqMiAI7IqJQggggIBD" + "QN9sCQI7WJhBAAEEEEAAAQQQQAABBBBAAAEEPAvQ3+KZjooIIIAAAggg4KMAbRYfsdkVAggggAAC" + "CCAQwQK63UkQmCCwq8uAILArJgohgAACDgF9syUI7GBhBgEEEEAAAQQQQAABBBBAAAEEEPAsQH+L" + "ZzoqIoAAAggggICPArRZfMRmVwgggAACCCCAQAQL6HYnQWCCwK4uA4LArpgohAACCDgE9M2WILCD" + "hRkEEEAAAQQQQAABBBBAAAEEEEDAswD9LZ7pqIgAAggggAACPgrQZvERm10hgAACCCCAAAIRLKDb" + "nQSBCQK7ugwIArtiohACCCDgENA3W4LADhZmEEAAAQQQQAABBBBAAAEEEEAAAc8C9Ld4pqMiAggg" + "gAACCPgoQJvFR2x2hQACCCCAAAIIRLCAbncSBCYI7OoyIAjsiolCCCCAgENA32wJAjtYmEEAAQQQ" + "QAABBBBAAAEEEEAAAQQ8C9Df4pmOiggggAACCCDgowBtFh+x2RUCCCCAAAIIIBDBArrdSRCYILCr" + "y4AgsCsmCiGAAAIOAX2zJQjsYGEGAQQQQAABBBBAAAEEEEAAAQQQ8CxAf4tnOioigAACCCCAgI8C" + "tFl8xGZXCCCAAAIIIIBABAvodidBYILAri4DgsCumCiEAAIIOAT0zZYgsIOFGQQQQAABBBBAAAEE" + "EEAAAQQQQMCzAP0tnumoiAACCCCAAAI+CtBm8RGbXSGAAAIIIIAAAhEsoNudBIEJAru6DAgCu2Ki" + "EAIIIOAQ0DdbgsAOFmYQQAABBBBAAAEEEEAAAQQQQAABzwL0t3imoyICCCCAAAII+ChAm8VHbHaF" + "AAIIIIAAAghEsIBudxIEJgjs6jIgCOyKiUIIIICAQ0DfbAkCO1iYQQABBBBAAAEEEEAAAQQQQAAB" + "BDwL0N/imY6KCCCAAAIIIOCjAG0WH7HZFQIIIIAAAgggEMECut1JEJggsKvLgCCwKyYKIYAAAg4B" + "fbMlCOxgYQYBBBBAAAEEEEAAAQQQQAABBBDwLEB/i2c6KiKAAAIIIICAjwK0WXzEZlcIIIAAAggg" + "gEAEC+h2J0FggsCuLgOCwK6YKIQAAgg4BPTNliCwg4UZBBBAAAEEEEAAAQQQQAABBBBAwLMA/S2e" + "6aiIAAIIIIAAAj4K0GbxEZtdIYAAAggggAACESyg250EgQkCu7oMCAK7YqIQAggg4BDQN1uCwA4W" + "ZhBAAAEEEEAAAQQQQAABBBBAAAHPAvS3eKajIgIIIIAAAgj4KECbxUdsdoUAAggggAACCESwgG53" + "EgQmCOzqMiAI7IqJQggggIBDQN9sCQI7WJhBAAEEEEAAAQQQQAABBBBAAAEEPAvQ3+KZjooIIIAA" + "Aggg4KMAbRYfsdkVAggggAACCCAQwQK63UkQmCCwq8uAILArJgohgAACDgF9syUI7GBhBgEEEEAA" + "AQQQQAABBBBAAAEEEPAsQH+LZzoqIoAAAggggICPArRZfMRmVwgggAACCCCAQAQL6HYnQWCCwK4u" + "A4LArpgohAACCDgE9M2WILCDhRkEEEAAAQQQQAABBBBAAAEEEEDAswD9LZ7pqIgAAggggAACPgrQ" + "ZvERm10hgAACCCCAAAIRLKDbnQSBCQK7ugwIArtiohACCCDgENA3W4LADhZmEEAAAQQQQAABBBBA" + "AAEEEEAAAc8C9Ld4pqMiAggggAACCPgoQJvFR2x2hQACCCCAAAIIRLCAbncSBCYI7OoyIAjsiolC" + "CCCAgENA32wJAjtYmEEAAQQQQAABBBBAAAEEEEAAAQQ8C9Df4pmOiggggAACCCDgowBtFh+x2RUC" + "CCCAAAIIIBDBArrdSRCYILCry4AgsCsmCiGAAAIOAX2zJQjsYGEGAQQQQAABBBBAAAEEEEAAAQQQ" + "8CxAf4tnOioigAACCCCAgI8CtFl8xGZXCCCAAAIIIIBABAvodidBYILAri4DgsCumCiEAAIIOAT0" + "zZYgsIOFGQQQQAABBBBAAAEEEEAAAQQQQMCzAP0tnumoiAACCCCAAAI+CtBm8RGbXSGAAAIIIIAA" + "AhEsoNudBIEJAru6DAgCu2KiEAIIIOAQ0DdbgsAOFmYQQAABBBBAAAEEEEAAAQQQQAABzwL0t3im" + "oyICCCCAAAII+ChAm8VHbHaFAAIIIIAAAghEsIBudxIEJgjs6jIgCOyKiUIIIICAQ0DfbAkCO1iY" + "QQABBBBAAAEEEEAAAQQQQAABBDwL0N/imY6KCCCAAAIIIOCjAG0WH7HZFQIIIIAAAgggEMECut1J" + "EJggsKvL4EoHgZMnTyYXL15ydawUQgABBJKKgL7ZEgROKr+RpHsc6j6nfvy613FfTbrvBY7syglw" + "XVw5e/YcWsDv+0PoI2ENAggggAACCCCQdATob0k6vwuOBAEEEEAAAQRCC9BmCW3DGgQQQAABBBBA" + "AIHEE9DtToLABIFdvav8DgJHFS0ht1StI9eVvlGyZs4uKVKklAsXzsuBg7tlz77t8uOKL2XV6hWu" + "jp1CCCCAwJUS0DdbP4PAuXPlkdIlK5qn/N2yzxN06mnSpHFV/8yZM67KXeuFqlerLcmTpZANm9bI" + "7j074nW6I4Z8LOnSpJP1G/+QkWN7hazrdR+VK9WQqjfVlkIFS0rmjFkkWTL1BZsLcuTYYflnwypZ" + "/vOXsm79nyH3W63qHVIwf1TI9bGtWPnbN7Jpy4bYioRtnQrQNa7/hLn9P/9aIWvX/eF6X5kyZpI6" + "dzUzy69Z+7P89ffvrutS8OoSUO+Tl/u9K9mz5pTvf1wkH84Y6/oEqt5USwob19Xpf0/KgkXTXNcL" + "d8FaNe6V3LkKmLv56JPJ8d6dupfUqvGAWe/nlYtly7ZN8d7GlaiQkGteH2/hQsWkauU75dKlS7Lo" + "y+ly8uRJvcqX1wZ1W0mqVKllx65NsvynxdKp3UApU6qSHDy8T/q+9Lgvx8BOEEAAAQQQQACBpC4Q" + "7v4W1a5UbbK4fs6dO+v4Qq/bevbtJqRPRf3dWrBAMclq/C1z3jiWPXu3y87d2xzHZN+XfTpL5iyS" + "N28hyZUjn/z772nZvnOj7D+wx1Vd+3aYRgABBBBAAIHQAuFus4Tac5nS10tO4x5/6PBeWbN2Vahi" + "CVquPnNIly6DHDl6QFavWRnntry0WxLrM6qcOXKan29kyJhZ9hrtpR27thrtn3/jPGYKIIAAAggg" + "gAACV4uAbncSBCYI7Oo962cQ+JGHOpoh4LgObP+BXfLetNeMwNW6uIqyHgEEELgiAvpm62cQ+NmO" + "r0rJqHLm+bbrer/n81YfXo19bb4ZGI1rI2Mn9glbZ1Jc+04q64sWjpKeXceYhzN34bvy2ZcfuT60" + "GyveIk8+2scsP2veRPlqydygdb3sQ3WudX5msBTIVyzoNu0LV63+QSa9Ozjoh34dnh4g5a6rbC/u" + "evqjOeNl8dL5rssnZsG0adPKyMGzzE2qdsMLrzxlbT5DhgxSvmz0Oe3es022bd9srVMThQoUkd7d" + "3zSXrf7rJ3lz0kuO9cxcOwJNGjwhd9ZqZJ7QiLE95J+Nf7k+uadb95aKFaqb5V8d1Vk2b70yoffA" + "A3514DTJlCGzubh9t7pBr+vAOvb5ihWqyNOt+5uLlv4wX6Z/PN6+OslOx3bNuz3omrfcI82bdjKL" + "z//sPVn4+Qy3VROl3EjjiyFpjS+GqB91Hy9erJR07zTSnP9kwWT54utPzGn+QQABBBBAAAEEIlkg" + "3P0t9e5vKffWfjhO4t17tspLQ5+xyrVq3lmq3XS3Ne9mYuWqb2XylKFuilplVLu3zeN9zS+MWQv/" + "m/j3zGn51GjHfvXNvMBV5nz+fAXloSadrL4jeyE1EMdnX02XBZ8lnS852o+PaQQQQAABBK42gXC3" + "WYJ5qM92Rgz+WNKkTmsMPLLaGHjk+WDFErTM/pnGxs1/yWuje4Tcntd2S2J8RnVr9TrSqN5TpkXg" + "AW7b8Y9MePtlIyx9MHAV8wgggAACCCCAwFUnoNudBIEJArt68/oRBFajEHRqO0jy5ysa45iOHD0o" + "KY1RGDKmz+RYpzonXxvd9aoZpcxx8MwggMA1L6Bvtn4FgUsWLyvPdhhmuSYkCJwvb0F5oecEa1ux" + "TUyfNVaWfr8otiLX/LquHQZLqeLXm+cZ3yBw907DjKBXWSOod1Ge7d1EQo0GFN99XFeqvLRp/aI5" + "0rD9F3Dp0kU5fvK4OTKwfbma3n9gt4x6s2eMzq9rMQisRkXo1HawSbD85y/kvamvOzgIAjs4rtkZ" + "9f+6fs+NN7/0ENeI3MEQCAIHU7lyy67FILDS7NphiHGPqSBnzv4rz/dvwYglV+4txp4RQAABBBBA" + "IIkIhLu/xe3fwP8a7bOuPRtbKl6CwFu3r5chI7pa24hrImXKlNL3uTckT66CsRb97OvpMnfB+44y" + "BfIXlt7dxkry5CkcywNntmz7W4aO7Ba4mHkEEEAAAQQQiKdAuNsswQ7H/oWmcASB1QAbA/tNsb7I" + "HlsQOCHtloR+RvVEq+5SudLtwYisZeqpiUNGdDSejLDVWsYEAggggAACCCBwNQrodidBYILArt6/" + "fgSBB734nmTLksM6nrXrf5NFX0w1RlZbL+fPnzeXq5EN697bUmpWu98apfK0McpB/4GPy/ETx626" + "TCCAAAJJQUDfbMMdBFaPR6pR7W5p9EAb48Oc5NapJyQIfNONNaV1y+hviq/b8Lvs2Bl6lMsVK5fE" + "GE3VOohrfEI9Qv7hJh2kaOHrrDONTxA4W9ZsMqj/B2ZdNSLvhLcHWdvRE172UaxICXmuizPYuuS7" + "ubLil69k247N5uigqhOueNR1Uvu2JlK+zE16d6JGD+rR9yHr3qtWqONMH/BlHKuCbSKj8WitLu0u" + "j2SkQsdDRna6Yu8PdW281Pcd8wgPHdrt+CCTILDtFxfBk53aDbRG0Xpp6NOye8+OeGkQBI4XV9gL" + "x3bNu915UhsRWB23Cmz07THOPIVvvp8nM2a5+6KO23OmHAIIIIAAAgggcLUJhLu/ZchLH0iWTNnM" + "L2J9v/zTkDznzp9zhG1vq3mflLP9fR2qYvkyVaxVf6z5UcZNftmaj21CjYzXq9vrxqOti5vFzl04" + "J+uMPvTfVy+TC0aQ5d7azSVXznzmukuXLskLg1rLgQP7rE0OfXmq9aVgNcDGt8s+NZ6I8ofRp1Fa" + "bqx0m+TIltsqO/Wj0fLdss+teSYQQAABBBBAIP4C4W6z2I8oe7Yccn+dFnJLlXusxeEIAtufSql2" + "FCoInNB2S0I+oypXpqJ0aPOK5XDw8D5jMJu5ogYeK1X8f1L95jpWzkANjvLCK09aZZlAAAEEEEAA" + "AQSuRgHd7iQITBDY1fs33EHgO2rVlaYN2lnH8t3yhTJ15hvWfOCEvfGv1i36cqrMW/hhYDHmEUAA" + "gSsqoG+24QgCqxBnp3aDJHOmLJI7ZwGr08J+wgkJAjdp8ITcWauRubmk9Lh7+/ldqWn1wd4tVe+R" + "7NnySob0GWMcRnyCwI3qPSZ33d7U3MaIsT2MD+D+MqcTuo/e3V+XQgVKmNtSIw1PmTbMCAF/G+NY" + "9YJmjdrIbTXr61lRoeGZsyda824n2rfpb4SKL3+g+dGc8bJ46Xy31X0tRxDYV+4kuTP1NIohA6aa" + "x7Zz1yYZOKxjvI+TIHC8yZJ8haQYBFZo/XuNl7y5C8nZ82elW6+mji9rJHlUDhABBBBAAAEEEEhk" + "gXD3t4wZNtc84o2b1xhPo3suUY++Qtkb5JmnooO/R48fkf6vtA75ZKDAHVereoe0eqibuVj9rT9o" + "eHvZuWubVUz1FQ0bON0aoW/2/Eny5eI55nr7qHoqJDxibDfZsGmdVVdN2EdC9vLEFMfGmEEAAQQQ" + "QAABCWebRfEWLRwlzRq3lyxZcjkG29L0iR0Ern1bPWlc/2m9efM1VBA4Ie0WteGEfEb1/LMjpUih" + "Uubx7d6z1WgzdXL0pVWsUEWebt3fXK/+mfD2AFm1eoU1zwQCCCCAAAIIIHC1Ceh2J0FggsCu3rvh" + "DAKrbwS+OnCGZEiXwTyWX377Rt567/Kj7UMd4GMtukrVyrXN1eqbfH1fejxUUVGPCS5SuIRkypBV" + "du7eInv37TRHQwxVQR2T+rl48ZKjiPqDKnPm7PLHn784lquZnDlzS5GCJYyRiY8anah/xagbowIL" + "EEDgmhfQN9twBIHVqIejhsyO1TAhQWD9GHK1g4496js6SWLdqbFS/z9UlbP/f1SNaJg/XxHZs2db" + "0EctqXMqEVXG+MAqvRw8tEd2GB9m6RHh7fsMtn31YVexIqWMYHRWUY/VPHDwgL2KOa1GtY0qWkbO" + "GSGqAwf3yK7d8Rv5U2/w4abt5NZb6urZGK/xCQIPe2W6ZDRG2j1sfBO994utrG0lZB/Xl68s7Z4Y" + "YG1r8pRXZOWqZdZ8qIlmjZ+W22rUM1erDxSf7988XqPt163zsNx/T0tr827v57qC/r3a3zN6nXrV" + "69V0fMroevY6alml66vJk4/2UZuTX35bIu98MNyc1uUKFSgivbu/aS5b/ddP8uakl8xpNUJzrhz5" + "JHmKFEZ7YocxWvaWkMdjVnD5j3r/FypQVLIZIzAdNto1Bw/tk8NHDruqrc6noFE3T+6CxmhPu43r" + "a0vQa8e+sUAXNZ83TwHJn7eoMTLCftmybWOs29D11Ta1mXosXOGCxSV9uowhr0P7Mdin1fYK5Css" + "efMWNq7NrY4Ps+3l9LTev963Wq72X6pEBdmwcY2r927Thk/KHbc2NDc5Y/Yb8s13C/XmY7yqETWK" + "FC4pyYz/9u7faR1ffILAuXPlMX7HxeXU6RPGyNwb5eTJkzH2Y18Q7Bzt6/V0sHKvDpxmtHszm0Xa" + "d6tr/o7U/ycLFywqOYz3rzLevWe79bvT29Kv9s7wpT/Ml+kfj9erYryqJ3YUNf7/myxZMlm/4U/5" + "999/Y5RJyAJ1beTPW0hyZM9rjHJ2Xo4ePWD8DnaH9AvmEWz/6v1S1Pidpkub0XzPb9qy3vSITxA4" + "Ie3//PkKGu/5ouYo7Dt3bZFDhw+ahzlyyMdWcMN+H699e31pXK+NWSYpf8kimDXLEEAAAQQQQACB" + "xBYIZ3+L+puv17NjzUP2+iXZUOebM0dO6fvcBEmTOq2oEXlVkDc+fRPdOw2T4sXKmpsP9TeM/XHg" + "y3/+Qt6bGv20IHsbP9TIdyWLl5VnO0T3y584dVx69Hko1KmwHAEEEEAAAQRcCISzzaJ2b7+/Bzuc" + "xAwCq36wAb0mG/30KUS1JfRTCEIFgRPSblHn4vUzqsDPzka+8ZzRZ7kmBo/9SXHx/SwjxsZYgAAC" + "CCCAAAIIXGEB3e4kCEwQ2NVbMZxB4MDRgPu81Mr6IDy2g7N3yqqOyZ79Ho4RZrjztgekTu0WkjFD" + "Jsem1KgH243H3E+aMsjxeDRVSIV9e3YdY5Z/b/pw+WvtSmnVvJuULH69pEr5f/bOOlCqog3jr5+E" + "dEiHdAoCElKCiCII0iEhIN3dnffSICEhLSAhSKioSKeEIhKCdHcjYH7zzjLDnLNxz93LLhfvs3/s" + "TrwT57fn7Jmd88w70aV4rO+QxjKfhQZ1arSmQgXfoujPR5dp/Mb1nxWe5b5bu1iIi7bodARAAASi" + "FgF1sw2EEJhJ8oKIxIldWz4qslkyvqyCZAqIdKLDwJjQJUIcFZtu3blBPfo9Fnc6Kd6hdShly/yK" + "NO3SpybVrdmO8uQqIieIVPl/xJaVq1bPoW++X0rslaZl4/5i4iiVypafvMXmhs3LadnK2Zb0yWO/" + "kvHzF07SuI97CM/IQ/W2mMrwwcP7NHFqL7Et1REqUqgUVX6vqd7+Utmw550lQvznRCSryvBnpgxZ" + "qVKFJmaS8A6cVG+h6VQIbAp2V3w1U7JQlUakjf49JgtB50uyqitXz4ttrZqqan1+8gTZ6KGL6fnn" + "o0m7LdtX0/zFroefPguKTPtWW/zdDB3Vxu2+7K2e+nXaU5GCZWT2d+sW0xer5lhMWcCoPDJxxsx5" + "w2jXns0WGxZ6h/SfJ9P4/GrduaIUD48fsVwe08nTv9LwsZ3pw3qdqFD+0payZkQ9TDWFwPsP7RIe" + "AbYIEV5zeV2Y9vzw9vMVU32KSE17e7hAvmLSi0LCBC/as+jipdO04qtZXr0R8EPaD97vpCddzQqu" + "37wqz29PngxM0TcLxQsXKkMvZ88vhJz/M6sgXmg1T4yFfj2y35LO58rY0KVS+MnC+2/WfEb1hFcq" + "u4ds9ly675etUmRtCnbNylhE2vTDPpQ5w8sWz+Z8/R8/eZAWLf1YCFbPmkVIbT+nHkyzQLJksYqU" + "5MUU0s6pBwf1O8fjto49q7l54eJxXr3329GreUrIB/VmJ7jtL4R3rVdeLix+34rKLE/e09nrcGMh" + "OM8kFiH8739Wvvfu36ON4jdu1eoFZtUy/M5b1ahy+UYyrM5JNyORYE6IK8Ev25lC4CEjW1LTBr2E" + "UDytpYp///1HCranzBhEt27fsuSZDxG8CYHZc3nFdz90uyb4vNn14zrLFsmWyh1G+NyoW6udZGw/" + "N7kK9lC2YPF4Ici/oGvk78x+zevMR4E3S75H5d52/3/AvxvrxfdxW9z3qjz6jV/1zVz6+ttFlioi" + "Mv53ne99xfmew+16Y+8kcz8bRe1bjfAoBGbh8qghi2VfvAk3LB1FBARAAARAAARAAAT+wwQCOd/y" + "etEyYr63vaTn6b+nv1j5f+2AXp/ouYM5C0bSjl0bHFdnjgcf/vGAOnSv5rEsj1eTvJhM5l29dln/" + "LzfH+Jcun6EBoS3cymfOmI06tx3zqOxFUnPgboZIAAEQAAEQAAEQcEQgkGMW7gA7wmom5lajRYuh" + "+5NSPBtQz8WfpBBY7Vb1599/UqiY++/Xfaps05MQOKLjFq5Yzd2G9xlVzux5qG3zENk3NX8sI7Y3" + "c2x08/Z16tn/A5sFoiAAAiAAAiAAAiDw7BBQ404IgSEEdnTWBlIIbIp/TI97jjrmxYgnVls1HUA5" + "subzYuFKZvHOgs/H07Yda7WdKWbatvNbIT4oqv8wsRF7keRJUBYcdWw90qP4RlcmAvMXj6Mt29eY" + "SQiDAAhEEQLqZhsoIbAnjOOGL9WCNX+FwDx5xCI/fu0/tFOI1OZStix5hGA3Hf355x/CA+oxIdD7" + "VXvEtPfDFAJfvX6JkiRObjfR8U3bvqRCwrv7C8IbjrfXciGS/VYIhtVLCYE5flt4YY8fN4HKsnyy" + "yO6nnzdR8cLlLOlmhIVww8a2o9NnTpjJ4Q6zIK5W1daynFMhcIfWIUIwnUcsHvmHOvWqEaYXTadt" + "mHzC+9Cybq02mtfZ88do6Mh2YbJgT6l9e0zT3yFz7z/0Q6/eOj1VaIoeWfw6cFhLi1mObK9Quxah" + "Ou2H3d/T7PljdZwD5sPag4f30IQp/eREqDqXlSi6e8fRYtFPdktZM6KEf6YQ2Mz3FvYl1vRWpk6N" + "VqLf5b1l6/TFX3xM6ze5BPAqsVqlRvTWG54f/Cob/ty6YzXNW2QVdDeu34UK5CtlmnkNs0h2JYv2" + "1yzRNqboWif6CPBD5tETurp56eUxV5MGfbTo0VMVLOof+VEHi5esft0/lr9HbL9m/RJ6u1QNS1En" + "QmD2YDG49yxZ7qLo30DbQ3AWbHZsPVy3Y2nAS8QuBM6Xp7BYTNZVXxteignvy7/SR5N7W34DzGvC" + "17kVOvBTSih2q+CXNyGwt3ZVOjOePL2/xTOGORFuFwKzsIDH2S9nL6Cq8Pi568f1NPPTUR7zwkrk" + "hwV9uk3Rx+bNnn9vho5orr1nm/cvdc2rstzvJg16CG/gxVVSmJ/q90AZRmT8z6KKVk2HuAmnVd38" + "yWJk9qqiXvb7+OC+M/U9lRfahOVVWtWDTxAAARAAARAAARD4rxEI5HxL3Zrif3ER1zzCmIldxa4t" + "ScTOGmKnuXiJ6LLYHeTM2aN08Ne9PndQ8cS7ZtVmVOr1SjJL/Wf1ZOctrWD+16lRvR4y++f922jK" + "jKEyrHbC+1fsbMc7j3jboYPny3nRHO/kwbsA8YJB+6JLc8eTNRs+p2UrXP+ZvPUJ6SAAAiAAAiAA" + "Ar4JBHLM4q1lc97zSQmB7Turbdq6miaN/lJ2wZMQOKLjFnOOL7zPqEoWL0fvV2sj+8YOPiZNG+AR" + "Fc//jhi8UObxHHirTt53gfRYARJBAARAAARAAARAIBIRUONOCIEhBHZ0WgZSCNyj01hKlzar7Icv" + "oYOjjj4yMsXFnHTpylnasfM76dkyQ7ocVOy1dywP2QeENtHexEwhsL3Nu/fu0OHffqTpc0ZQ767j" + "tQdKFhRv2vaVEJIdoQTCo9/rYsv4F8XW3uo1cVpvOnBor4riEwRAIIoQUDfbZ00IbG4F6eur2ndg" + "h/D0OdLtIZMpBFblfxSC3F+P7KXo0WPQu2XquXkOZW826zd9IcR+J8VDtqTSW+MLMWPJ4vYV36bQ" + "lQ247PYfvhUPvH6Toj0WR9q9R16/eYXWbVxKt2/foIzC8+gbxSvKuvnNk6hUZzoMOBXpqurMSSan" + "i2CctMGi3KH95qpmqEOPqm5eTnWmh0C2rLmoQ8vhMoe9ubbvWsWD1eMkFtYN6PmJXhTDouZR4zsK" + "ofjRx0YOQvZ+s3dW8+GlXfTK3px79Ktrqbl1s/6UK0chmTZ7wQj6YddGj0Lgt9+sLPqbWnhISmlZ" + "MLR5+9ey7E8/b6ZDh/eRJyEwiw43b1tF18SioOxZX6VXchfROwLcuXebuvWpbemTr0ixIm9RvZod" + "tQl7Ud27b7PcVo13IXg5ZyEtIOWJyIHDmuqxSsFXi1OjD3rqsveFkHPz1lXiIe4pSpYsjXhoXZ7i" + "xYmv8+3jK09CYB4r8bFfvX5RHHtmKdCP9ega5IpGT+hER48flnV6EgLzd79n70bhhfsgxYgRUyyk" + "KiK8Zz/2UK48MqtO8WTusIHz9cIF/k43bVkhvBBfotQpM1CJYu/pPBaq9hncQIseTSGwqo8/+WH2" + "5avnaM78EUJce9zMcguXKFaWaldvK9N37FpDcxaMs9goob5K/O34Adp/cLu4nh5QRuHdt0C+Nyxj" + "SbYzhcDsCZg9VCsvwPwd7ti9RvA5QLFjxaXXxAIIPk71sv8OBEIIzBP/+w/ukAs6MmfMJT0dswiA" + "Xw/E72jX3rW0mMGXENic+OeyP+3bIoQQu4XnkeiSi/m9rxO/60u+mM5m4XpVqvABlS3t2oaYv1de" + "NHL4yE/0nPCqnPvl16hwgbe1B2nz+zMfEtiFwGVKV9Gefrkz7FV3z88bxed5SpsqE70qvlP7whK7" + "ENjf8T//Vo4YsojixIojOfD5wPfFo8f3y/tijmwFLL9HCpZdCNykQTfKn7ekzA7vQg9VJz5BAARA" + "AARAAARA4L9AIJDzLeZcNe9UwjvE2V/3fr9Li5ZNdNupxm6n4vyfd0jf2XKugseC/UIaue1Sp2y9" + "ffJ/2apityN+8X88fr3zVm23xXM8f71o6QSxS91WaWO+tW0xiHJmyy+T2EPeV2IHjIO/7hH/nWOL" + "XfXe14vmvAmFzboQBgEQAAEQAAEQCJtAIMcs3lp/0kJgc8cAniMdM6GbmPN8zqcQOKLjlog8o6pc" + "oT69U7qWxLN+8wpavGyaN1RkPmuyP5PwWggZIAACIAACIAACIBAJCahxJ4TAEAI7Oj0DKQQeO+xz" + "7QnuSQhm+Q/OoF4ztTiAvZHNnj9ab4PGB8zb0HcQXt7ix00oj1/9ceGIJyHwr7/tFeLfEC1AKVq4" + "NH1Qq5Msy5OmoaNa0/Ub12Sc3/gPUMsm/bQg6cSpQ0Ic0kXnIwACIBA1CKib7bMmBC79xntUvZL7" + "FpGevjUW7/UaUM/yG2sXAk+fM1QIBLfp4qlSpqG+3VzbRnEiixj7D/nQ4i00Q7rM1K3DR7pM266V" + "tEDNnJzhB1zDx7WzPEB7q1QlqlaxmS7LAsSRH3Wx9PHdd2rRe2XrSxsWHw8e0Vrb+xNwItI1632v" + "XB0hiHYJWT+a3F2IpPeb2R7DTtrInfNV4XFysCzvRMhrbygsQa7dvk3zgRavoJ+vmEJrN6yymzmK" + "D+o9XQuKZ8wNFQ8tt+hyfbpOoNSpMuo4B3r0r0O3bt/SacobNj9U5Um7hw8fehQCqwKml+Htu76j" + "uQsen29sYxcCs8h2xEedLALljOkzU9f2j8v1GlhPeyZV7Xj7HDZoPiWI5xqH8DhhzMQe+hznMuwR" + "NVQIZaM/73ro/M3ahbTiy0/lGCNkwKeibCJZ9bkLJ2jspO56jMKJMWPGFB6UhwjBak5pc//B79St" + "b21dv10IzN6oJk3rb7lGWOzbud0YvbDpzLmjFDLKtT2uXQjMD8cnfdJHCDWt53Gl8vXEw+TH4uhx" + "4lxXNk0adBeCxhKyf96Ov2fnibr9r76bR1+u/kza24XALGL9bMlHtHP3Jpnv5M1sf+HSibRxy2pd" + "LP1LGal7xwk6vlYsIvh8+Uwd5wDbtBOi+Vjigbl6mULgFo17U55cRWUW8x8vjt0uTq5doyWVEIvH" + "1Mss/6SFwF99K/h94+Kn2uMxb/NGA7Sw4bt1i+mLVXNktjchsClgYMNpswcLAfkOVaX8LFemJlUs" + "10CG+dzo0L2K5dyyGHuJ9Ow8TnhdyyJzVwiv8N8YXuE58bWCJalhnW4y39y6z5sQmMfmo0KWkBK3" + "89h/nLhu/hFe09SLy3ZtN5pSpUyvksgUAkdk/G8yYa+/U2YOpF8O7NHtcIB/42tWaaX/w3CaXQj8" + "Zsn3qEZl1/3ZFECzLV4gAAIgAAIgAAIgEJUIBHK+ZfzI5XqMHBZTp/+B27caStmz5JXV7fxxHc36" + "dHRYVbvlV6/cmEqXrCrTebFz4oRJ3WzMhG0/fEOfLnz8v4bzeFzcuH43uSjQtLWHzf8m9jzEQQAE" + "QAAEQAAEnBMI5JjFWy+epBCYdxQY0m+2nItmhyx9BzeUz3HCEgJHdNwSkWdU5i4Mq8ROd19/t9gb" + "KjI1CvbnDV4LIQMEQAAEQAAEQAAEIiEBNe6EEBhCYEenZyCFwKED5lJC4UWXX4PF1r7nL5x11Cdv" + "RvXEtubFHm0Dz8KA3gPrWx7yq3Kv5ilCTRv2UVHqK7Yxv3r1spsQ2NxqTRkP6DmFkidLK6N2gZuy" + "4T9H7OkuTuy4Mqn3oPoWsbCywycIgMB/l4C62T5rQuAPP+hMhV59U38xvGp6t3hQde36FUqRIq0Q" + "2TaVHkOVwZYdq2n+ookqKhZahFK2zK/I+O6f1tOMuaN0ngqY29kvWT5FeOt1F4+OGiq8Jz76DTW3" + "QDeFwHM/G0Xbd65X1cpP09suJwwa3txtu0tT8Hr95lVxr3CJ1iwVhSPiRKRrVjd88Hy5GMXu7di0" + "sYedtJE/b1Fq0qC3LMpeVDv2qG6vxmec710TRq7QNrxV6Lnzp3XcDJhiZk5nb7DsMd/fV8V36wpP" + "0HVkcfO84T6p7UvNus3v3hRussfVUeNdAkFvokCuJ7xC4OFj27oJObkecxzj9GGpOQZhT0udelX3" + "6Lm5ohDSlnskpD0kvKGOn9xHPLB9PH7x5UmKr4PQAfPo+eejcTfps88n0Kat38iwKQTm86Rzrxoe" + "x0p2obMap9mFwJ6EmrIh8da1/UgtSFbb37JQedywZdKERZE9+te1LARQZc0FAaYXaLsQuL/wpnX5" + "yiVVzNFntw6jiHeJ4JcpUOZ4ow+6UMFXS3FQein3tlCgSKFSVL92F2nHb+r7ZxH3qCGPJ5hN9tr4" + "UWBAr6mUPGkaGTPHnE9SCHzk2D4aO7GnvWkZr1C2NpV/p54Ms2i3XdfKMuxNCFxLbGf8xqPtjD0J" + "C1QjndoOpyzC6zC/Pl00hrbtWKuyHH127zhaiK2zS1vewm/y9IFu52jLJn2FkOF/dPHSGVq6wiXU" + "9nbNFy74BjWo01XWx+dcJ3HO82IB+8t+bptC4IiM/4cPXqC9Da/duEwIy2fYm5Zx89rkBLsQ2Pzd" + "8vW9eqwciSAAAiAAAiAAAiDwHyIQqPkW3tlj2MAFmhTvHsFjwmPHD4odMKJR/nwlqbxY1Kv+Z/EY" + "uvfADzz+n1GVZBc777R/tPMOj0W79attWcip7ML6tI8V2Z4XHW7f+a3cKemFmLGpcKEyevEb54+d" + "1I2OHD3AQfni8W7j+j0tu7eoPPOTF8vOWzjebQxu2iAMAiAAAiAAAiAQNoFAjVl8tfwkhcDNPuyp" + "dwyYu3C02JlxnWw6LCFwRMctEXlGVadGK7Fzb3nZT9PxgSdm5jMoPMf3RAhpIAACIAACIAACzwoB" + "Ne6EEBhCYEfnbCCFwB+N/IJiRIsh+2GfnHTUOZuRKeywe3izmdLgvjMpSeLkMnnyjP60b/9uNyGw" + "EgibZT8es0pu5cZpoWPayG2izXwVbtsiRHuyC6svqgw+QQAE/jsE1M32WRMC84KKzJlyi+3KY9JC" + "IR60ey3kb6hpw+4WDzIdelTVoipTCGwX2Klv1/T2yL+jp8+cUFn6s79YdJHi0aILb0Lg1p0ruD2Y" + "MiehWGTZuvN7uk4VMAWvwRYCm0KuL7/9VGzFuVB1y+enEyFwoQIl6MO63WU9t+/eou59XcJanxUb" + "mXYBo7fJL9PzMBdnr8pDR7Vx+y6MqsMMJk+Wkgb0nC7teJvVLr1ryXA28dC0w6OHpivFCn7ladQU" + "HpuiZPN+600UyBWb30NYHoFv370pWLo8OMtOGW8f1utEhfKXlilOvTub4suf9m2habNCjRqtQX5Q" + "y69bt29KvhXKCeFmGZdw0xSOWku5YubiqI1bV4nreYrMMCdiPXm7Nevq222S9pA689NQ2vXjFjLF" + "knyNtRceX//66y+zmA6bnG/eukY9B9SX3nSVx92r1y7SxGl9tL09oM4JTldjMlMIvPeXrTR1Zoi9" + "WJjxwX1mUJIXU0i7kFGt6My5U7pMry7jxWKHTDIeloh1VMhiihMrjrRVQmBTQM07R3Tt/b6u2x4w" + "xfssMug31LXV75MUAo+f0pMOHd5nb1rGXUL7L/S4tlvf96WIwZsQ2BT4svez/Qd3e6y3zJvVqehr" + "ZWWeOk/ZG3yunAU92nPiPcFq6/bvZf5bb1SkapWaa1s+d3bsXkP7ftlOp84c8/pb4+2ar/JeAyrz" + "Zk1Z3569m8SiheG6bnvA9HRuCoH9Hf9v3vaN3iqR2/L2u8p5vGtJv+5TOShfdiHwS2kzUM9OroU3" + "ly6foQGhLu/Ayh6fIAACIAACIAACIBBVCARqvoX/lzZt2FvsLhNHLCg+KRakDXIbe7INj9n+97/n" + "Je4NYvH0Ih9bTndpN0ILb7dsFwupFz9eSB2e78scp3I5Hg+OHN/ZIirmBaHdOozT/3UuCpuBj8aM" + "vCB6QK8ZFm/HPB9yVuz+EitWXEqfLpvekYbrP3z0Z7GLRi8O4gUCIAACIAACIOAngUCNWXx150kJ" + "gQvkKy4XEHFbykmFatd8BnPsxEHhmMO1AF/lR3TcEpFnVKYQ+Ovv5tOq1Y8Xean+qU/TI7CvOTtl" + "j08QAAEQAAEQAAEQiKwE1LgTQmAIgR2do4EUAg/tP0dsZZZE9iMssYW9s+xR7rnnniP2iKe8epmC" + "DG/iMlWPuZJRebPjbZLbNBsqTVic0ndIY2UuP00vkpaMMCJh/dkIoziyQQAEnkEC6mb7rAmBnaBm" + "8djIIQvphZixpLnpLdUUAnvz1Gl6e+w1sB7duHnDrdm+3YUAMUV6me5JCGx6rzQLm5NQ3kR4T1MI" + "3LrZAMqVo6C8d3XtW8vy0M48DnvYiRA4bep01KvLx7qoJ6G0zvQQMD3r8r21VacKblZ8H+zbYxq9" + "EOMFmXfv/j3qL7zq37t3z802vAkhYpeARI92CRgQ2kQ82LxAlSvUp3dKu0TBLFLs2n4sJU2Sku7e" + "EwLLPi6BpSncNM8Vb6JA7pcpUA1LCPzb8f00ZoJLYG0/pgZ1OlDhgm/LZKdCYFOI680jtr0dFTdF" + "+Cu+nk3frFmistw+Xy9ahurUaC/TTe+hZvtTZw6kvb/sdCurEurWbEPFi5STUTWWMYXAnsZKqix/" + "mt8Bx1nYWKzIW1SvZkeOhus1anxHOnbiiHjo/rEQTKaTZcPqv7cGzEnevkMa0NVrV7WpmafOQ51p" + "C3RqO0J4vn1ZpiohsHl8R479Irzx9rCVehxN8mISGtxnjkwwFy48SSFwx57V6MGDB48btYXMhXGK" + "sTchsOklw1aN1+jJ07/S8LGdqX6d9lSkYBmvdpyhhK+8KKFXl0ketzv+999/6PTZo/Tz/u3Cm/wK" + "/R+Ay5vnmymsbtG4N+XJVZRNaMnyyaLclzLs6e3dMjXpvXIuL/FKCByR8f/2Xd/T4N6zZFN//i28" + "LndxeV321DanfTzmS/n/hsOKB4f5ZT7I4d/eLr1c4mZXLt5BAARAAARAAARAIOoQeBrzLSZdc/eW" + "344fEP8XXbvSmDYcZmHuiMGPF//aFyHa7X3FmzToTvnzltAm5jyMThQB878up6t5geaNelHe3MWk" + "KY+pFywZT1u2r5FxfuMxePNG/fX/G04bM7Er/XbsIAfxAgEQAAEQAAEQ8IPA0xizmPNHYc1Nejsk" + "HhcM6TtHPv+5L3aU6zWgnmV+0XwG40kIHNFxi7d+menenlHVqNKE3ixRRZquXrOAVn493yxmCU8a" + "vVIv7urRv45wxnHLko8ICIAACIAACIAACDwrBNS4E0JgCIEdnbOBFAK3bzWUsmfJK/vB288v9uFB" + "wd7Z8aOWa08FavvykUMXUtzY8aSp8hxnL6fi5vbGG7aspEVLp1o8AnvyfGBuU63qcfK5efvXtGDx" + "JCemsAEBEPiPEFA32/+iEJi/IvP3e8GSj2jztu/kNwchsHdxJovUxoR8LkVeBw/voQlT+jk+250I" + "gXmBzLhhy3Sdg0c0F956z+p4WAGzjWs3LlOfQR9aivAE38Be07V3IX54OHpCZynOtBj6GaleuRGV" + "LllNll626hNas245Ke/RN4RH0F7Cm2ytas3pjeIVpQ2v0r995xZNGLlCxs+eP0ZDR7bTrXsTBbKB" + "+XA0LCHwLwd/oI8/GaTrNQP+CIG7tBspPELllNUoL7tmnb7CnhYxebPP/XJ+atXE1e9LV87SgBCX" + "h1VTCDx6Qic6evywtyrog9rtqGihd2T+th++oU8XTrB4BFYiT68ViIzJY7/S2SzUfuP196jCOx/o" + "NKcBJfo1hcD+PkgfP1KMIaNFl03bFyOY/Q3LE4T5fSghsDcBtqfjtF+zyrv6kxQC28Wk9n6Yi/Km" + "zxlKe/ZuEwKBQlIEwLamN2mTjb0eb3ElFg+PEJjr4t+b96u1pIL539SLTuxtsLD2MyFgUNsServm" + "u7YfSRnTu665pSun0ffrXb8Z9vo4bn5/SggckfH/DrFVc9f2H8mmvC2wMPsxZtjnejtn+3dnivB5" + "W+nWnV2/hWZ5hEEABEAABEAABEAgKhB4GvMtJtfUqV6iPl0ny6T7D36nTj1rmNk6XPbtGlTp3YYy" + "fvP2derZP/z/g1Rl71dvQSWLuXY8CmtnI3MHvkHDmwvvxmfFgrPHO9x5W1TKgppBfWbqBbrhnatX" + "fcUnCIAACIAACICAi8DTGLM8CSGwOefJzzE2bFpu+UpjCCchTRr0lmk877x0uWuHq9Nnj0kxbUTH" + "LZbGfEQ8PaOqVL4elX2rtiy1ZYfYjWGR590YTDEzG4flTMFHN5AFAiAAAiAAAiAAAk+dgBp3QggM" + "IbCjkzGQQuDaNVpSiaIuj4MPxKrCzr1quG255qmTyZImF2KkmTpLeQA0hcDePCOoQqZ3sC++nE7f" + "rf3CIgTesWsNzVkwTpnLzwTxE9CwgY+3EVn8xWPPixZDW2Tnng1PxFuirVpEQQAEIjEBdbP9rwqB" + "zVXdylMofx0QAnsXApsPASdO600HDu11fAabIl1vD+24MlNEtmfvJpo+Z7jjNob0m0UvJkom7Q/8" + "upvO+zmfAABAAElEQVQmTu1vKdu2xSDKmS2/Tvt8xRRau2GVjkc0YG57f+yE8Ko0sTtNHLVSCKf/" + "R0qsa4pb5y8eR3fv3tKCRSUeVv3wJgrk/KcpBG7ZpC+98nJh2c1FyybRhs1fqy6H+dmkQTfhBaqk" + "tFu1eg59/d1ir2VKFCtLtau3lfnm4iZTCKyEn94qqVtLeAQu7PIIrDwomGLE6zevUO+BDb0Vt3ho" + "ZSP2RlUwfwlqWKebLuNkLMXecjduWS3LmEJg9hJ95+4dXZfTgCl+tQvm2dt53DiuRWX2PHv9njwC" + "F3ntTar/fmdp6ss7GBuYE/OmsNOpEHjs8KXaO7fy9MX1jhjyGcWLE5+DpMbIMuLhzbzulTDcmxB4" + "+OD5FD9uQlkLT6Sfv3DCQ43WJPYKcvrMCeKx+4uJk1szbbFDh/fZUlxR9laeU3hSz5o5L2XMkEMv" + "BORcFteGjm5NZ86dspxvpkfgxvW7UoF8b8jKlq6YSt9vWCnDnt48eQSOyPg/RvQYFNJ/nmzq77//" + "ojZdKnlqVqf58gjM21AP6Dld2t66c5N69KuryyEAAiAAAiAAAiAAAlGJwNOYbzH52neMsC/gUrbm" + "7hvfrl1Ey7+cq7LC/VmhbG0q/049We7EqUM0YlwXr3WYY/xxk7vT2XMnaNSQx/8dfQldzF159h3Y" + "QZOnD/baDjJAAARAAARAAAR8E3gaYxZzvtFfj8Ad2wyjrJly+z44D7lqrjsi45bDR/Z7qNlzkqdn" + "VK8XfUfskudyFnLqzBEaNqajx8IpU6QRO8+5BMxOFu97rASJIAACIAACIAACIBBJCKhxJ4TAEAI7" + "OiUDKQQ2hTjcGfUnIayOVa/cWHgNrCrNTK+Fppc9Fpas3/TYC529zqH9Z+sthz+e3o9+ObDHIgRW" + "nu/s5dRWIfzHoE2X97wKl9nLW5zYcYVA4R+P297b60UcBEDgv0VA3WyfJSFwxvSZqU2LYfKLOHnq" + "Vxo/uY/XL6VP1wmUOlVGmT97wQj6YddGGYYQ2LsQOGTAXOlZ57YQr3bvW8crW08ZToXA1So1orfe" + "qCar4PtU9361HQklTeEfF548oz/t279bd8Xc+pQT9+zdKETGI3T+kwooASMLPz+ZPViLfGfMDaHd" + "P20l9lCkPADv/WUr/X7/rvZYa/fsGlmFwOaD1Z0/rqNZn472iI+9TLVq6nro+scf92lgaAsqX/Z9" + "7U13/6GdNGnaQI9lOdH0VrxB7Lqw6NGuC6YQeNO2L4VHVZcnK08VDeg5hZInSyuzZs4bRrv2bLZ4" + "BOYxTiexiOvBgweeipMp3L5154YQLtajtKnTUa8uroVUYXkU5q10owsh5e/37+k2TCFwWCJXj50S" + "iT06jaV0abPKbCV+Vbam91hf41L2GjE6ZIn2Vqs8ApveY++JfnfpVVNV7fZZMP/r1KheD5l+6fIZ" + "GiC+Y36ZQmBvgnu7N2FvQmDlSVlWbHvja2SMOAYW2/NLCavN3wPTI3CH1iGULXMeaWvnJhMfvTGb" + "hAkSy9j1G9fMLEdhFt7y687d227jbP4NeKtUFe1Zje1Wf/8ZrfxqnlchsPnwISwxg3kPUx6BuY2I" + "jP9NcW//kEZ0+colrtLtZV4bnGkXlPA9WnkXPidE2ENGtHGrAwkgAAIgAAIgAAIgEBUIBGq+pWOb" + "UEqbJotEOP7jbnTy9HGPOLNnzUXtW7oW3d6+e1P8v3dfoGUu4uJKfI0DPTZiSzT/W90UO+b0FDvm" + "eHuZu+jxjnl3xbh6bOhSbW7+d9CJjwLmwrif9m2habNC7SaIgwAIgAAIgAAIOCQQqDGLr+afhBDY" + "7hDEV3tmnppLjci4JX7c+BF6RmWO0x7+8YA6dHc9KzH7yeHCBd8Q8+ddZXJYuy3YyyIOAiAAAiAA" + "AiAAApGNgBp3QggMIbCjczOQQmDugNr2m8P3fr9LYyd1pXPnT3PU44snUvv3mKZFC1u2i609Fru2" + "9qhTo5XY0re8LHfn3m0hOKnjJiDgzEIFStCHdbvr+tXWzy/nyEttmg2V6d6EwH27T6JUKdJLG19e" + "xUzvC6PGd3xiW6frTiMAAiAQqQmom+2zJASOEyeO9hLDQszOvT0L/Fy/w5+I3+Hn5HegtprkiCmi" + "8vagq3vH0ZT+peyyrF28KRPFm/lba4r91Nb0f/71J7XrWlmZ609zS6e7v9+hrr3f13kqYIpJn8Qk" + "jxORbpZMOalTm5GyC8qzquqPk08nbXA9fGzs0fSFmLFktWfPH6NR47vSw4cPvTbD32fndmO0B9Ez" + "545SyKj22t6cuOPE8xdO0tBRbTzeX3UhPwN1aram14u8K0vzQh/lodg8B5QQ9L7YSeAvcR6w59Mr" + "Vy9Qv6FNLK1GViGwOcnIXmBZSOvp+2nVtB/lzvmaPCbegm3ClH5kCjQ5w7z2zINnr71D+s6l//3P" + "JfCct3gsbd3+vTQxhcB8HXXqWV1w/MssLsOmh2ZOCBnVSnpdNT0Cc7ovz1am9wjllZjP0fEjluvf" + "D29ed9nL1uA+s+Ux/Pn3n9ShWxV5zqnvn9s2zwuOO32ZXplnfhpKu37coouau1X4esD+etEywrvE" + "4+tECYHN844r9Sbk5TxzrPjjz5uE+N0lJihZvBy9X80l8jx8dB+Nm9STzS2vt9+sTFXfa6rTzIf5" + "SlDPmafP/iY85nbQdmbA9FLOjNt1cf2mmueZKQQ2F+Kpc9KsT4VND7ymx3iV7+vTvA/x/4IuvWt5" + "NDcfSPCigKkzQ7wKgc3j4cq83XeSvJhEnHNzdHumENi8J4V3/D+o93RKmiSlrHf3TxtoxlzXvUA3" + "9ChgbrvISXYhcL48halZw77S+tCRn3wu1nlUJT5AAARAAARAAARA4D9JIFDzLfXEjijFHu2Isnnb" + "V7RgiWsBox2ip/9qdhvzfx/PUXfrU9tuEq44z3Xw/6jnn48my438qD0dP3nUrQ5zzMiZ6n/CqJDF" + "FCdWHGmvHGK4FRYJ5qJJtYOeJzukgQAIgAAIgAAIhE0gUGMWXy0/CSEwz29myfSy12ZiRI9JTRr0" + "lvmXrpylpSumyfDpM0fp1u1bYj7X/3FLrFixI/SMyjX3/IXWEChxsv1gzPk6tRuh3QZxEAABEAAB" + "EAABEHhWCKhxJ4TAEAI7OmcDLQTOlCErdWk3VveFhQgz54TQ3l926jQVYKFuAyHgVdsdswio14B6" + "2ksci1OG9purB/js9WvqzCEWsRJ702rVdKj01sv1mkIGJ0Jg03vbA7Ga8JNZg+jgrz+rLsrPd9+p" + "Re+VdXlm4G2A23WrbOmDxRgREACB/yQBdbONjELgIoVKUZ7cRSV3FvyaHl6GDZpPCeK5tn5nb52j" + "J3S3iARZpNWpzQi9IOLq9UvUd3Aj/R1CCOzZI3DzRr2EiLOY5KS8bmpoDgJOhcBclV0gyGLn0eM7" + "kSfPnOyZv0WTgRQjWgzdCyVo5AQWY/YTi29ixnhB5rOH04EhjR15GdYVhiOQOWM26tx2jKWE6fmf" + "M0wxojL0JDY0BZlXrp4XQuHHoklzRwJPnnVNz5y/HPyBPv5kkGrK8ml63f1IbLn6q4Oty+xi7WMn" + "DtK4j3tarrNUKdNQ325TdVvzF4+jLdvXyLgpHuXjGvdxD8t3y15027cM0R677QujTCEwV8hbpLFY" + "3BQDs0fW7p0mSA/WbHPu/HEaMrItBy0egTnOvyFzF47SXsE5jV+Vytejsm89ftg9YWovPV5qWLcj" + "vVbgLWnn6Rg4o1Pb4ZQlYy5pY3oOfhJCYNOz8rpNX9CSL6bLdvjNNZb8VAuVf96/TfxGhljGcSzs" + "b91ssL4uuJx53TT6oAsVfLUUJ5OnsSJPhjes21nbsJ0piDbHo548e6d/KSO1bzVCC/65vHrAz2FT" + "CMzxLTvEorlFrkVzHOcXXwOthcdpJSRYu3EZfb58hswzhbOmEJjPi9AB8/Q42xTKyoLizfSIzGm+" + "PAerMvbP4YPnU/y4rvvQiq9m0jffP/Zepmw7tR0hzg/XAwnVD1/X/ND+c8ROIElkcX5AMfKjTnTv" + "3j1VHfF1063DOEryYgqdpurlhIiM//meW792F12vJ0FFuTI1qWK5BtqGA3YhsOlNfM36JbRs5WyL" + "PSIgAAIgAAIgAAIgEFUIBGq+xe44Qu1MY3I1/5tz+qz5w2nn7k2miQyb/1u9Le6zF+L/CU0b9tT/" + "RViMfODQXm3Wull/ypWjkIzzYtjREzpLsY0y4P/vXcWYNmF81+4c/F9mygyXw4t2LYdQjqz5pCkv" + "mg4d1dryP5IzzPEmx/0Zy3M5vEAABEAABEAABFwEAjVm8cU3PELgmlWbifn/pLK6k6eP0Ddrlviq" + "WufxmGXS6C9lnOe2eW7Z/orIuCWiz6jMecMHQkfQ09ARcD/N3dg4PnFab8uYi9PwAgEQAAEQAAEQ" + "AIFniYAad0IIDCGwo/M20EJg7oQppFGdunn7Oh07vl94MjtCcYUYIGO6nJQpQ06VLT+nzxkqtiff" + "ZkmrVa05vVG8ok5jb27sZe3W7WvSAyULsZQXS97Sus/ghnri0xReePMIzBX3F1tlp3i0VTbHWUx8" + "/ORBih0rrvTelzRJKk6WL1/1KBt8ggAI/PcIqJttZBQCm5Mw7JG0defHv5l2L5f3H/xO/Dt27fpF" + "4dEwNRUpVMYiPrM/GIIQ2F0IHDNmTBoT8rn0bOr0AaD9ijAfNq742r0Nu73poUjlseDyyNGf6eq1" + "C5QmdWbKnOkVLfpWNnZvxXbRKNtduHhKmYf5eeHSKe3lNEzjRwZjhn1OsR55NOakrULEOM8QMZoi" + "XlXngNAmdOnyBRWVn75EgabQl415wvLuvZu0Y+cauRDJzH/SQmBu7603KlK1Ss05KF8s1v7lwHa6" + "fOUcpU6ZXmxNVkZ7871+84oQ23+ohah2D83s1XfXj+vo4qXTlCxJGirw6huWa3TuwtG0/Yd1qiny" + "9J3ydrYHDu0UDM9SKtH+yzle096iuOA4IXI+/EjkbPcIrCo+cuwXMW77hZ4TXohzZH2V0qXNqrKE" + "J2Grl2leUDB84AItQuVj2Cu2nT1/4QQlS5aGcuUsrBd9cSXmeO9JCIFNb8c8fhv5kXWy2j4uvXrt" + "IvF5cFdwypA+B+XMVkB/P+ogTSEwH1/owPkU/fnoKptYcM7j2tjCg/WreUpob9dssGfvRnGMI7Qt" + "n7ujhy4WbTwv03hRGbd/6sxvlDZ1Rsr3yut6LKsK+RICs83Fy2eEEHu3EL/edjuGP/76Q3goe197" + "pvYmBOZ6zN03OM4icR4H//HnQ8ElvxhrZxN9c3mi9uSpm8uE9apfpz0VEdeAerEQfM/eTWIBwk0h" + "1E5GhYTIOmWKdCpbi7B9XfOmkJcL8qKG/eKauyCuG0/nPNuYQmCOR2T8bwr4uS4+pl+FV18Bi7Jn" + "yau95HOeetmFwOb91bwmlT0+QQAEQAAEQAAEQCCqEAjUfAuLWgb2nkFJEifXKI8c2yf/K/1PjHH5" + "f4o5N20uWNQFHgU6tA6hbJnzyNj6zSto8TKXpzy7nRnn3XoG9Hy8SHHJ8im0buMqbZIsaXLq2eVj" + "euHRQl1edLh7z3q6dOUMJU+algoVLK0X+fKCwj6DG+g579SpXqJenSfp/zE8J75L7FZxSoh+YglP" + "wa+K/xg8LlYv7EChSOATBEAABEAABPwnEKgxi68ehUcIPH7Ucj1/eUDMG06c2t9X1TrPiRA4IuOW" + "iD6jYicK3TtO0P3lecBNW1dS3NjxKX78RJQnl8tJDhucOXdM7ITXTtsiAAIgAAIgAAIgAALPIgE1" + "7oQQGEJgR+dvMITA3JHiRd6W2yArz2S+Osdeg79cPYe+W/uFmxn/AfmwXhcqkO8NtzwzgVcBzpk/" + "wuJ52KkQmD0stBPe9pInTWNW6Rb2NSHsZowEEACB/xQBdbN91oTA/CV8WK8TFcpf2uf3wQLiL1ZN" + "p+83rLTYmUKl/iGNhLDxkiWfI907jtaiJ29btJvbsHfpU1N7bpw89itZHwsH23Wt7Fa3OQnFXm66" + "9n7fzYY9sk4YuUKmswCz90CrF0a3AmEkhCXSfatUJapWsZmsZfKM/rRv/+4wanTPDqsN9xIuz8BV" + "KjTWojxPNiqNPeyzh/tDh/epJPnZpEF3yp+3hCUtPBF/JtJMb7Hc1iezh4gFPdt1s67tvZZrISQv" + "+Ok5wOWFXxuJgC9RINcxOnSJfkiqyinhX6CFwNye6TVWtW//5PHOsNFthED2rCWLvT5XLt9YP8S1" + "ZD6K8IPfb9YupJVfzbNkexICWwyMCNex/KsZlvGWXQjMNmqBlVFUB1k4PnZSdzcv0rlzvkqN6vey" + "iJZ1ISNg99j7JITAXP244UulR18WwbbvWsVokQTX56hlE/a2VdCS7itiCoHZjr0GN/uwH8WNE89X" + "MSEQ3iV3rzA9MnMB+8I2eyXsjf1/QnSdOGFSmeVNCMwP+JUw114Hx3mxx+Tp/em3Ywd1ti8hsItN" + "P+2JTBeyBfj3d1BoU7fv3WbmMcpt9O0+2bLozqOhSNwgRBWLHokqfF3zXL5CudpUvkw9b1W5pavf" + "A5URkfE/XzcdW48UC2pSqurcPvlcNL2z24XAapEEX3O824j9nHGrEAkgAAIgAAIgAAIg8B8lEMj5" + "FhbP9O46WYttvSHk/7oTpvT2Ot41d+mwL870VmdYQmAux7vodGw9yud/QR5Xzp0/0s15hjmv4K0P" + "nM678gwe3kIvFPRlizwQAAEQAAEQAAHvBAI5ZvHWamQRAnP/IjJuicgzKm67asWG9HapGhz0+uJ5" + "0ZBRLYXjlKtebZABAiAAAiAAAiAAAs8CATXuhBAYQmBH52uwhMDcGZ7wrF65uRSIeRJOsJiBBROf" + "fjbW60SrOqiCrxansm/XFiKCl+Tk6EPhJYG3NueB/VHhsW7OgtFaWKbKOBUCsz2LFGpUaUb58rwu" + "PComkls/K48MvLrw2+8X0NoNK7QHP9UGPkEABKIGAXWzDaYQeHAf4blGbGt+++4t6t63jlfQpkdg" + "b0LYnNnziN+4llqIxZ5m+DeOP0+dPkzzFo7xOEFiihsDIQRWW8ZHViGwpwd8g3pPl+Ivb8Jkr1+U" + "kWE+sPPUhmFqCWZIl1neVzOmt3rUV0bsaZQ9lc5fNN7jfTWiQmC+Z0+aNkA15+iTz722zUO0bcee" + "1ejBgwc6zoHeXcdTmlSZZNrajcvo8+UzLPkcCUsUyO1UrdhUeqBVnluV8C9B/AQ0THis5ZdTj8CD" + "hjcX3pKtgl1ZgY+3V/MUoYrlGwpPvqmlFXtV5bEKC/32/rKV5i8e7zZWUdWlTJGGatdoRy+lySTL" + "qGuUxcPnxEPpxcsm04lTR5W5/jSFwNNmDyY+N4oXLW950M3nxVnh6XXxsklixwNrHaYQ+NSZI9Lm" + "/erthKda1/ehGrp15wb9LI5h0dIpXsdC7Dm3Yd0ulCljbosXaK6DPVgvW/mJZcEWpz8pIXDj+l31" + "orEJU3sJb7k/c/WWF28TV+y1suL6fbzTAxuwp9tlK6fRawXfIt5pgl92ITCnsTfwD97vQNmy5JOC" + "YPUd8fd7+cpZYs9gG7esZlOPr7Ki/Qpl60vPyaosj2ePnzxEM+aGigV0LcUxlCJe3NaxR3VdhxId" + "8Hg0ZGRLqlm1hdixorBFLMBljgoPxbOFQODevXu6LAf4d6Nbh49k2satq2jh51Ms+RwpWbwclS5Z" + "VdxzUlqE4P/884/wIv+tEJDPcqvXrRIfCcyu3Nu1xLlZweKdWhVhT8TrNi+nbTvWqqQwr3k25Ou+" + "UvkPxe9HRsHD5XGZ0/m+uXXH13Rb7EhSq2prTnLzCMxpERn/c9l677cTfShk8cbOC2tYSMKer3t3" + "naLF8aYQOFOGrNSl3VjugvRg7mnLRZmJNxAAARAAARAAARCIAgQCPd/CY9Eq731IRQuXlV7y1Fic" + "0fKCPN61Jqyts9UiLi4TOqYNnT5zgoM+X3Yh8Iy5IbT7p61uZXjRIY/x1X9iZcBz3idOHaLPloz3" + "OF/DdiwOqlW1Fb2cvYAcx5vHdu/3u/SNmM/+fr1r4bSqF58gAAIgAAIgAAL+EQj0mMVTr3hOfEzI" + "EukYYLfw/j9j7khPZjLN9Ai8bee34tn7eK+2ZgbPcU0a/aVM+kns8jZtVqiZbQlHZNzi7zMq1QHe" + "WbBOjfbymRnPx6q5d5773ndgh9AJjMHCJwULnyAAAiAAAiAAAs80ATXuhBAYQmBHJ3IwhcBmh/jP" + "SrqXMssB+vXrl8WW12foxs0bponjME9yPnxwn/4RQmK72MFxJT4M2bNgnNhxKHbseHRbCF8C0YaP" + "5pEFAiAQCQmom20whcCBwMCTOkmTpKDfxQOhQP2GBqLfkanOjOkzU2ohOmPPqEePH34qXeN7auqU" + "L1Ei4T30hRdi010hert56yqdPnvCq0jzqXQ0CjfK26U9fPhAijXDO95h4TK/2ENsWGVNIfCYiV21" + "J1geKyUUW6PdvH2Drl697PWbsAuBh43pKG35HEuWNCVFe/55OnfhTLgnUVkUnFyUfyAYXLt+Jdzl" + "vXbYS0aqlGmob7epMjesCWv+HUyTOj39/fff4jo+49c1w3yiR4suxopxhZD4Yrjq4O/mzz8eUvQY" + "MX1+N14OVSbzMaRInppii21/uf1bt2/5Mnecx/UmT5aaYonju3HzWpjnn+OKDcN4ceNRvHgJJH9e" + "FHjr9s0ncn6w0IJ5nBff6cOHD40WnQUjMv5Xv8m37tx09J02qNOBChd8W3bMX8/yzo4KViAAAiAA" + "AiAAAiAQ+QkEc76Fx6L8ihs3AV26fC5c4/hAk+QxZeJESeSY9sKls+Gej1b/I+OIXUyC8R8s0DxQ" + "PwiAAAiAAAhENgLBHLNEtmO39yci45aIPqNS5R+IRVPsxODO3Tv27iEOAiAAAiAAAiAAAs80ATXu" + "hBAYQmBHJ/LTEgI76hyMQAAEQCCSElA322ddCBxJ8aJbIAACfhLwJgR2Wp03IbDT8pHJrkensZQu" + "bVYhMP2LOvSoRn/99Vdk6h76AgKSAD+sGB36ufTazcLhHv3qggwIgAAIgAAIgAAIRGkCmG+J0l8/" + "Dh4EQAAEQAAEnhkCGLM8M18VOgoCIAACIAACIAACzzQBNe6EEBhCYEcnMoTAjjDBCARAAAQsBNTN" + "FkJgCxZEQAAEnjIBCIEffwG8PVy7Fq6t61avWUArv57/OBMhEIgkBN5+szJVfa+p7M3nK6bQ2g2r" + "IknP0A0QAAEQAAEQAAEQeDoEMN/ydLijVRAAARAAARAAgfARwJglfLxgDQIgAAIgAAIgAAIg4B8B" + "Ne6EEBhCYEdnEITAjjDBCARAAAQsBNTNFkJgCxZEQAAEnjIBCIGtX0CH1qGULfMr9Mdff1C3Pu/T" + "w4cPrQaIgcBTJBAtWjQaOWQhvRAzFl28dJoGDmv5FHuDpkEABEAABEAABEAgchDAfEvk+B7QCxAA" + "ARAAARAAAd8EMGbxzQe5IAACIAACIAACIAACT4aAGndCCAwhsKMzCkJgR5hgBAIgAAIWAupmCyGw" + "BQsiIAACT5mAKQQePaETHT1+OFw9SpQwEYX0nyfLnDpzhIaN6Riu8pHNOHGiF2lI39n03HP/ow1b" + "VtKipVMjWxfRnyhMoGrFhvR2qRr077//0pCRLej8hbNRmAYOHQRAAARAAARAAARcBDDfgjMBBEAA" + "BEAABEDgWSCAMcuz8C2hjyAAAiAAAiAAAiDw7BNQ404IgSEEdnQ2QwjsCBOMQAAEQMBCQN1sIQS2" + "YEEEBEDgKRPInfNVSp06k/B8+zut3/RVuHvDHkrfKlVFltu3f/t/Qpj4ap4ilCxZGvrlwA907vzp" + "cDNBARAIFIHsWXNR+nQ56MqVc7Rn77ZANYN6QQAEQAAEQAAEQOCZIoD5lmfq60JnQQAEQAAEQCDK" + "EsCYJcp+9ThwEAABEAABEAABEAgqATXuhBAYQmBHJx6EwI4wwQgEQAAELATUzRZCYAsWREAABEAA" + "BEAABEAABEAABEAABEAABEDAbwKYb/EbHQqCAAiAAAiAAAgEkQDGLEGEjaZAAARAAARAAARAIAoT" + "UONOCIEhBHZ0GUAI7AgTjEAABEDAQkDdbCEEtmBBBARAAARAAARAAARAAARAAARAAARAAAT8JoD5" + "Fr/RoSAIgAAIgAAIgEAQCWDMEkTYaAoEQAAEQAAEQAAEojABNe6EEBhCYEeXAYTAjjDBCARAAAQs" + "BNTNFkJgCxZEQAAEQAAEQAAEQAAEQAAEQAAEQAAEQMBvAphv8RsdCoIACIAACIAACASRAMYsQYSN" + "pkAABEAABEAABEAgChNQ404IgSEEdnQZQAjsCBOMQAAEQMBCQN1sIQS2YEEEBEAABEAABEAABEAA" + "BEAABEAABEAABPwmgPkWv9GhIAiAAAiAAAiAQBAJYMwSRNhoCgRAAARAAARAAASiMAE17oQQGEJg" + "R5cBhMCOMMEIBEAABCwE1M0WQmALFkRAAARAAARAAARAAARAAARAAARAAARAwG8CmG/xGx0KggAI" + "gAAIgAAIBJEAxixBhI2mQAAEQAAEQAAEQCAKE1DjTgiBIQR2dBlACOwIE4xAAARAwEJA3WwhBLZg" + "QQQEQAAEQAAEQAAEQAAEQAAEQAAEQAAE/CaA+Ra/0aEgCIAACIAACIBAEAlgzBJE2GgKBEAABEAA" + "BEAABKIwATXuhBAYQmBHlwGEwI4wwQgEQAAELATUzRZCYAsWREAABEAABEAABEAABEAABEAABEAA" + "BEDAbwKYb/EbHQqCAAiAAAiAAAgEkQDGLEGEjaZAAARAAARAAARAIAoTUONOCIEhBHZ0GUAI7AgT" + "jEAABEDAQkDdbCEEtmBBBARAAARAAARAAARAAARAAARAAARAAAT8JoD5Fr/RoSAIgAAIgAAIgEAQ" + "CWDMEkTYaAoEQAAEQAAEQAAEojABNe6EEBhCYEeXAYTAjjDBCARAAAQsBNTNFkJgCxZEQAAEQAAE" + "QAAEQAAEQAAEQAAEQAAEQMBvAphv8RsdCoIACIAACIAACASRAMYsQYSNpkAABEAABEAABEAgChNQ" + "404IgSEEdnQZQAjsCBOMQAAEQMBCQN1sIQS2YEEEBEAABEAABEAABEAABEAABEAABEAABPwmgPkW" + "v9GhIAiAAAiAAAiAQBAJYMwSRNhoCgRAAARAAARAAASiMAE17oQQGEJgR5cBhMCOMMEIBEAABCwE" + "1M0WQmALFkRAAARAAARAAARAAARAAARAAARAAARAwG8CmG/xGx0KggAIgAAIgAAIBJEAxixBhI2m" + "QAAEQAAEQAAEQCAKE1DjTgiBIQR2dBlACOwIE4xAAARAwEJA3WwhBLZgQQQEQAAEQAAEQAAEQAAE" + "QAAEQAAEQAAE/CaA+Ra/0aEgCIAACIAACIBAEAlgzBJE2GgKBEAABEAABEAABKIwATXuhBAYQmBH" + "lwGEwI4wwQgEQAAELATUzRZCYAsWREAABEAABEAABEAABEAABEAABEAABEDAbwKYb/EbHQqCAAiA" + "AAiAAAgEkQDGLEGEjaZAAARAAARAAARAIAoTUONOCIEhBHZ0GUAI7AgTjEAABEDAQkDdbCEEtmBB" + "BARAAARAAARAAARAAARAAARAAARAAAT8JoD5Fr/RoSAIgAAIgAAIgEAQCWDMEkTYaAoEQAAEQAAE" + "QAAEojABNe6EEBhCYEeXAYTAjjDBCARAAAQsBNTNFkJgCxZEQAAEQAAEQAAEQAAEQAAEQAAEQAAE" + "QMBvAphv8RsdCoIACIAACIAACASRAMYsQYSNpkAABEAABEAABEAgChNQ404IgSEEdnQZQAjsCBOM" + "QAAEQMBCQN1sIQS2YEEEBEAABEAABEAABEAABEAABEAABEAABPwmgPkWv9GhIAiAAAiAAAiAQBAJ" + "YMwSRNhoCgRAAARAAARAAASiMAE17oQQGEJgR5cBhMCOMMEIBEAABCwE1M0WQmALFkRAAARAAARA" + "AARAAARAAARAAARAAARAwG8CmG/xGx0KggAIgAAIgAAIBJEAxixBhI2mQAAEQAAEQAAEQCAKE1Dj" + "TgiBIQR2dBkoIbAjYxiBAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAAAiA" + "AAiAAAiAAAiAAAiAAAiAAAgEnACEwBACOzrJIAR2hAlGIAACIAACIAACIAACIAACIAACIAACIAAC" + "IAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIAACIBA0AhACQwjs6GRTQuC/T150ZA8jEAAB" + "EAABoufTp5AY8NuJswEEQAAEQAAEQAAEQAAEQAAEQAAEQAAEngwBzLc8GY6oBQRAAARAAARAILAE" + "MGYJLF/UDgIgAAIgAAIgAAIg4CKgxp0QAkMI7OiagBDYESYYgQAIgICFgLrZQghswYIICIAACIAA" + "CIAACIAACIAACIAACIAACPhNAPMtfqNDQRAAARAAARAAgSASwJgliLDRFAiAAAiAAAiAAAhEYQJq" + "3AkhMITAji4DCIEdYYIRCIAACFgIqJsthMAWLIiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAgN8EMN/i" + "NzoUBAEQAAEQAAEQCCIBjFmCCBtNgQAIgAAIgAAIgEAUJqDGnRACQwjs6DKAENgRJhiBAAiAgIWA" + "utlCCGzBgggIgAAIgAAIgAAIgAAIgAAIgAAIgAAI+E0A8y1+o0NBEAABEAABEACBIBLAmCWIsNEU" + "CIAACIAACIAACERhAmrcCSEwhMCOLgMIgR1hghEIgAAIWAiomy2EwBYsiIAACIAACIAACIAACIAA" + "CIAACIAACICA3wQw3+I3OhQEARAAARAAARAIIgGMWYIIG02BAAiAAAiAAAiAQBQmoMadEAJDCOzo" + "MoAQ2BEmGIEACICAhYC62UIIbMGCCAiAAAiAAAiAAAiAAAiAAAiAAAiAAAj4TQDzLX6jQ0EQAAEQ" + "AAEQAIEgEsCYJYiw0RQIgAAIgAAIgAAIRGECatwJITCEwI4uAwiBHWGCEQiAAAhYCKibLYTAFiyI" + "gAAIgAAIgAAIgAAIgAAIgAAIgAAIgIDfBDDf4jc6FAQBEAABEAABEAgiAYxZgggbTYEACIAACIAA" + "CIBAFCagxp0QAkMI7OgygBDYESYYgQAIgICFgLrZQghswYIICIAACIAACIAACIAACIAACIAACIAA" + "CPhNAPMtfqNDQRAAARAAARAAgSASwJgliLDRFAiAAAiAAAiAAAhEYQJq3AkhMITAji4DCIEdYYIR" + "CIAACFgIqJsthMAWLIiAAAiAAAiAAAiAAAiAAAiAAAiAAAiAgN8EMN/iNzoUBAEQAAEQAAEQCCIB" + "jFmCCBtNgQAIgAAIgAAIgEAUJqDGnRACQwjs6DKAENgRJhiBAAiAgIWAutlCCGzBgggIgAAIgAAI" + "gAAIgAAIgAAIgAAIgAAI+E0A8y1+o0NBEAABEAABEACBIBLAmCWIsNEUCIAACIAACIAACERhAmrc" + "CSEwhMCOLgMIgR1hghEIgAAIWAiomy2EwBYsiIAACIAACIAACIAACIAACIAACIAACICA3wQw3+I3" + "OhQEARAAARAAARAIIgGMWYIIG02BAAiAAAiAAAiAQBQmoMadEAJDCOzoMoAQ2BEmGIEACICAhYC6" + "2UIIbMGCCAiAAAiAAAiAAAiAAAiAAAiAAAiAAAj4TQDzLX6jQ0EQAAEQAAEQAIEgEsCYJYiw0RQI" + "gAAIgAAIgAAIRGECatwJITCEwI4uAwiBHWGCEQiAAAhYCKibLYTAFiyIgAAIgAAIgAAIgAAIgAAI" + "gAAIgAAIgIDfBDDf4jc6FAQBEAABEAABEAgiAYxZgggbTYEACIAACIAACIBAFCagxp0QAkMI7Ogy" + "gBDYESYYgQAIgICFgLrZQghswYIICIAACIAACIAACIAACIAACIAACIAACPhNAPMtfqNDQRAAARAA" + "ARAAgSASwJgliLDRFAiAAAiAAAiAAAhEYQJq3AkhMITAji4DCIEdYYIRCIAACFgIqJsthMAWLIiA" + "AAiAAAiAAAiAAAiAAAiAAAiAAAiAgN8EMN/iNzoUBAEQAAEQAAEQCCIBjFmCCBtNgQAIgAAIgAAI" + "gEAUJqDGnRACQwjs6DKAENgRJhiBAAiAgIWAutlCCGzBgggIgAAIgAAIgAAIgAAIgAAIgAAIgAAI" + "+E0A8y1+o0NBEAABEAABEACBIBLAmCWIsNEUCIAACIAACIAACERhAmrcCSEwhMCOLgMIgR1hghEI" + "gAAIWAiomy2EwBYsiIAACIAACIAACIAACIAACIAACIAACICA3wQw3+I3OhQEARAAARAAARAIIgGM" + "WYIIG02BAAiAAAiAAAiAQBQmoMadEAJDCOzoMoAQ2BEmGIEACICAhYC62UIIbMGCCAiAAAiAAAiA" + "AAiAAAiAAAiAAAiAAAj4TQDzLX6jQ0EQAAEQAAEQAIEgEsCYJYiw0RQIgAAIgAAIgAAIRGECatwJ" + "ITCEwI4uAwiBHWGCEQiAAAhYCKibLYTAFiyIgAAIgAAIgAAIgAAIgAAIgAAIgAAIgIDfBDDf4jc6" + "FAQBEAABEAABEAgiAYxZgggbTYEACIAACIAACIBAFCagxp0QAkMI7OgygBDYESYYgQAIgICFgLrZ" + "QghswYIICIAACIAACIAACIAACIAACIAACIAACPhNAPMtfqNDQRAAARAAARAAgSASwJgliLDRFAiA" + "AAiAAAiAAAhEYQJq3AkhMITAji4DCIEdYYIRCIAACFgIqJsthMAWLIiAAAiAAAiAAAiAAAiAAAiA" + "AAiAAAiAgN8EMN/iNzoUBAEQAAEQAAEQCCIBjFmCCBtNgQAIgAAIgAAIgEAUJqDGnRACQwjs6DKA" + "ENgRJhiBAAiAgIWAutlCCGzBgggIgAAIgAAIgAAIgAAIgAAIgAAIgAAI+E0A8y1+o0NBEAABEAAB" + "EACBIBLAmCWIsNEUCIAACIAACIAACERhAmrcCSEwhMCOLgMIgR1hghEIgAAIWAiomy2EwBYsiIAA" + "CIAACIAACIAACIAACIAACIAACICA3wQw3+I3OhQEARAAARAAARAIIgGMWYIIG02BAAiAAAiAAAiA" + "QBQmoMadEAJDCOzoMoAQ2BEmGIEACICAhYC62T4tIfD//vc8/fPP35Y+IRI2AXALmxEsQAAEQAAE" + "QAAEQAAEQAAEQAAEQOBpEXja8y1P67jRLgiAAAiAAAiAwLNFAGOWZ+v7Qm9BAARAAARAAARA4Fkl" + "oMadEAJDCOzoHA6kEJgFV69XayT78fdff9KWL2Y76pM3owRJklCydFkpRoyYdO3iKbp+8QL9cf++" + "N3OkgwAIgEDACKibbbCEwFkLFKOchcpQspeyUZx4Cem5556TQuC7t2/QmSM/0qHt39DpwwcCdrzP" + "YsXPx4hOrxQtQ9kKlKZEKdJRrNhx5WH8fvcW3bh0mi6eOkQ7Vy+k+/fuPYuHF+n7/HKRUpQkTWbZ" + "zwsnDtKR3Vu99jlT3kKUJkseV/6//9LGz6d7tY0eMyYVrVhf5t+9fpn2rF3h1TasjEJlq1OseIno" + "9tUL9NP6L8Myd5yfu3gZSpwynRiJ/kubl82CaN8xORiCAAiAAAiAAAiAAAiAAAhEdQLBnm9h3uly" + "vEIJkqSg2+I/5skDe5/IVxAnQQJKmiYDxUuUlO7cuEI3L5+nm1cuO6qb59RfTJmaEqVMSzFfiC3K" + "naOrZ09h/sIRPRiBAAiAAAiAQHAIBHvMws/Ik6TJSLHiJJDPN66cO/3EnpHHjheXkqbOQPHleOgK" + "XT13gu7duuUIpBq3JE2bUcyD/0M3Lp+h6xfO058PH/osz/P8Tl5h1RNILk76BxsQAAEQAAEQAAEQ" + "CDQBNe6EEBhCYEfnWiCFwDyIbzt2pe7H5K5V/ZqwLFbxAypYpjbxnwn76+jPW2jtwvGO/5DYyyMO" + "AiAAAv4QUDfbQAuBeQKmWvuRlDRVxjC7+dvezfTV9NCAiQ5zvFZS9uGPh/fp2N6dYfbnaRokS5ue" + "qrQZLkXTvvrBE1P7t39F6z6bHDBuvtr/L+eVrN6E8r9ZQx7ijavnaFY/18IgT8dcv+8USpIyg86a" + "M+RDunb+vI6bgSz5i9J7jfvLpL/EIqPx7SqY2eEKfzhoJiVKklqWmdCxYpiTk04rr9FhOKXNmlea" + "zxrYQEzMXnRaVNs9S9eb7jQCIAACIAACIAACIAACIAACIBBBAsGab1Hd5Pnm1mO+oOjC8cTZ336m" + "xWO7qSy/PlNnzkblmwyguPETu5W/ffMqbVv5CR3cscEtjxO4LwXKVKFC73xAMWK+4GZz5fwx+nrm" + "EK//l90KIAEEQAAEQAAEQCBgBII1ZslTohyVqNpSjlXsB3PpzBFaNbW/WMx03Z7lKJ4yYxYxbulP" + "8RMmdbNnJzTfzgmhU4f2ueVxAo9b3qrXjnIVLuuW/69wkPHrnrW0cclk+v3OXbd8Ltt+wlfS4Y1b" + "pi1h2aTuHhdqBZKLrQuIggAIgAAIgAAIgMBTJaDGnRACQwjs6ESMzEJg/iNQu/s4Sp42q89jYSHQ" + "nEEN6dbVqz7tkAkCIAACT4qAutkGUgicLntuqtBssPD+EsvS7X///Yd+v3vbo8iVBZdLx3Xxe+LH" + "0pARYe+67ce5PKbeuXmNPulVx8iNXMGCb1eh4pWbiUmk/1k69ucfD+n3e7coXoIX3RaWHN+/g5Z/" + "7BKXWgoh4jcB9qhUre1IXf6jDhXo7z/+1HEVsC8a4vRNX0ym3WuWKxPL51t129IrxVzi35MHd9Gy" + "iX0s+eGJRFYh8LN0vYWHN2xBAARAAARAAARAAARAAARAICwCwZhvMfvADiheK1tPJkVUCJy3ZDl6" + "s1YHs3qP4a0rp9MP3yxxy6vcciBlzF3YLd1MYGHN5+M70ZnDB81khEEABEAABEAABIJMIBhjlncb" + "d6Ps+Uv7PLJ//vmbFgxrSZfF7gHhefGOfu980CPMIhuXTaY931vn6vn5/YeDZ1OCRMl8lmcx8az+" + "DdwccLyYKhU16DPLZ1mVuW7RONq7cbWKys9AcrE0hAgIgAAIgAAIgAAIRAICatwJITCEwI5Ox8gs" + "BC5eqb7wgFBXH8eZwz+JFYTr6OGDe+KPz5uUOU9xnReWt0FtiAAIgAAIPAEC6mYbKCFwigwZqU7X" + "yZae/rhhKR3auYaunD4tvdeyWDB1hqz0aumalDHX4wdFfzx8QJO7V/courRUGI7IsyJMzFXsbSpT" + "t4s+sr/+/IM2iYmqEwd2WhaLZMpbiF4XYuHEydJq2582LqP1i6bqOAIRI8DnTLuxq/Sq/qUTunr0" + "HpA5XyGq2HSwpbGzR/bS4nHdLWkq0mjwHEr4YgoZXb9kAv203iVQV/nh+eTFRvETp5RFZvar7zYh" + "GZ66TNuIegR+Vq4385gRBgEQAAEQAAEQAAEQAAEQAIEnQSDQ8y2qj/ETJ6bXyten3EXKqaQIeQSO" + "ESsWNQ9dpL313b19nX5cu4jui4XcLO7N8HIRihY9hmyLxbyzhVMLc/cYu4j46oUTQnSzSNg/R1ny" + "laR0OQrQ889Hk+Xv3LpGM3p/gJ2N9DeHAAiAAAiAAAgEn0CgxyzpX85LVVsP1wd268Zl+lk8I7p7" + "6zqlyfIK5S5aQc+9h/cZeaw4cajxkAV6BwJ+jvLjuiV0+dwxSpIiHeV7s6Z2UPP333/RzH516c6N" + "m7ovJas3FrsB1tTxwz+upxPC2UrCJKkoa4FS4rnLSzrv2L6ttGLKIB3nQPZCJejdhr1lGj/7v3zu" + "N0u+GTn0w1q6fOakTgokF90IAiAAAiAAAiAAAiAQiQiocSeEwBACOzotI6sQmCdPWwxfQtGiRZfH" + "8fOWlbR2wSTLMeUuXobertNZpy0Y2ZIunjiu4wiAAAiAQKAIqJttoITAdXtNoORpXN7Q//nnH/r2" + "02F06IeNXg+nVK3mlK9kVZ3PouENi6fpeEQDz4IwkVehNw1doD0l37tzk5aM7UDXL17wePhsX7fX" + "REqaKqPM5wmtCe0r4kGaR1r+JdYTfJOlySIL71zzGW35YrZbRWXqd3TbPszbd8FjgzajH3sfmNn/" + "A7p55bJbnU87AULgp/0NoH0QAAEQAAEQAAEQAAEQAIFnlUAg51uSp88gPPa2p7gJklG8hC+6IYqI" + "R+AS1RpRgdK1ZJ0sxpk3tKVlsSn/n200aC7FjhNf2tgXtlZtM4TS5ywo884d/YUWjXm8yJkTk6Z+" + "ier1mqp3P5oX2twiipEF8QYCIAACIAACIBA0AoEcs/BB1OnxEaV4Kbs8nqsXT9L8YW0szl/Y2Ukl" + "saOkeq2Y1peO7d2poj4/iwlHXK89csTFjmUWDG9heY7CC6bq9Jwmxi3xZD0/b1klntFPlGF+VtR2" + "zEqx66JrR0b7mIaNir5XlwqXqy/teafGCR0qyrB6K1m9iRAS15DR8D7bDyQX1T98ggAIgAAIgAAI" + "gEBkIqDGnRACQwjs6LyMrEJgc0Xf/d/v0uQu1TweD3vzS5kuh8zb8PkksWJxpUc7JIIACIDAkySg" + "braBEAJnzJ2fKrcM0d1dNWMg/bZnm457C5Sq1UKIgavIbBYPT+tZg36/c1ebs/CVX7xVlK+X3Y7j" + "L8ThxRlLZTFecT69t2vbTF91RY8Zk5Kny0ix4yemi8cP0e3r1702a29TGSZMmoxSZMhOR3ZvDbPf" + "r5WtQcUqNpFF2bvOjL51fLbJhrHjxdXHxfGwWCdKnoKSpMlIf4j70uXTx+j+vXtczOvLflwcT5wi" + "BSVOlZ7u3bxKF08ft0ze2StS5TldseaJtmRp0lOCJCnp2rmTdO3COZ1nL+8pzkyTvpSZHty9Sed+" + "O+yzrGpftc31cftpMuWg+3duhbndmOnZ/+LpX8UWZe3dutRs2GcUV5wj3MaNK2fpxeTppM3C0W3p" + "/LEjFnvz2vj93m2a0tU1WWgahee843KejtGsT9kkSZ2WEqdMS1fOHqNr589rE0/lPQmB2ctCspcy" + "Uax4Cekhnz9njtG9W7d0PSrA9flzvany+AQBEAABEAABEAABEAABEACBZ5lAIOdbMuUtKAQzQ7zi" + "iYgQ+P3OoylVplyybm9CnGIVP6DXyrrmUw7uWkPfzBql+2LufrPs4x50cv9POk8FTOHLt58OpwPb" + "16ksfIIACIAACIAACASZQCDHLDzH3Xbs4+fdSz7qSGcOH3Q7wurtQuil7Pll+q971tLXM0a42XhK" + "aDhgut4tced3C2jL8jluZgXerkwlqrSU6ex0ZWp314InczfL65fP0OwBrmcyZgU8x91h4tc6aWrP" + "mpa58JodRwivxnlk/kcdKvh8RqIrEYFAczHbQhgEQAAEQAAEQAAEIgsBNe6EEBhCYEfnZGQVAr/y" + "ehl6q7bL2+/eTctp3cLJHo/nzdqtKO/rlWSeuSLRozESQQAEQOAJEVA320AIgRv0n6bFkOHZ0okn" + "QVqNWqa3ity3dRV9P9+1SrvQO9WoeKVm8ujXLx5PP234yiMJcwJmXJt3KVvB4lSuQS+PtpzoaVun" + "lBmz0LuN+lKCxMkt5X6/d0dMVu2ib+aOsUzs8KRQm3ErpAd4nqz6ZtZoerNOa8qc53XtKWdi58r0" + "x/37lvrskTZjV+itrHgrqq+mD7ObeIzX7DCc0mTNK/M2L59Ku75bZrGLkyABVWjcl1JmfFmvclcG" + "vFDl543LaNuq+SpJf5rCbBYYv/zaO2Ir0ELae48y5C29vv90BJ369ReVpD/NCblPhzal8k37WrbV" + "YsN///1HCIoP08op/S2TaboSEWDGzDRnoTLa076r7L905dxR2vndZ1JsbZZ57d1aVKxCI5n0Se9a" + "lChZaipepRklT5tNbjnm5J6bJmsOqtlhnKyDxenj21WwCI/jJUpITYfyVqckt2A9dWiXFnN7moA0" + "mdonNsN73nGbajGRNw/EXOeb77cTXqMzW7573iptt9ji9fKZI1SxqcvrwtIJXenUoX1cLZlC4BXT" + "+lC+ElX0hKw0ePTG4ujlk3prwX6O10qG+3oz60MYBEAABEAABEAABEAABEAABJ51AoGcb2GvvBXF" + "/+rno8XQmBKlTKfnHiIiBDbnJLwJWkzveL/t3UirpoXofnw4aCYlSpJaxpdN6k4nD+zVeSpgCoG9" + "iY2VLT5BAARAAARAAAQCSyCQY5Z0OfNStTbD5QHwc5UpXat7PBjTKzA7cJnWs7ZHOzPR3P2R06f3" + "qe3RoYp9d74p3avJeey8JcuJHRY6yCr3rFtMGz+fYVavw50+/laH7W20HvMFxXwhNt29LfrcI+w+" + "q4oCyUW1gU8QAAEQAAEQAAEQiGwE1LgTQmAIgR2dm5FVCJyvVAUqVaOtPAZfnn4rtehHmV4pJu22" + "rppBP6xe7Oi4YQQCIAACESGgbraBEAKbEyRfzx5Kv+7c5Lirb9VtS68UqyDtLwuB57yhrWXYqRC4" + "WajwzpogsSzDQuDCFWpT4bIfeG3/3DGxXeXoLjq/aMV6YkupelIoqhNtAfs2VubEE29/+b/o0bSn" + "d1U0LCGw3bPvrIEN6Mali6q435+Z8xWisvV7a4Gxt4ounDpES8f3tIiV323cjbLnL+2tiCWdPRhv" + "43vYN0ss6S1GLKbYcRNY0rxFeAuvFVN6unkGYLFt9Y5j9ANFb+XXLBhFv2xZo7NL1mhK+Uu5JhhZ" + "9MpbdT33nGu7LzZyIgRmAXK78V9qEe38ES3o0skTug1z0c+mZZPp5MFdVL/PTJl/5fxx+nSIy+OA" + "KmCK5M1rw5/zjus0H7RO6FjRsm1r1gLF6N2GvaWIWrXv69ObENhXGc77/e4tOdnJHpH5OMJzvYVV" + "N/JBAARAAARAAARAAARAAARA4FkjEMj5Fk8seNecRgM/lVkREQJnL1SCkqRMT/+IxbrbVs5za4r/" + "H9fvN1V73/t69hAx37NZ25lbZHtadM07FDXoO0v+v+YFwePFf9i///hTl0cABEAABEAABEAguAQC" + "OWYxxbbH9++g5R/393hw5nMRfsYwtnVZj3Zmojn2+fOPhzShQ0Uz2xJWu/lx4oKRLeniiePEAuGC" + "ZcSzApF25MdNwlnGSc62vEyHF7fFzojTe9XV+abAmI9t26pZwolGXrEbXwb668+HdOXMUdHOr8KB" + "yWldRgUCyUW1gU8QAAEQAAEQAAEQiGwE1LgTQmAIgR2dm5FVCMzCsNhiG21+3bt1x+JBUB0Yb7Pd" + "ZOhnFD1GTJnkzVuCsscnCIAACDwpAupm+6SFwPETJ6YmQz7T3bSLE3WGl8BL2V6m6u3HyFz2Wjq+" + "/Xsy7K8Q+KUcuSlTnuIULXoM6dFWNcsiUH7dvHSG9qxdIcPpX85LVVu7VqlzwiXhLfXQD9/RHw9+" + "pzTZ8lHOgm9LO34zRZ6mEFgbPArwRNT1S6do4ehOPh9wpc6cjWp1Gi9Lmcdtry88cfYE3HToQi1i" + "5Ym0Az98S+eP7acXYsehHMLDb9JUGXWVx38RE3KTH0/IeRIC81ZZv/20iW5dvUDJ0mamHIXeESvf" + "Y+k6Fo1pR+eOHtZxT0JgfjB5Yv92Yjaps+SmrPlKaeE1i4End69uYVWv9yRKljqzrJM93/68eQVd" + "Pn1ECL5fpNwlKlOCRMl0e+Z91BQCa4NHgds3r9CeNQvpp/Vf2rPc4srrLmdsWTGNdn67VNtUbjmQ" + "MuYuLONKvO3Ni5L9PJnctSrdv3eP/D3vuFFvQuBkadJRvV7TdD9/v3ebDu38jq6dOy4mJNPJ7y1O" + "vIQ6nwNhCYFPHdpNx3/ZRjFjx6Uchd+xCLPXLhxHP29aLY/F6fVmaRwREAABEAABEAABEAABEAAB" + "EPiPEAjUfIs3PKYYJiJCYG/1c3rS1C9R6ffbU6pMuaTZX3/9SZO6VLH8d2eber2m6gW4LIz54Zt5" + "dP/OLUqdORe9XqWFXihsn3/w1TbyQAAEQAAEQAAEAkMgkGOW4pUbKkK3OAAAOWhJREFUUKEydWTH" + "f9ywlDYsfjxXbT8a07FMWA5VuGzabDmpRvuxsppr4tnLnIGunSTt9XK8VudRlDpTbpm1cno/Ovrj" + "D57MdBrP4ectUV7u+hctWnSZvvPb+eK5wFxtY+4iqBM9BHhh1Oo5Iy3OVwLJxUMXkAQCIAACIAAC" + "IAACkYKAGndCCAwhsKMTMrIKgcPqPHtRqN5hGKXJ/Io0fSiEZlN61LRMoIZVB/JBAARAwF8C6mb7" + "pIXA6XPlo6qthslu+SNotQuJ1cSPv0Jg9lLKL1OEeefmNfqkl2sSSmY+emsSMo/iJ0wqYz9tXEbr" + "F001s8WDq2xUo8NY7WH1s1Ft6MLx3yx1qwK3blymVZP70OWzp1SSz0/Tsyx7HJ47qLlPeyeZFZv3" + "pcxCBM0vvsd8Pr6TxZstp79ZuxXlfb0SB+VLrYrniF0IzN5ueeW+Yso27K23ZpcJWox76ewRmh/i" + "8obP+XYh8Pav59D2Lxdwln6xELZi8yGkJ9bWfEZbvpgt83MVLU1l6nWTYd5CbEFoM8s2X3wvrSg8" + "62fM5RLjXjhxkD4b2VHaexIC71m3hDYvm2U5Bmns461IhTpU5N0G0oLFsEsn9NbWbcetlIt5zO3N" + "3mvWh7LkfV3aLJ/cS4hn98iwue3XjavnaFa/RjLd3/OOC3sTApu7DXBbi0a2k9ueyQbFGy9EqtNz" + "MiVInFwl+RQCr188nn7a8JW25cD7nUfrh8C/7llLX88YofOdXG/aGAEQAAEQAAEQAAEQAAEQAAEQ" + "+A8RCNR8izdEgRIC8+LiGh1HU8IkqfUCY+4DLzRdMrY9XTt/3q1LKTNmEf8Vx2sxsJuBSPht70Za" + "NS3EUxbSQAAEQAAEQAAEgkggkGOWN2o2o1ffqCaPZuuXM+mHrxd5PTLTscbUnjWFc61bXm05w3Ss" + "wTs0LhrzeMdHe0HzGcnqOSHC8ctGu4mMs0A3j3A6EvOF2Jb8vZuW07qFky1p+UtXopLVWlnSvEXu" + "3r4hvQmrZyqB5OKtD0gHARAAARAAARAAgadNQI07IQSGENjRufgsCoF525CanUZpD4d8oN/NH0X7" + "tz7e0tzRwcMIBEAABPwkoG62T1oInCV/UXqvscurLHt3ndjxscjUSVdNASHbfzq0qdxCKdBC4Ay5" + "XqUqrUJlF9nr7ewBTTx2t0j52lSkfEOZd/jH9fTV9GFuQuC7t67T9N71wiU2LVGtERUoXUvWaxeb" + "euxIGIks9Gw5cpm2Uh5bdYIRaDhgut7a8+jPW2jl1MEy1xQC83f5ceeqHo+JH/TV7jJR1zh3SCO6" + "ev6cjJtC4LNH9tLicd21nRkwxbbsWWh8uwoyu+GAT0TfXpLhVTMG0m97tpnFZJjPmWYhCymW8FTL" + "r+l9akuxsF0I7EnMKguE8ZYiQ0aq09U12Wee06bX3UO7v6fVM0fKml4u8ia984HrOPdtXUXfz3ex" + "Mb9jJTSPyHnHjXkSAvN332LEUu1leeHotsIL9BG3ozQ9J3CmN4/AZ4/uo8VjurqVN7dHYy/TC0d3" + "1jbmdexNeK+NEQABEAABEAABEAABEAABEACB/xCBQM23eEMUKCFwgiRJqPGg+R6b3bj0Y727kmlg" + "7vJkppthXjj9rRDinD1yyExGGARAAARAAARAIMgEAjlmKV2nNeUpXlEe0U7D8YenQ2w5aqnb3L4n" + "O5VmeuS9fPY3mhfSRmW5fZZv0oOyvVpKpn83bwTt37bWzYYT3mvWSzj3KOmWd+LATvp+wWi6c+Om" + "ziv7YRfL7pHs8fjw7vV0+9plejFFGnq9WgtKniartt+39UvxjGCCjAeSi24QARAAARAAARAAARCI" + "ZATUuBNCYAiBHZ2az5oQOHuhEmIrtU6WrdTD2hbFEQgYgQAIgEA4CKib7ZMWApviwHt3btLU7rXC" + "0SuXp1JTwKpEnYEWAr9WriYVe6+x7OvhH9cJr7WPt3oyD+DF1BnchM6m6JFtv/9sNO3b/J1ZLMyw" + "KTC2e9UNs7AHA1Oca3qr9WBKpnjb9FRrCoH3rFtMGz+f4am4TKvfdzIlSZlRhr+ePYR+3blZhk0h" + "sCk0tVfEDNuNXam9Bk3pXk16sO04abVOmxfanP7686G9qIxXaTtCeyVet2gc7d24mkwhMK+8n9bj" + "fY9lw0pkr8NtP1pJzz8fTZrOGtiAbly6SIXfrUVFK7i8+n45cxAd2b1V5seOF5daDF8qw7dvXhEr" + "/uvJ8Ad9PqakqTLJsGIRkfOOK/IkBDYfvJrfp2zY9tY0dAHFS/CiTFV94kiNDsMpbda8Mt2bpwT2" + "DtU8dLG0uXL+GH065LEXBPOagBBYIsIbCIAACIAACIAACIAACIBAFCEQqPkWb/gCJQTm9kpWb0wv" + "xElACV9MRSkzvmzxDLzzuwW0Zfkc3a1ilerTa+/U1XH2fMe79jwQHoSTpM2i/7OzAectHN2GLp44" + "ru0RAAEQAAEQAAEQCC6BQI5ZTMHrjtVzadsqz4uL+IhNj8DqeZAvEulyvELV2rqcclw4dYg+G97B" + "q7npEdiXEJifp+QuXoFeiBWXkv+/vfsAl6I69AB+sIHYsWNBsMX6MPYYnyVq7L0kEmuUWBB7jIoa" + "XwhGY0dFRRO7wVhji0ZjjEaNRuOL+qLGriBNBESKBnlz5jLD7LL3slzurBB+9+O7O+XMmZnf3f3m" + "cPY/Z7qsFRZZvKnPPFY8ftyYcOO5h4UJn3+e7me7H/ROn/Y73wIdwuO3XRzeffWl6fa/65GnhzU2" + "2Dpf3v/E3cOXkyaFMl3ynZkgQIAAAQIECMxmAlm7UxBYELiut+acEgSOI/TtckSfsPKa38zPK3Z6" + "PnXPNTVHUMgLmSBAgEAJAtnFtq2DwMVRUuNhX9pr55qjyDZ3Ssuu0jX0+PHV6eopU6aES47dMZ0u" + "Owhc3THT3PFVL7/4mO9ONyLwFSfvGb6YMKG6aIvza2y0Rdj18LPTMhPGjwsDTtmnxfLFlTGoOl/7" + "BdJF/570Req97hbbhx16ND0S66N//W+445IfFzepmC6O8vPVV18lf7Od0vXFIPB9154V3n75+Yrt" + "ijPb9egV1t9it3RRsWOvGASekcsP+94UFuu0bFpHHMV23KcjwxF9by/upq7pbP/FIPD/Pn1/0ik3" + "bdTiuioqFNr/xAvCiqv/V7okC3p//7RLw/JJp2D8qT63H/785vxLzhhqnvj5hHB8/wfTUXqnTPkq" + "XHbcrunfaVbed3G/tYLA62+5Q9ju+yfH1aE4wnO6oOrX3r36hlXW3jhd2lwQ+NYLjgrD3nu3assQ" + "ioFnQeDpeCwgQIAAAQIECBAgQGAuFSirv6U5zjKDwMV9xptB9zymb1h2paYR7mL/wTWn7ZuGYor9" + "CnGbIe+8Fu4feG7Fo73j03O2T/opYh9G/Bn6wevhtl8cn077RYAAAQIECDReoMw2S7Fv/rnf3xye" + "+d0tzZ7gCVc8lLcPrjl9/4r2Q62NVlmne9j72PPTVTMKAu917M9C13U2Scs2N+BFrX1032rnsO0B" + "09opM/v9Qhwo4+jz7wwLtO+QVp/1sZfpUus8LCNAgAABAgQIzA4CWbtTEFgQuK7345wQBI6jAMdQ" + "Ttbgjyc28uN3wu+uPieMHjG8rvNUiAABAm0pkF1s2zoIPH/79uG4ZGTX7OemvoeHkUMGZ7MzfC12" + "sMTHRV5/5kHpNmUHgb938kWh86rrzvD4qgvEAOjkyf8Ox1/6QLoqhjwvObYpSFtdtqX5pVdYORx0" + "5sC8yGUn7Bomf/FlPt/SxMbb7xW23OuotMgHb7wU7rzs9OTu9R3C9gc2hUE/evPlcMelpzVbRfXf" + "LLs7vRgEHnRx7zD4rTearWP7g04I623edN6vPPtQ+MPNl6Vli0HgGJpu6eeIfreGRRdfKi1y//Xn" + "hs9GDQ0HnjqgpU1qrss65Yqdak/de0144dG7a5avZ+EmO+4bvr37kWnRN156Ijz8q18mwd4H0tGK" + "Pxn2fjIiQM+Karb9/jGh+5Z7pMseufkXYeyoYWG/4y9J54tfds7K+y6GzWsFgTff9cCw+c6HpPt6" + "9bnfh0dvatpvxQFOnSmOiNBcEDgbAbl6e0HgahHzBAgQIECAAAECBAgQCKGs/pbmbBsVBI77X2DB" + "BUPPfr/J+7jj/3dfe/aJsOmO+4Utdj8iPcT4dKjrzvpBzT6NDbbZNWyz33H5qcxM30e+kQkCBAgQ" + "IECgTQTKbLMUnxTwj788EB67tX/NY443CMUgcPZTPeBGtrz4uuIaa4X9T7g0XVT8DqlYJpvucUb/" + "sOyKTTcx/W7gWeGtvzc/2Em2Tfa61b5HhA233S+djaMCX/3j/bNVdb3ue/x5+eBgf7jtovDK04+G" + "Ml3qOiiFCBAgQIAAAQJfg0DW7hQEFgSu6+03uweBN9puz/Dfex+dn8sXkyaGP9995Uw/Nj6vwAQB" + "AgTaQCC72LZ1EDge2rEX3xvad1gwPcoYmnzwul/UfcTFkVTffe35cM+VZ6Xb1hsELj5GqjgacbwD" + "Owvrfjb6kzDwjAMrjmnHw04Ja2+8fbrs05GDw8tPzDg0+tmYEeGtl/5aMSLwuDGjwrWnf7+i7npm" + "qsO4T/9uYHj+93fWs2nY8+hzQ7f1NkvL/uOZB8Njt1we4kg73z2oKfw7+K1XwqCLm0YHrlVh8UvD" + "OFJ9dIs/xSBwDOb+68Vnam2eLtuux3HJiMC7ptPFO/yLQeABp+6dPz6rVkXFv30MHo8eMTT86Lw7" + "8qJP/LZ2Z2FeYOrE688/ke6nGAR+6Iafh9ef/3N10brniyNdxy81H7npvHzUgef/cHt4+p4bKuoq" + "jkrw5t//FD4dPjh/ROqzD94Qnn2waaTjWXnfxR3WCgIXQ+DFz1DFAU6d+cEZV4RlVlw9nRMEriVk" + "GQECBAgQIECAAAECBGZOoMz+llpHUvw//YyeCFRr+7gsjva7/YEnhXbzzBPeTPpxXnv2j80VTUYF" + "Tvog1m3qg8j+/7/DwSeGdTdreqJTSzekVod9mrvxtNmdW0GAAAECBAi0mUCZbZbiU+uKA2NUH/yS" + "nTuHQ/r8Ol1cfEJkdbnifPWTCIrfAxXLxenelz8Q5ptv/nTxLef9KAz/8L2w6U77h87d1kuX3Tfg" + "p80+0bLTcsuHQ8++IS0Xf7W0n7xQYWKXI34S1vzmNumS7CmGZboUdm2SAAECBAgQIDBbCWTtTkFg" + "QeC63pizcxD4G5tsGXY+tE9+HrEz9v5rz20xCJUXNkGAAIESBbKLbRlB4P/e5/Cw0XcOSI8+dt5c" + "85N9w/jPxs3wbFbtvknYo+fP8nL3DjgjvPPKi+l8MQj85F1XhRcfvy8vl01Uh2mLHTMzCgJvvMPe" + "Ycs9f5RWlX2RldVb/bpop07pos/HfZaOcFOse+zoEeG6M35QvUld8wf1GRCW7twtLTv+87Hh6lOb" + "7jZvaeM4Gs+PzhsU5l+gfVosC+wu17VbPpruhPHjwoBT9mm2mjhq/c6HnpmuHzX8g3DDT5tGvi0G" + "gV9+6r7wx9uvaraOQ386MHRaZuV0fTF0WwwC33dtn/D2yy/UrCOex7EX3p2OsBsLXH3aPul7Jnss" + "WHwfXXbcLs12ysW//YILLRTiiMyffTo63UcxCJy51Nx5nQt7X3Z/mG/+BdLScZTlFdfonk7/5qLj" + "wpC336yoJb4nel9yf3I+7UL0HztqSD7yQNbhGDeYlfdd3L5WEHiF1dYMB5x0eVwd4s1HV5zYNDJx" + "uqDwq9pcELiAY5IAAQIECBAgQIAAAQKtFCizv6XWIbVFELjbehsmNxn3S6t/7/9eCHdfMa0/u3qf" + "xSfLPPPAr8JzDw0KxZuDX3v+0fDIDRdVb5bPn3TVI/n09Wf3CGNGjsznTRAgQIAAAQKNEyizzdLl" + "G+uFfXpfmJ7Ml19MCv1P2L3mia292dZhx4NPT9eNHT0y+W6lR81yxYXVNxbd2Pew8MmQIcUi6XS8" + "0ak40Eg2UEmxT725bWMFxTZW9iTI5butHvbu9cu0/qHvvhbu6t/0vUq6oOrXQX2uSr7vWTVd+vCN" + "/cI///pkKNOlavdmCRAgQIAAAQKzjUDW7hQEFgSu6005uwaB439EjjzvtrDQIoun5xFHBHxg4Hl1" + "nZNCBAgQKFsgu9iWEQSOIcijz78zf1Tk8MFvhUEXnhS+nDSp2dOKd1fvf9JloePCi6Vlhn30Zri1" + "37THRXbfaqew7QEnpOs+TEKYv720abTbYoUbbZ+MwL7XtBHYZyYI3GXt7mGfXuen1cUQ7rWnfa9m" + "6LQ40u7H7/8z3H7+CRUjAs9KEHjlNdcJ+x5/cX5Kr/31kfDIjdPm8xWFiT2OOjusuv4W+ZLs0Vkx" + "5Nnronvz5c2Fp2OB4ki8xWtVMQj8739/Ga48Za+aj/ZcZqVVwg9Ovybf1y39eobhH72fzheDwMM+" + "TP6m5037m+YbJBPFx4jGfV3eu2l04YPPujostXzXtOiTdw8ILz427ZyK2xfPIQvmtnUQeJ/jfh66" + "rLVRcbeheKwVK5KZA39yWVhu5W9ULP73l1+Ey4/fLV82K++7WEmx07L/ibunn7EYiD76l9NGtC4G" + "s/MdJxNb7H5Q4j4ttC4IXNQxTYAAAQIECBAgQIAAgdYJlNnfUuuIiiGV1o4IXBxZL/4/d+DpB9Qc" + "yCL29xz589tCx4UWTQ/lrstPCe+//kpY79vbJyMKNz2JaOTH74Sbfjatb6Z4zMV+j8mT/53e8Ftc" + "b5oAAQIECBBonECZbZamgTJ+lw/88cQdl4e//+nB6U6u2L/d0lMFqjcsDqry9ivPhjiyb/VP8YkF" + "xZDxbj37hNW7b5kW//uT94QnBl1dvWk6XxzEY8SQt8PNfY9JByPJ+t6/+uqrcFXyFMQvJkyYbvv4" + "fdchZ/06HSgkrswCx2W7THcgFhAgQIAAAQIEZgOBrN0pCCwIXNfbcXYNAm+0XRJI27up0/OTYe+H" + "G8/tWdf5KESAAIFGCGQX2zKCwPH4N95+r7DlXkflpxI7Wu648LhkZNRR+bJsosta64c9jvp5Ptpq" + "XH7bL48OQ999JysSVlmne9j72Kagbq1RhpddpWvY7/hL8/Bx3LC5IHBzo6T+sO9NYbFOy6b7jJ1H" + "91/zs4owcOyk6dnvN2HBjgunZbKRg+Py4y99IF02K0HgWMH3T7s0LN9lrbSu+Ct+iXfXlWdOF8CN" + "+9zx4JOSR0ttm5f92+ODwp/v+lU+v9Php4a1NtounY/nfP/Ac8L7//dyvj7esPLdQ0/Ky8QVN/U9" + "PIwcMjgtUwwCxwXxEV6DLj6l4ljiXfUHnjYgLLL4kuk2WYdYOpP8KgaB47J//OWB8Nit/bPV6Wv8" + "++95zHlh3nnnS+df/ONvw5N3XpdOF0crrnUOsdBmOx8QvrXr4Wn5+EVi/+N3T/9ubR0E3jC5rm81" + "9bqe7iz59f4//9bsXf/F48rKv/va8+GeK8/KZtPX1r7v4sbFjtIsCByX73rk6WGNDbaOkyGGjwdd" + "0jsMe+/ddD7+Kn5Jmy0sKwjc3Oct269XAgQIECBAgAABAgQI/CcJlN3fUm01M0HgdTbfJrmZ+Ntp" + "FVOmTE6eXNc0CnBc0OuS+/I+lQ/eeCncM+Dsiv//xz6EPY85N6yy9sb5IWQ3Iy+9wsrhoDMH5stf" + "+tNd4U93XJvPx4lFllg86fMYEBZetOkpS9nN1RWFzBAgQIAAAQINEyi7zXLASReGFVZbLz2f2Ed8" + "7RnfqwjNFp8CGQvdfeVp4b3Xpn1/sfX+PcOiSzR9XzPs/dfDX3//29xm0+Q7gS2mficQF2Y3J2UF" + "qgcvefGJO8OTv21qqxQDvnGk33uuOr1iv7GO+J3FXsn3UfPMM09aZfGJiT1/8ZukPbNEujy2Z+64" + "5NSKNlMcqGO/ky7KBzgZM2pYuL7PwWn5+GtWXfKKTBAgQIAAAQIE5hCBrN0pCCwIXNdbtpFB4Ph4" + "78/HzvhxZfGR4Yst2Tl0XWeT9Bzif3De/2ftx6EXT/LvSSfpR2/+s7jINAECBEoRyC62ZQWB40Hv" + "efS5odt6m1Uc/6cjB4c4ou/YkUPC0iuulnQEdc87TbKCWcA2m4+vcYTbY355V9LxMm+6OAY+30nC" + "usM/eCMsvdJqyR3cW+V3V2fbFYPAcdkJVzycd9zEGzRGD/sojBj8dnjm/lvTTVbtvknYo+fPss3D" + "uDGjwtuvPB0+SzpqOnddL6z8jW/mYeU4Qs71Z/UIn48Z02YjAscdpyMjn9w/GV1nkfw44rkO/+hf" + "YXASCp4wbkxYcoVuYZW1NslHT44Fa91wEjucjjxvUJhvvvnzut559bkw5J1XQoeOi4TVN9w2LLbE" + "Mvm6N176Y3jwuqawdVxYHQSOyz7/bHR497XnErsPQqfOXZPr3OZ5MDquv/Oyk8IHb7wWJ9Of6iBw" + "XBiPNT5qdGIy8vLyq6ydfIm4Sf53iaHVAT/et2L06EPOuTYsuWyXpgqT33Hbj5PHbi2QBLK7rbd5" + "WGKpFfJ1rzz7UPjDzZel820dBF6yc+dwSJ9f5/uKE82NZBDXLbNil/CDMyq/+PzjoMvCy08+FFfn" + "P61938UKmgsCxy9Yj+h7ez7iQgzPx5D2mBGDwzJJ0Lz4d88OpC2DwLHOGX3esv16JUCAAAECBAgQ" + "IECAwH+SQCP6W4peMxMEjkHebus29dN89dXk9AbqrK7qG0Zjn8jrLzya9IkMD4sutXxYe7OdKv7/" + "/8JjvwlP3T3t/8jFumOdsf/njecfS0YWHhuWWnHVsM6m3837dGLo5tZfHB2Gf/hetnuvBAgQIECA" + "QIMFym6zxMFbevx42mi78Tv2f/z53tBh4UWTp+l2Cqv9V9PNSfG04/cft/TrVSHQ+/IH8u82qgfY" + "iAOlFJ9SENsWrzzzUDpAyJSkjbP+t3fPBx6J39Ff3+fA/GkH8Tumw/veGBZdfOl8f2//4y9hyNuv" + "hKRDPfm+YtOw0hrd83XxuG845+B8+/W+vUPyJIST8/WTJo4Prz7zYBj7ydCw2NKdw7qb75LfXBUL" + "Dbq4dxj81ht5+Vl1ySsyQYAAAQIECBCYQwSydqcgsCBwXW/ZRgaB6zqgpFAMWi3fbd2KztF6tn30" + "lguS/yw8Xk9RZQgQIDBLAtnFtswgcDzAODLwt/fsmQcSWzroSRMnhAcGnp3cOPGPmsW2OeCosMFW" + "e9VcFxfGO6vbJXdoZx041UHgHqf3D8uutEbF9oOTzp1BFzU9vjKu+NZuPcKmOx40Xai4uFEMVd5z" + "1U/yu8TbckTguJ8Yet6n93kVIwMX9189PezDN8O9V/VJQ8nV61ZcY61kdNj/yR/bWb0+m4/Xrfuv" + "61tx53qtIHBWvvo1mjx937XhhUfvrlhVDALHzrh27ZruoK8oNHUmdpjdd/UZ090Qs2inTmHv3heE" + "TsusVGuzfFn1aEJtHQSOOyqOkBTnrz+7RxgzsvkbhOot35r3Xdx/c0HguG6F1dYMux/Vr9m2SOzA" + "/OSjt8KKUzs1i6Mm7HfC+Xln56/PPSR8OmxorLLip+MiC4ejzr8rXVY9EnRcWM/nraJCMwQIECBA" + "gAABAgQIEPgPEGhUf0tG1VZB4FjfHkednYwYvEVWdbOvH7z+Yrjz8jMq1se+kUPPvj5/0lLFyqqZ" + "J+8eEF587N6qpWYJECBAgACBRgo0os2y5d6HhY23+16LpxW/F7il35HT9bO3FASOFcanSO55dL/8" + "RqNaO4nfSTx8Y7/w+vNPVayu9YTJigJTZ+KAMHddfnJFkDeu2vGwU8LaG29fa5N8Wbzp6ql7r63Z" + "5pkVl3wHJggQIECAAAECc4hA1u4UBBYErustW2YQON4V2OuSe/MRIOs6oKTQq889HL6RPI69OApj" + "PdsKAtejpAwBAm0hkF1syw4Cx2Ndrmu3sPU+vULnbuvUPPQ44u27SRD1sdsuCeM/G1ezTLZwkx33" + "DZvvclh6N3e8k3uB9h3Cl19MCh+/82p48Pqfh22+d2z4xobfCXHdFSfukW2WvsZA6fY9Tk5v1Ijb" + "xZ/qIHBcFo/3O987MSzVuVt+13hcHn/i4zH/9Nsrwsghg5sWJL/bOgicVbz5rgeG1bpvGZZcbpV8" + "xNxsXXwdn4wO/NQ9V4fXnv1jcfF00/O3bx92OOjEsNKaG6aB4Mwthnc/HfFhePmJu5NRah+ebrti" + "EPh3A89K/n7rJnfS71FxN3v8240Y/FYyMu4Vyd/gX9PVUQwCx0DplnsemYzi+62K84nH89G/Xg6P" + "3HhBfld9dUXxehyDvatvsFX6GNHsHGK5GGh94ZGbw0uP35/e8Z9tW0YQuDjK9bixn4Zrf9JyJ+Ye" + "R/80rJqMWhx/4mjK15x2QHZ4073O7PsuVtBSEDiuj6NCb7HXD9PPXhxVuV0yosG4saOSUblfCs/e" + "f2PYYo/Dw5rf3CYWDTf2PSx8MmRIOr3T4aeGtZJ2TPypJwgcR2m++4o+afnsV72ft6y8VwIECBAg" + "QIAAAQIECPwnCDSyvyV6xZuJj73w7vTG29dffDw8dP0FzTIWR+0dO3pkuO6MHtOV7bruN8PW+/eq" + "ePpOVig+4efPd12d9OG8lC2a7nWDrXcJm+58SP4Uo+z/77EP4qPkSUeP3X5JzZtNp6vIAgIECBAg" + "QKBUgUa1WbqstX74TjKC7uJLLhdieyA+FXD+BdqHpqc+/iX8/saLKp4QmJ10MQj8yrMPJ08CvDRb" + "lb/GPuidDj0jdF51nbQtVGx3xFGGH/51vzBq6Md5+eJE/N5kmwOOSb7P/8503+fHAPCrydMHn773" + "1+GLCROKm+XTXdbuHrbe75j8aYbZvuPrsPf+GR699cLpws35xslEa12KdZgmQIAAAQIECMwJAlm7" + "UxBYELiu92uZQeC6DkAhAgQIzIEC2cW2EUHgjCd+ObVU55XCwkssHdp36JgGWceNHhFGfPBBRYAz" + "K9/SaxzxJgaAY4fR6BHDWyo6S+tiR9IinZZORtv9NHm00yczfZyztPPCxp2WWz4ss/Lq6ZJRQ95P" + "ArxDa3aOFTapORn/BvPNN2/osNAiYfTw4S2eTzEIfMelJ+Qj9Ub7hRZbIjWZkX0xCJyN0BxDvZ2W" + "Wy6077hw8rcbWnMk45oHP3VhDF53WLBjcg4LhfFjxjQbHm6pjtl9XRnvu+g+7/zzVbxvep53e1h4" + "sU4pR/8Td69YN7sbOT4CBAgQIECAAAECBAjMjgJfR39LGQ7x/5CLLrlk+v//caM/CZ+N+rTFPoTq" + "Y4j/d1+s01Jh0oTxyc3A7cJnn46uLmKeAAECBAgQ+BoFGt1miW2LxZdZJm0bTElGy53RoDAzSxP7" + "1CdPnpwM7jV/iwHcWvXG702WWDYJKk+eEkaPHNZs+LfWttl5Tfz8szDlqykz/X1Ftn1sM5XhUuuY" + "LSNAgAABAgQINFIga3cKAgsC1/W+EwSui0khAgQIVAhkF9tGBoErDsDMbC/QXBB4Zg68VhB4ZrZX" + "tnUCvS65Lx+5+bo+3w9jR42arqLVN/xW2O2H56TLY6i+/wm7T1fGAgIECBAgQIAAAQIECBCYOQH9" + "LTPnpTQBAgQIECDw9Qhos3w97vZKgAABAgQIEJjbBLJ2pyCwIHBd731B4LqYFCJAgECFQHaxFQSu" + "YDFTEBAELmDMYZO79ewTVu++ZXrUQz94Pdx75ZkVIyys2n3jsMvh5+SPPPvb44OSx7v+ag47S4dL" + "gAABAgQIECBAgACB2U9Af8vs9zdxRAQIECBAgMD0Atos05tYQoAAAQIECBAg0PYCWbtTEFgQuK53" + "lyBwXUwKESBAoEIgu9gKAlewmCkICAIXMOawyS5rrR/2Oe6XFUc97MM3wxfjPw9Lrrhq6LjQovm6" + "SRMnhKt/sl+Y/MWX+TITBAgQIECAAAECBAgQINA6Af0trXOzFQECBAgQINBYAW2WxnrbGwECBAgQ" + "IEBgbhXI2p2CwILAdX0GBIHrYlKIAAECFQLZxVYQuILFTEGgGAQedHHvMPitNwpr65s86oI7QseF" + "F0sLX9pr5/DVV5Pr21CpWRZYY6Mtws6HnhnmmWfeZusaMeSd8MDAc8Onw4Y2W8YKAgQIECBAgAAB" + "AgQIEKhfQH9L/VZKEiBAgAABAl+fgDbL12dvzwQIECBAgACBuUkga3cKAgsC1/W+FwSui0khAgQI" + "VAhkF1tB4AoWMwWBVdbdICy74mrhi0kTwt+feKCwpv7Jb267W5h/gQ5h5ND3w9svP1//hkq2icC8" + "C8wf1t1su7DSmt3DIp2WDfO3XzCMHTE0jBr2Xhj6wZvhzb/9pU32oxICBAgQIECAAAECBAgQaBLQ" + "3+KdQIAAAQIECMwJAtosc8JfyTESIECAAAECBOZ8gazdKQgsCFzXu1kQuC4mhQgQIFAhkF1sBYEr" + "WMwQIECAAAECBAgQIECAAAECBFotoL+l1XQ2JECAAAECBBoooM3SQGy7IkCAAAECBAjMxQJZu1MQ" + "WBC4ro+BIHBdTAoRIECgQiC72AoCV7CYIUCAAAECBAgQIECAAAECBAi0WkB/S6vpbEiAAAECBAg0" + "UECbpYHYdkWAAAECBAgQmIsFsnanILAgcF0fA0HgupgUIkCAQIVAdrEVBK5gMUOAAAECBAgQIECA" + "AAECBAgQaLWA/pZW09mQAAECBAgQaKCANksDse2KAAECBAgQIDAXC2TtTkFgQeC6PgaCwHUxKUSA" + "AIEKgexiKwhcwWKGAAECBAgQIECAAAECBAgQINBqAf0traazIQECBAgQINBAAW2WBmLbFQECBAgQ" + "IEBgLhbI2p2CwILAdX0MBIHrYlKIAAECFQLZxVYQuILFDAECBAgQIECAAAECBAgQIECg1QL6W1pN" + "Z0MCBAgQIECggQLaLA3EtisCBAgQIECAwFwskLU7BYEFgev6GAgC18WkEAECBCoEsoutIHAFixkC" + "BAgQIECAAAECBAgQIECAQKsF9Le0ms6GBAgQIECAQAMFtFkaiG1XBAgQIECAAIG5WCBrdwoCCwLX" + "9TEQBK6LSSECBAhUCGQXW0HgChYzBAgQIECAAAECBAgQIECAAIFWC+hvaTWdDQkQIECAAIEGCmiz" + "NBDbrggQIECAAAECc7FA1u4UBBYErutjIAhcF5NCBAgQqBDILraCwBUsZggQIECAAAECBAgQIECA" + "AAECrRbQ39JqOhsSIECAAAECDRTQZmkgtl0RIECAAAECBOZigazdKQgsCFzXx0AQuC4mhQgQIFAh" + "kF1sBYErWMwQIECAAAECBAgQIECAAAECBFotoL+l1XQ2JECAAAECBBoooM3SQGy7IkCAAAECBAjM" + "xQJZu1MQWBC4ro+BIHBdTAoRIECgQiC72AoCV7CYIUCAAAECBAgQIECAAAECBAi0WkB/S6vpbEiA" + "AAECBAg0UECbpYHYdkWAAAECBAgQmIsFsnanILAgcF0fA0HgupgUIkCAQIVAdrEVBK5gMUOAAAEC" + "BAgQIECAAAECBAgQaLWA/pZW09mQAAECBAgQaKCANksDse2KAAECBAgQIDAXC2TtTkFgQeC6PgaC" + "wHUxKUSAAIEKgexiKwhcwWKGAAECBAgQIECAAAECBAgQINBqAf0traazIQECBAgQINBAAW2WBmLb" + "FQECBAgQIEBgLhbI2p2CwILAdX0MBIHrYlKIAAECFQLZxVYQuILFDAECBAgQIECAAAECBAgQIECg" + "1QL6W1pNZ0MCBAgQIECggQLaLA3EtisCBAgQIECAwFwskLU7BYEFgev6GAgC18WkEAECBCoEsout" + "IHAFixkCBAgQIECAAAECBAgQIECAQKsF9Le0ms6GBAgQIECAQAMFtFkaiG1XBAgQIECAAIG5WCBr" + "dwoCCwLX9TEQBK6LSSECBAhUCGQXW0HgChYzBAgQIECAAAECBAgQIECAAIFWC+hvaTWdDQkQIECA" + "AIEGCmizNBDbrggQIECAAAECc7FA1u4UBBYErutjIAhcF5NCBAgQqBDILraCwBUsZggQIECAAAEC" + "BAgQIECAAAECrRbQ39JqOhsSIECAAAECDRTQZmkgtl0RIECAAAECBOZigazdKQgsCFzXx0AQuC4m" + "hQgQIFAhkF1sBYErWMwQIECAAAECBAgQIECAAAECBFotoL+l1XQ2JECAAAECBBoooM3SQGy7IkCA" + "AAECBAjMxQJZu1MQWBC4ro9BFgSuq7BCBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECpQsIAgsC1/UmEwSui0khAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQI" + "ECBAgAABAgQIECBAgEDDBASBBYEb9mazIwIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAg" + "QIAAAQIECBAgQIAAAQKtFViwY8cwZcqUps2nvqZz2bKpFbfr0rVbU6l27UK7bG/JdPxpl7xOGD8+" + "W+qVAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZW" + "PQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEy" + "BASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSB" + "SwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BV" + "JwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQ" + "IECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECA" + "AIEyBASBy1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGS" + "BQSBSwZWPQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASB" + "y1BVJwECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZW" + "PQECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBASBy1BVJwECBAgQIECAAAECBAgQ" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIGSBQSBSwZWPQECBAgQIECAAAECBAgQIECA" + "AAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAIEyBGY+CBzahXbtph7K1Il2yeuE8ePLOD51EiBA" + "gAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBQQ6BmEHhKLJj+yrdo" + "16Vrt3xJDP6mP4XXiYLAOZYJAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQI" + "ECBAgAABAmULdOjYMcn8To34Tn2dks0Xdl47CJyMDhz/xV8TJxgRuOBlkgABAgQIECBAgAABAgQI" + "ECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgECpAh0WTILAcfTfNAvcFAieiSBwsu3UUYEn" + "TphQ6oGqnAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBaQId" + "FlywaaYwCrAg8DQfUwQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAAB" + "AgRmS4FWBYHjKMDt8tNJppJ/RgTOQUwQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQKF0gDQJPibtJfzX9LowOnB1Auy5duzWVmLqkXRIGzn+SaUHgXMMEAQIECBAg" + "QIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAgdIFmoLA0yK+U2qEgONBtBwE" + "TgpMnDix9IO1AwIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIE" + "mgQ6dOhQQVF3EDhuVRwVWBC4wtEMAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAA" + "AQIECBAgQIAAgVIFikHg5kLA8QCmGxE4O6osDCwInIl4JUCAAAECBAgQIECAAAECBAgQIECAAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIFC+QBYEbikEHI+i2SBwurJduyAIXP4fyx4IECBAgAABAgQI" + "ECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIZAIxCDyjEHAs22IQOBaYNGlSfPFD" + "gAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgEADBNq3b1/XXgSB" + "62JSiAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgEBjBNosCNyY" + "w7UXAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgRmRmCGIwLP" + "TGXKEiBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQGAFB4MY4" + "2wsBAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgACBNhUQBG5TTpUR" + "IECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQaIyAIHBjnO2FAAEC" + "BAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAAAECBAgQIECAQJsKCAK3KafKCBAgQIAA" + "AQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECDRGQBC4Mc72QoAAAQIECBAg" + "QIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQIAAAQIECBAgQKBNBQSB25RTZQQIECBAgAABAgQI" + "ECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQaIyAI3BhneyFAgAABAgQIECBAgAAB" + "AgQIECBAgAABAgQIECBAgAABAgQIECBAgAABAgQIECDQpgL/Dz6snJOlZkLcAAAAAElFTkSuQmCC" + ) + (uuid "246d13b0-f67b-4d8c-8ac1-90146cb979be") + ) + (image + (at 33.75 108.5) + (layer "Cmts.User") + (scale 0.18162) + (data "iVBORw0KGgoAAAANSUhEUgAABCwAAAaICAYAAABPAE9BAAAMP2lDQ1BJQ0MgUHJvZmlsZQAASImV" + "VwdYU8kWnltSIbRABKSE3gQRKQGkhNACSC+CjZAECCXGQFCxo4sKrl1EwIauiih2QOyInUWx98WC" + "grIuFuzKmxTQdV/53nzf3PnvP2f+c+bcmXvvAKB5gieR5KBaAOSK86WxIQHMMckpTFIXwIAOIINh" + "gMHj50nY0dERAJaB9u/l3Q2AyNurjnKtf/b/16ItEObxAUCiIU4T5PFzIT4AAF7Fl0jzASDKeYsp" + "+RI5hhXoSmGAEC+U4wwlrpLjNCXeo7CJj+VA3AIAWZ3Hk2YAoHEZ8swCfgbU0OiF2FksEIkB0GRC" + "7JubO0kAcSrEttBGArFcn5X2g07G3zTTBjV5vIxBrJyLopADRXmSHN60/zMd/7vk5sgGfFjDqp4p" + "DY2Vzxnm7Vb2pHA5Voe4R5wWGQWxDsQfRAKFPcQoNVMWmqC0R434eRyYM8CA2FnACwyH2AjiYHFO" + "ZISKT0sXBXMhhisEnSrK58ZDrA/xQmFeUJzKZqN0UqzKF1qfLuWwVfw5nlThV+7rgSw7ga3Sf50p" + "5Kr0MY3CzPgkiKkQWxaIEiMh1oDYKS87LlxlM6owkxM5YCOVxcrjt4Q4VigOCVDqYwXp0uBYlX1J" + "bt7AfLGNmSJupArvy8+MD1XmB2vh8xTxw7lgl4VidsKAjjBvTMTAXATCwCDl3LEuoTghTqXzQZIf" + "EKsci1MlOdEqe9xcmBMi580hds0riFONxRPz4YJU6uPpkvzoeGWceGEWLyxaGQ++DEQADggETCCD" + "NQ1MAllA1NbT0APvlD3BgAekIAMIgaOKGRiRpOgRw2scKAR/QiQEeYPjAhS9QlAA+a+DrPLqCNIV" + "vQWKEdngKcS5IBzkwHuZYpR40FsieAIZ0T+882Dlw3hzYJX3/3t+gP3OsCEToWJkAx6ZmgOWxCBi" + "IDGUGEy0ww1xX9wbj4BXf1hdcBbuOTCP7/aEp4R2wiPCdUIH4fZEUZH0pyhHgw6oH6zKRdqPucCt" + "oaYbHoD7QHWojDNwQ+CIu0I/bNwPenaDLEcVtzwrzJ+0/zaDH56Gyo7iTEEpQyj+FNufR2rYa7gN" + "qshz/WN+lLGmDeabM9jzs3/OD9kXwDb8Z0tsIbYfO4udxM5jR7AGwMSOY41YK3ZUjgdX1xPF6hrw" + "FquIJxvqiP7hb+DJyjOZ51zr3O38RdmXL5wqf0cDziTJNKkoIzOfyYZfBCGTK+Y7DWO6OLu4AiD/" + "vihfX29iFN8NhNH6nZv3BwA+x/v7+w9/58KOA7DXA27/Q985Wxb8dKgBcO4QXyYtUHK4/EKAbwlN" + "uNMMgAmwALZwPi7AHXgDfxAEwkAUiAfJYAKMPhOucymYAmaAuaAYlIJlYDWoABvAZrAd7AL7QAM4" + "Ak6CM+AiuAyug7tw9XSCF6AXvAOfEQQhITSEjhggpogV4oC4ICzEFwlCIpBYJBlJRTIQMSJDZiDz" + "kFJkBVKBbEJqkL3IIeQkch5pR24jD5Fu5DXyCcVQdVQXNUat0eEoC2Wj4Wg8Oh7NQCejheh8dAla" + "jlajO9F69CR6Eb2OdqAv0D4MYGoYAzPDHDEWxsGisBQsHZNis7ASrAyrxuqwJvicr2IdWA/2ESfi" + "dJyJO8IVHIon4Hx8Mj4LX4xX4NvxerwFv4o/xHvxbwQawYjgQPAicAljCBmEKYRiQhlhK+Eg4TTc" + "S52Ed0QikUG0IXrAvZhMzCJOJy4mriPuJp4gthMfE/tIJJIByYHkQ4oi8Uj5pGLSWtJO0nHSFVIn" + "6QNZjWxKdiEHk1PIYnIRuYy8g3yMfIX8jPyZokWxonhRoigCyjTKUsoWShPlEqWT8pmqTbWh+lDj" + "qVnUudRyah31NPUe9Y2ampq5mqdajJpIbY5audoetXNqD9U+quuo26tz1Mepy9SXqG9TP6F+W/0N" + "jUazpvnTUmj5tCW0Gtop2gPaBw26hpMGV0OgMVujUqNe44rGS02KppUmW3OCZqFmmeZ+zUuaPVoU" + "LWstjhZPa5ZWpdYhrZtafdp07RHaUdq52ou1d2if1+7SIelY6wTpCHTm62zWOaXzmI7RLegcOp8+" + "j76FfpreqUvUtdHl6mbpluru0m3T7dXT0XPVS9Sbqlepd1Svg4ExrBlcRg5jKWMf4wbj0xDjIewh" + "wiGLhtQNuTLkvf5QfX99oX6J/m796/qfDJgGQQbZBssNGgzuG+KG9oYxhlMM1xueNuwZqjvUeyh/" + "aMnQfUPvGKFG9kaxRtONNhu1GvUZmxiHGEuM1xqfMu4xYZj4m2SZrDI5ZtJtSjf1NRWZrjI9bvqc" + "qcdkM3OY5cwWZq+ZkVmomcxsk1mb2WdzG/ME8yLz3eb3LagWLIt0i1UWzRa9lqaWoy1nWNZa3rGi" + "WLGsMq3WWJ21em9tY51kvcC6wbrLRt+Ga1NoU2tzz5Zm62c72bba9pod0Y5ll223zu6yPWrvZp9p" + "X2l/yQF1cHcQOaxzaB9GGOY5TDysethNR3VHtmOBY63jQyeGU4RTkVOD08vhlsNThi8ffnb4N2c3" + "5xznLc53R+iMCBtRNKJpxGsXexe+S6XLtZG0kcEjZ49sHPnK1cFV6Lre9ZYb3W202wK3Zrev7h7u" + "Uvc6924PS49UjyqPmyxdVjRrMeucJ8EzwHO25xHPj17uXvle+7z+8nb0zvbe4d01ymaUcNSWUY99" + "zH14Ppt8OnyZvqm+G307/Mz8eH7Vfo/8LfwF/lv9n7Ht2FnsneyXAc4B0oCDAe85XpyZnBOBWGBI" + "YElgW5BOUEJQRdCDYPPgjODa4N4Qt5DpISdCCaHhoctDb3KNuXxuDbc3zCNsZlhLuHp4XHhF+KMI" + "+whpRNNodHTY6JWj70VaRYojG6JAFDdqZdT9aJvoydGHY4gx0TGVMU9jR8TOiD0bR4+bGLcj7l18" + "QPzS+LsJtgmyhOZEzcRxiTWJ75MCk1YkdYwZPmbmmIvJhsmi5MYUUkpiytaUvrFBY1eP7RznNq54" + "3I3xNuOnjj8/wXBCzoSjEzUn8ibuTyWkJqXuSP3Ci+JV8/rSuGlVab18Dn8N/4XAX7BK0C30Ea4Q" + "Pkv3SV+R3pXhk7EyozvTL7Mss0fEEVWIXmWFZm3Iep8dlb0tuz8nKWd3Ljk3NfeQWEecLW6ZZDJp" + "6qR2iYOkWNIx2Wvy6sm90nDp1jwkb3xeY74u/JFvldnKfpE9LPAtqCz4MCVxyv6p2lPFU1un2U9b" + "NO1ZYXDhb9Px6fzpzTPMZsyd8XAme+amWcistFnNsy1mz5/dOSdkzva51LnZc38vci5aUfR2XtK8" + "pvnG8+fMf/xLyC+1xRrF0uKbC7wXbFiILxQtbFs0ctHaRd9KBCUXSp1Ly0q/LOYvvvDriF/Lf+1f" + "kr6kban70vXLiMvEy24s91u+fYX2isIVj1eOXlm/irmqZNXb1RNXny9zLduwhrpGtqajPKK8ca3l" + "2mVrv1RkVlyvDKjcXWVUtajq/TrBuivr/dfXbTDeULrh00bRxlubQjbVV1tXl20mbi7Y/HRL4paz" + "v7F+q9lquLV069dt4m0d22O3t9R41NTsMNqxtBatldV27xy38/KuwF2NdY51m3YzdpfuAXtke57v" + "Td17Y1/4vub9rP11B6wOVB2kHyypR+qn1fc2ZDZ0NCY3th8KO9Tc5N108LDT4W1HzI5UHtU7uvQY" + "9dj8Y/3HC4/3nZCc6DmZcfJx88Tmu6fGnLrWEtPSdjr89LkzwWdOnWWfPX7O59yR817nD11gXWi4" + "6H6xvtWt9eDvbr8fbHNvq7/kcanxsuflpvZR7ceu+F05eTXw6plr3GsXr0deb7+RcOPWzXE3O24J" + "bnXdzrn96k7Bnc9359wj3Cu5r3W/7IHRg+o/7P7Y3eHecfRh4MPWR3GP7j7mP37xJO/Jl875T2lP" + "y56ZPqvpcuk60h3cffn52OedLyQvPvcU/6n9Z9VL25cH/vL/q7V3TG/nK+mr/teL3xi82fbW9W1z" + "X3Tfg3e57z6/L/lg8GH7R9bHs5+SPj37POUL6Uv5V7uvTd/Cv93rz+3vl/CkPMWvAAYrmp4OwOtt" + "ANCSAaDD8xl1rPL8pyiI8syqQOA/YeUZUVHcAaiD/+8xPfDv5iYAe7bA4xfU1xwHQDQNgHhPgI4c" + "OVgHzmqKc6W8EOE5YGPQ17TcNPBvivLM+UPcP7dAruoKfm7/BVXCfGDBv4DyAAAAimVYSWZNTQAq" + "AAAACAAEARoABQAAAAEAAAA+ARsABQAAAAEAAABGASgAAwAAAAEAAgAAh2kABAAAAAEAAABOAAAA" + "AAAAAJAAAAABAAAAkAAAAAEAA5KGAAcAAAASAAAAeKACAAQAAAABAAAELKADAAQAAAABAAAGiAAA" + "AABBU0NJSQAAAFNjcmVlbnNob3SDBdkCAAAACXBIWXMAABYlAAAWJQFJUiTwAAAB2GlUWHRYTUw6" + "Y29tLmFkb2JlLnhtcAAAAAAAPHg6eG1wbWV0YSB4bWxuczp4PSJhZG9iZTpuczptZXRhLyIgeDp4" + "bXB0az0iWE1QIENvcmUgNi4wLjAiPgogICA8cmRmOlJERiB4bWxuczpyZGY9Imh0dHA6Ly93d3cu" + "dzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMiPgogICAgICA8cmRmOkRlc2NyaXB0aW9u" + "IHJkZjphYm91dD0iIgogICAgICAgICAgICB4bWxuczpleGlmPSJodHRwOi8vbnMuYWRvYmUuY29t" + "L2V4aWYvMS4wLyI+CiAgICAgICAgIDxleGlmOlBpeGVsWURpbWVuc2lvbj4xNjcyPC9leGlmOlBp" + "eGVsWURpbWVuc2lvbj4KICAgICAgICAgPGV4aWY6UGl4ZWxYRGltZW5zaW9uPjEwNjg8L2V4aWY6" + "UGl4ZWxYRGltZW5zaW9uPgogICAgICAgICA8ZXhpZjpVc2VyQ29tbWVudD5TY3JlZW5zaG90PC9l" + "eGlmOlVzZXJDb21tZW50PgogICAgICA8L3JkZjpEZXNjcmlwdGlvbj4KICAgPC9yZGY6UkRGPgo8" + "L3g6eG1wbWV0YT4KOlSkkgAAABxpRE9UAAAAAgAAAAAAAANEAAAAKAAAA0QAAANEAAJ8xddrmcgA" + "AEAASURBVHgB7J0J2HXV+P/X29tkilQqCsk8Z4hK0kiXWSJjSkrIlZDql+aUkpKIpIGMDVKR/ohU" + "KEWKEtEghSSSeTj/9dm/3zrWuc/aa69zzt7nOe/zfO/rep69z957Td81f9e97rWo58VJhIAQEAJC" + "QAgIASEgBISAEBACQkAICAEhMEMILBJhMUO5oagIASEgBISAEBACQkAICAEhIASEgBAQAhUCIixU" + "EISAEBACQkAICAEhIASEgBAQAkJACAiBmUNAhMXMZYkiJASEgBAQAkJACAgBISAEhIAQEAJCQAiI" + "sFAZEAJCQAgIASEgBISAEBACQkAICAEhIARmDgERFjOXJYqQEBACQkAICAEhIASEgBAQAkJACAgB" + "ISDCQmVACAgBISAEhIAQEAJCQAgIASEgBISAEJg5BERYzFyWKEJCQAgIASEgBISAEBACQkAICAEh" + "IASEgAgLlQEhIASEgBAQAkJACAgBISAEhIAQEAJCYOYQEGExc1miCAkBISAEhIAQEAJCQAgIASEg" + "BISAEBACIixUBoSAEBACQkAICAEhIASEgBAQAkJACAiBmUNAhMXMZYkiJASEgBAQAkJACAgBISAE" + "hIAQEAJCQAiIsFAZEAJCQAgIASEgBISAEBACQkAICAEhIARmDgERFjOXJYqQEBACQkAICAEhIASE" + "gBAQAkJACAgBISDCQmVACAgBISAEhIAQEAJCQAgIASEgBISAEJg5BERYzFyWKEJCQAgIASEgBISA" + "EBACQkAICAEhIASEgAgLlQEhIASEgBAQAkJACAgBISAEhIAQEAJCYOYQWGIJi3/84x/utttuc4sW" + "LXKrrbaaW2qppWYK3P/85z/uN7/5jev1ejMZv5kCa4YjQ/6NIpTHuZK///3v7rLLLnM33XST++1v" + "f1v9/elPf3L3vve93UorreRWXnll98QnPtE9+tGPnqi+jIpJjMdc4hPHQ/dCYD4gkKuLqmvzIYeV" + "BiEgBISAEBACQmCJISz+8Ic/uHPPPdedeuqp7mtf+5pjIhbLk570JPeYxzzGvfzlL3fPfe5z41dT" + "ub/lllvc8ccf7370ox+5a6+91l155ZUD4RI/JooPf/jD3dZbb+0e+chHDrzXj9lD4JRTTnGvec1r" + "xo7Yve51L3e3u92tIqwe8IAHuEc84hFuyy23dJtvvnlFtI3tceTwn//8p/vc5z7nzjrrrKpuRK9q" + "b4nXVltt5XbZZRdHuRxFCGubbbYZxUnyW+KwyiqruNVXX73C5RWveIXbeOON3eLFi5Pfd/Hwta99" + "rbvzzjuTXm+44Ybu7W9/e/KdHgqBEgT++te/ut/97nduzTXXLPl85G+ov8ccc0ytO/rIe97znrXv" + "9UIICAEhIASEgBAQAksEAn6FZqblX//6V++4447r+QkOS91Ff35C2POEwVTSdeutt/b8xKYoXnH8" + "99hjj56fLE0ljgpkPAQ++tGPjpyvcR7X3XviqveJT3yi9+9//3u8iHlXXoOnd/rpp/ce8pCHTBRH" + "T+71fvKTnxTH48QTT5wovDpMeL7GGmv03vOe9/S85lRxfCb5MBcXcJEIgXEROOOMM6ry/MIXvnBc" + "Lxrdve51r8vWxd///veNfugDISAEhIAQEAJCQAjMOgIzrWFxxx13uOc///nu4osv9nOL0eWLX/yi" + "e8ELXjC6w0IXaHu87GUvK/x6+LP73e9+7oQTTpgTjZDh2OiJRcATZW6nnXayj1v7vf322ztPiril" + "l156JD//+Mc/VppE55133kjuch9//vOfrzR/ct/w7qSTTnLbbbdd02cTvUcT6cILL3T3ve99J/Kn" + "yXFOZR4trXPOOafJC70XAgMIXHXVVW7XXXd1559/fvXcExbuzDPPHPimrR/UQ+pjnXjCwq244op1" + "r/VcCAgBISAEhIAQEAJLBAIzS1jcfvvtbosttnDf//73xwYStfPLL7/cPexhDxvbjzqHxOvJT35y" + "3euRnrONhO0sktlCoGvCgtSyvcJrW7hlllmmKPG//OUv3XOe8xx39dVXF30/ykdHH310tU0k52Ya" + "hAXhP/3pT6+2ft3jHvfIRWeidyIsJoJPjiMEIAf2339/Rx2KRYRFjIbuhYAQEAJCQAgIASEwOgIz" + "SVh4VXm30UYbja1ZEcPAau33vvc9d/e73z1+PNE9xjQf//jHV0YNJ/Lo/xzj1yWXXOKWX375NryT" + "Hy0hMA3CgqgeccQRbrfddmuMtd9+VBnNxKBmV4I20/rrr1/r/bQICyKAvY+zzz67M7sWIixqs1kv" + "RkDgtNNOc2hLWbtKeCHCYgQg9akQEAJCQAgIASEgBBIIzCRh0fZE8ZOf/KR79atfnUj+eI9e9apX" + "uU9/+tPjOa5xtfvuu7v3vve9NW/1eC4QaLsc1qUBTSCMtuYM5EHioXEUVM3r/Jr0OduUUGvnmpJp" + "EhaEz7aMrozoirBI5bCejYoAxlvpY1IiwiKFip4JASEgBISAEBACQqAcgZkjLFg9fuhDH5pcrQrJ" + "4mSD//mf/3HrrLNO9d373ve+2gEjbl784hc7bwQtOJ/oytGRTZoQaHUcdNBB7lGPepTj+FW2j7zr" + "Xe/KamQwacU2QW4SNVHE5XhkBJoICybSaMcgHGPrDcQ6Tu3429/+5tCG+PGPf+x+8YtfFIV7+OGH" + "u3e84x213x588MFu7733rn0fXkA0YPflKU95ShU3SJAbbrih0uDxBi3DZ9nr2972Nvf+978/+U0T" + "YQEx+LSnPa3v1hvxqbDhCj7UB05P+OEPf1hpT/Q/rLkhLZyA0oXk6ppsWHSB+Pz0U4TF/MxXpUoI" + "CAEhIASEgBCYEQRmzSroBz/4wazlc68mnjxdo8liulfXbSWpX//617Px8+RIz5MaQ2H5Y1l7/kjT" + "rNuf//znQ+70YO4QaDolxB+v2xg5T1b1Nthgg2y++6ag50m4Wr9uvvnmRvf44SfZ2RM2fv3rX/de" + "//rXN/rFiTx//vOfk/FpOiXky1/+ctJd6iGnGPgV6Gx8iEtXAmZ1f2ApEQIlCPijj2vLEeW7K2nq" + "83RKSFfIy18hIASEgBAQAkJgmgi4aQZWEhYTt7pJBJMXr4WQ9OZXv/pVrTv8++Y3v5l0N+pDv3Uj" + "G86NN95Y6yUT3Lq08dxbk691qxfTR6ANwoJYQ2BBtOXynnd1stdeezW69duJio5J5ThUv9++0T+v" + "4p6MTpuEBQFAjHitkGx87rrrrmRcJn2Yyw8RFpOiu3Dci7BYOHmtlAoBISAEhIAQEALTR2CmtoRc" + "e+217pGPfKSfR6TlgAMOcO9+97vTL/1TTtq488473VprreUe9KAHuTXWWKP6e8ADHlAZ8WzjiLdH" + "POIR7qc//WkyDk1bT1CJz50GgZX5ffbZJ+m3Hk4fgaYtIZ6AcptuumlRxLz2TLXVKfcx26FWWWWV" + "gU/8ZN3d//73z26R2mOPPdwhhxwy4C73g20rz3zmM913v/vd2s823HBD961vfWvofdOWEK9hURnL" + "HHKYecBWGAyP1gknBnVxxOksbQm58sorq/xgK5EnX53XqnEY9+WUlJVXXrlK/0orreSe+tSnuk02" + "2SSLB/ZQbrrppjo4K8OtTdvaguPf/e537rrrrgs/h67rrruuW2qppYaepx6QnnPPPbfaouSJ3erq" + "CavKXsqqq67q1l577ao+cfrSqEf9ght+pmT11Vev+oPUu/gZW/fYspQStiEuu+yy/Vds9QrGbym/" + "dUdvs2WMo4tj4VkbRqDbOtb0L3/5i7voooscJxDxxxYy0sfWNurdve99b0fZY6sjp/c84QlPyPZj" + "cVpT95TPyy67rB/e9ddfX5XXxYsXV23daqut5vgj35797GdXz1L+pJ4xhuA49JTQdzeNAUjzFVdc" + "kXLulltuuWobavJlzUOv1ea+8pWvVFvyqNf8phyF9LF9bvPNN3eU/0lk2nk4SVzlVggIASEgBITA" + "yAhMnyOpD/Hkk0/OrrSiXj+Xwuq0B7j2zxMOjdHLrSZ7GwWN7vXB9BBoS8MixNhPVGrLDuXKn2YT" + "Pu1fP/OZz2TdeFKu5wer/e9Lb/zJBkl/KZ+sGE9Lw4L4ehIyGZdQ10rTNOp3wf/UdRoaFp446nnb" + "Or1nPetZ2fSn4udJi563A5JM8tvf/vasf6effnrSXephk18/+9nPUs76z2gz2Sa09dZbZ+MUpxFN" + "Ok/A9UbZxvfGN76x1v+SvPST3Fr3xM1u/yrZ5hWnKb4/9dRT+/hMcjPplhC0m9iCmeuT4niHe773" + "BGNvVM0nT1T0vH2cLM4hjPjKNkvKkDc83AjXQx7ykFr/va2rRvfeyG+te+JU2tayvfNlL3tZ1q84" + "jd5WT88fb94YP/vBtPPQhq/fQkAICAEhIASmgUC9Hvo0QjdhvPOd78x28F5DwbiYm59sS2GgfuGF" + "F/YYfDLo23PPPZMTzjiGDHbiQYq9P/roo+PPdT/HCLRNWPiVtGz+e42GoRS/5S1vybopIcmGPPUP" + "/Epij4khdlV23nnnnj/1pudXOlOfDjxre0sInjP5tnUh/M7Z9hiI2Bg/Qhipa8kkd4wg+07YJsQk" + "JRX2KM9SkzC/2p/1l4lUiTBBzE1mIeBygg2FrbbaKhuXXFopn0wgS6RrwuKrX/3qQDSWdMICAiCX" + "t7l8Ce+8tk+v1E4GW9aCu3Gv5HETadE1YQFB0CRN/UYu/V7DsgfJVyLTzsOSOOkbISAEhIAQEAJd" + "IDBThEVuQsfgKAiTLa9S2jv22GN72JTwpx/00M6YdaOVDHpzgxVWvSWzg0DTwNOuuuZizmp60wTh" + "mmuuGfLCq2Fny8xPfvKTITelD8YhANsmLNDkyNUJf1pJaXJG/i4XbpeEBWVhlNXXXDx599nPfnYg" + "7Ux4chM33JRoL/gtQ9m8OeqoowbCjX9cfvnlPbR/muJe8j5FysRhcS/CYljzr45MQDOnBPeSbyAU" + "/ZYtmx0Dvz//+c+3Fh5Gg3PtVq7cl5SjJg2LJsICwqEEt9w3pJE2IifTzsNcXPROCAgBISAEhEDX" + "CMwUYcGKWl1HjvorKqhNarAMoOxqWNcglvrftCqHyqxkdhBok7BAg6GubIfndoLB7/AudaW+lK7G" + "tYVqG4QFg360k3bcccfG9NUZ2W0jPSlMw7MuCQsI1hBOG1eIMFsODjvssGwYTCKbxB/FnPWjrr3y" + "dhAq7Z020hb8OPLII7PRFWFRRlh4G0+tEUkhb7bddtvavPFHO2fLUPBjlCttUJ3MJWHRtKV1lDTm" + "NOemnYd1WOu5EBACQkAICIFpITAzhAUD7lyHzvFwTTYAYvfsw//rX/86LRwbw2HfeBw/ex9rkDR6" + "pg+mgkBbhMUxxxyTzXvKQop8aFpFw/bBtKWJsCAtTKDjP9IW/my5z/3GfkeXkgu7K8KCNgkscmGP" + "884bChyACtIg5w82JXJCe5zTkKjDh9XvcWxy5OIa3uU0mkRYlBEWJdoO9EX0t5SRJpI95E3d6VhN" + "pCTuvYHfausQYTZplPE929jqtCzmirBA0y1g0da1zmbXtPMw107onRAQAkJACAiBaSAwM4QF2hNt" + "dfTBHwbOTSqc0wCZyUSIU931lFNOmUZUFMYICIxDWLBdCQN+/iSZyr5JqbFBbFVYueCCC7Ll5g1v" + "eIN10vnvEsKiroyP8pw9711LLj51E/JJ49S0BYY26/jjj+8xAUIt3J8qUBnmbCJrP/zhDw9FjTTk" + "0pjTXsEAbM5tnYbG4YcfnnUX/MS2BXnsT+LpUfZzE83gBhKsjoSeNmGB6j9HFZccVxy+C9eUcd2h" + "zCt40KRtaDW28PKVr3xlbf5g3NKftjIUMkeGN9lb2W233Ybc8SBHQBx00EHJ/pn+sqk8fPGLX0yG" + "l3PX5ZaQ3NG2lF9ISuq1P3Gn94c//KHnT2Xp5bbA4ob3KZl2HqbioGdCQAgIASEgBKaJwMwQFv7I" + "u9qBVBiwjnPdb7/9ponnUFhMPJpWVBlkNe1ZHfJYDzpHoImwGKc81rlhAGuFQXnd9zznBIdpyzQI" + "i/POO28qycph2xVhkdM+4B2EV0qYNObaESZ/VupOggnptrYvYvd77bVXtuyliGAMiebiSLhobVxy" + "ySVxUNU9/kHAhbjVXVPEDB5Mm7CIE5CbrKI10JWMQ1hA+tRhmzL6G+Luj7ettcGDhkSKYGRiXhcW" + "z+vKOmGyZSzllvIF8XP22WeHqA1c54KwaNJmIk4p+1poiTQZGr8+YQh5mnk4AK5+CAEhIASEgBCY" + "IwRmhrCgQ08NUNp4NolhwknyhXBzg4uQtmlN0CZJy0J0Oy3CgtXLlDSRA/vuu2/KWafPmuIUyvQk" + "V9TQv/CFLwzZZWg7Ybk4dkVYoDHx9a9/vYfByu23335gm9ull16aTWJulZuJj5Wm7SesqKeE7SC5" + "iV+dZg95lsOUtjC16h/HAYI55weER0pEWJRtCcn1R6zo5/pK+imMxR5wwAE9yFS0BXKnduBXLi85" + "4vS2225LZWf1DG0d7GNgeBe7VCntD+s4V2670rDAvkounXXaIMSdOpqL88EHH2yTmB1TtJ2HQ4Hr" + "gRAQAkJACAiBOUBgZgiLq6++OtvpxwMCVpawYM/KBgOZpn22dOLWKF3XWHOmem5wGNLz1re+teuo" + "yP8xEZgGYcGKYZ3xwqbw99577zFTNr6zaRAWoW4woe9S8yiEk7p2RVikkEczoWkiz6keuSNC60iE" + "XXfdNduusgpuhb3zKUzCM7YqpaRpCwrbYZoETYumdjN1mo4IizLCoimPyGMMV0McoXHBUdzjCnU3" + "lJnc9dnPfnZF4GGzp842RWkccpP/rggLiL+69JUcy5xrU8HGyjTz0Iat30JACAgBISAE5gKBmSEs" + "SjUsUsccolqa29fJYIJVzWkJR642qUYTJwYzKdXqacVT4eQRaCIM6gappc+ZmNUZViNmGJ3M+cVk" + "dNqSG1zn4jruO1Tqc6rjk6Q/F6dpEhapNJBmbB1wdDMY5OLKu1e/+tUpb3ocL5pzy+k1VnJHM6Lh" + "ULeqngsHWwalk9ETTjghG+cPfehDNsraEuLLgMU/RYIdeuihQ99Zd/Y3Wz7YckR5LM3DkEFMuK1/" + "ud/0m2gSoYGELYtRw5s2YcFCSK6v54jSJsnVUfy2Mu08tOHrtxAQAkJACAiBaSMwM4RFiQ0LJvh1" + "g2XURXMDoRTR0QXYF198cTYeIY4MrFAPl8wuAl0SFkwCUvuaYzRQwQ7lJXVF02ja0kRYMNHH/oH9" + "44hMbG6wEr7NNts0akXF6T366KM7SWYchr2fNmFB+3XmmWdWe9qf/vSnZ/PdxpXf2FGok5zBzpSN" + "hdykr84mEDYOUvEKz+qMMqbizFaD4C51Tfk1qYYFk/tUWOFZ7qjsJcmGBVoTIU3jXJlAMwk/99xz" + "a/viOE8hOsYJJ7iB1KXdyBG7cXi5stuFhgWaTyGuqSt47bLLLtm/XPnBT+zXxDLtPIzD1r0QEAJC" + "QAgIgblAYGYIi5JTQuos0wfgsDafGjTwrGSlI/gz7hUtjrrw4+esUjZNVseNg9y1h0AXhAUrjmec" + "cUbRFiWME8blxt7PxVG4TYTFl7/85eIM+Mc//lHth7fpsr8Z9OdOtCgO0Hxow4l/T4OwgKRgJTlH" + "KMRxyt3nyKsPfvCD2XIUr8Q3HaVbZ+OgaRsJR/uWCltkcmlNaZNMSljcfvvt2TDnC2GBRkCJcdMc" + "/uEdx4vm7DOQ32w5YqEhuJnkSttJ+czJpITFWWedlY2r1YhsMrg5SXqDW0vWTDsPc3jrnRAQAkJA" + "CAiBaSAwM4QFnXDooOuuTYOV3GSq68kdK0518Y6fM6CqO7N+GhmuMMoRaCIs0JLYcccdB/7e9KY3" + "9VgB3nPPPXv7779/D4Nsp59+eg+DivHEsCQWv/jFLxrL1Kgq03G4TMKYSBJOqeTqGOV8FMIihIlW" + "EqREXE/s/cc+9rHweWtXG0b8u0vCgjwD9zi8Se9zhGyT9lpsW4IyWxcXND/qpImwiMOo8yN+Dqlb" + "F4/Uvv4cYcGpEk3y29/+tjY84jFfCAtwwLZEzh5KHe51z1NHMsd4o0mYIxLq/K17zla5OsmFc9hh" + "h9U56z9vMhxrCYurrroqW27q0jDKc9pHK9POQxu+fgsBISAEhIAQmCYCM0NYkOgmY2sMKnPCoDI3" + "EIAU6ULOP//8bLghTuzjrjOw2EW85OdkCDQRFl/72tcmC6DBNeW1aSLfROLVBcHWqnhSyCr/e97z" + "nh4D8Jx0QVgQXpNaNCcKtC2hXqauXRIWO++8c1F7kYpX3bM6o5sBs9wENU4rq+Z1YVAf6gRCrs4d" + "z5u046y/uXKfOlUnR1hgdLlJbr755mz85xNhARacTsFWhVyejfKuiZC64YYbquNIR/Ez923K8Crp" + "yhEWhxxyCJ9khaN+c+FawgLj2rnv23hXV/amnYdZ4PRSCAgBISAEhECHCMwUYcFKWK6Db9JM4OSQ" + "nPtJLJ7X5cF3vvOdbJghPmh4sM9bsuQgMNeEBUhxjGAoQ6nru9/97rEAzdlaYdCPhkhKuiIsMP6Y" + "Sl94VrJKnopv7lnwO3WNJ/E5P0Z914RfHBfaDGx/oHaPlsTuu+9eixET9pycc845tW4Jky0RTZOv" + "XPt10003Zf0vsR8Q4n/nnXdm/Uppk+QICzShmqTpCM66SSP+5si2lI2QpriUvmcbUFxe7H2JRhd9" + "6oEHHpid6Ft/636X2GTCcCfGgnOEVJ3/8fM6jckcYYH2UJMcf/zxWUwtYcGWlzheXdx/4xvfyEZ7" + "2nmYjYxeCgEhIASEgBDoAIGZIiwYnOc6/AsvvDALQW5QjvZG2/Kzn/2saOC1ySab9BiES5YsBGaB" + "sPjwhz+crROUazuILkE5Z++FOshKe0qaJtzjbAkhnByBQnzQAGlbcm1NF4QFW0FyEyriQ1uBWvod" + "d9wxlFyOQK6LM1obOcFeSE6D7eSTT65OgqjzHw2NnHCqSZ1bnjfFL/a7Sc0eg65WcoRF3eQ29qOJ" + "eJ6vhEWMwY9//OMexqmbFg7q8pn+t1TY0kCdh0Qgf+r8zD1P1ZFc/dpjjz0ao/fe9743G5dUW5sj" + "X9AMQ3tnkr86Q+OpxEwzD1Ph65kQEAJCQAgIgS4QmCnCArXS3AAF43E5Oe6442rdt71Cy+pVTn06" + "pIOJTxeaHTkc9K4dBGaBsCgx6lYyEI8RgfgL5bPu+pGPfCR20r/virDARkVdXHieslvQj9SYN7nw" + "uiAsLrroomwaUdHPSW5FvcmOAP5STurSTPvIlrW6903GFfE/N3GDLOFEhRJBa6guHjzHJoyV3DYb" + "JrFNwpaVXJjjEhZdlKOQllx5IC0lGhbBL3tluwEkDgRGybG6hFey5cKGE36jqQDGEBgbbLBBNi9C" + "PqVsO+QIiyYtJOLSROSmCIsc4ZLSBgpp7vo67TzsOj3yXwgIASEgBBYuAjNFWDRNzppWkxkchsGM" + "vb7zne9sNZebVPUJn0mWyIpWYZ+qZ7NAWJDg7bffvrZch3J++OGHF508wtaC3Ep78K9OI6gLwoKJ" + "bGxPI8QhvmLctG2J/bf3XUw0m7BrmtDnjjvF2GuTNG35sBiE3xARaFA0SW5rBH4dcMABTV5URziG" + "cOuubF+xkiNj8KfJOC341YXH8xxhkSMOuiDaQtpz4RLnFGHByTQQZ5RFMIOMYJLfNLGmHzviiCOy" + "GBGfWNCiwKAv2H3oQx/qoSGEPRHaH8LPCe0Up8Hk8iTlR448aKrTaDI0LUKkCItXvvKVtfGkXWsa" + "A5x99tnVtiLqx2mnnVZtzcrVt2nmYS6P9E4ICAEhIASEwLQQmCnCgkQ/61nPqu38GbzU7UO97LLL" + "su5OOOGEJKaosLO6lvpj0JSSptU44slAJTVgTPmnZ7OJwKwQFqUTzRe/+MXJrQQB3QsuuKBxQE7Z" + "ZY95nTBJyE0iRt0SgtHQkmM9P/7xj9dFaeznuXQ0TW7GCfTggw/OYse2jTq58sors26bJpzB39yE" + "rg4PJpolwsS0zo/wHOOcdcJkkDIcvk1d6wxocgJE6vvw7Mwzz6wLtsfWvvBd3TVHWIB9nTu0BbqS" + "UQmLHKFP/HM2SkgDRoBzZGdcBlndz2ncUA6bjGBfd911tbgS3/POO28I2qbtLDk7WE0anoSZIiya" + "tu0ddNBBQ/EMDyBJ6rRCIE8gAWOZZh7G4epeCAgBISAEhMBcIrCIwH1HPDPiiQXnBz7Z+Ph9oc7v" + "NXXLLLNM9d23v/1t5we6zp8iUuvOD/jd4x73uKH3ixYtGnoWHvjj09w222wTflZXP8BwfiDh/MrR" + "wPPUDz8QST2ufeYnis6rhde+14vpIuC3GLmddtqpNlB/SojbdNNNa9+3+eLNb36z8wPjRi/9hMK9" + "6EUvck95ylOcJwKq76+99lrnj9113gJ+o3s+8Bb43SMf+cjktyeddJLbbrvtku946E9wcOuss87Q" + "e5oZv+LqqD9+9dB5MtB5IsZdffXVQ9+mHnjyz6244oqpV2M/y9V9cPQq5GP7HRz6CZTzmhHVT2/Q" + "z/nTPMKroavfFuN22GGHoee0axtvvHEWK78a7fyEa8itfdAUB/s9vy+55BK37rrrpl4NPPNaDO4B" + "D3hAth3Ggd/a5yjPMf6UUa+15minc+K3M7lnPOMZQ594Is15TaSh5+GBnzy7n/70p2611VYLj6or" + "4W622WbO2xgYeG5/eMKi+s4+57fXznDHHnts6lX1zBskdWuuuWZ1720MuFVWWcVRviYV6iH1sU5s" + "nTn00EOdN6Zb97nz2iDOr/b3+1X74fXXX+9yfRrtZVy+t9hiCwdudeIXH9w+++xT99p5bQO39dZb" + "1773mjbuvve978D71772tdl64BdEHO324sWLB9ydddZZzmubDDxL/fCEhbv73e8+8MprUFTlymtI" + "DTyPf/hFjmRa9ttvPwcOdUL6cRtk2nkYwtVVCAgBISAEhMCcIjCXbEkq7LvuuqtRPdwDVq3esNpW" + "sjqbM/iGX3V/qfPe2T9d9/2kzzkFQDI7CMyKhgWIoFb8pCc9qbOyF8puky2EJg2L4E+b13jlts3S" + "0WYc6/yKt0H4iX9j/lHmwvYF8pzfuVXtEG7p1gO0voKbkiurvE0r4XGeHHnkkUX+s/rOajhq/01q" + "+CGefF8naOqE7+quaL3ttttuPVTwMRDZtA0k9ienYdG0HYW0kj9h2xMnUbQho2pYoEERpyl1TxuD" + "NkrYdsO2Dk6AwZZFUzlkq0IsaECkwoif+Ql5ZSuDfh+hzKNRRj7F39l7ykxKjjnmmKw7/CGNaD2Q" + "p2hLNmllxGGnNCyIR5OxTvxAWwJbMJ6krQyO5raShDDBIpZp52Ectu6FgBAQAkJACMwVAjO3JQQg" + "UCsPHfakVwZZua0ZOf9ThEWXk0YRFnNVDdLhzhJhQQxLT6XJlencO/azM0HJybQJCyZ7XsMgF6Wx" + "3+WwaOtdTFgwKSv1N0xuS79HrbxUmmxNxGH6Fd1Sb6vvUHH3WjbF6YzDyt3TjueOzWzarpDzu+Rd" + "jrDAGHSJH+Gbum2NIwHtPx6VsMB/DLuGeLR5TRFm5EnOkOsk4UOMpaTpeNpJwsRtHWGB0VDaqkn9" + "j93b7SAhvdPMwxCmrkJACAgBISAE5hKBmSQsACR3TF3cqTfdezX4LL4595awaNpTm/Or5J0Ii2xW" + "Tf3lrBEWAMDq3KiT2ZKyx177usF4DPy0CQuvqh0H3+p9CS6TfhMTFkSeYw4n9bPO/a9+9asifLxK" + "fHEcbrjhhiI/449YnW+7jH7ve9+Lg0jee/X64nTVYVj3PEdYjDpJbktjaBzCAmO6padw1GFhn0Mm" + "1ZU9v72sUTPD+tf0G4PXOa2fDTfcsLNykGsjS2xgNKUtvKf+YIQ8JdPOw1Qc9EwICAEhIASEwDQR" + "mFnCArVovx92ooFHk3o7QIcBQupqCQt+p75r65kIi2kW/eawZpGwINaoXo9jPLGunLKtqsngXkBr" + "moTFqAY8QxxLr3V4tPncEhaovI+76ty0gnv00UcXJZ22tYRQwADyuMI2gk022WTi9pLJMNs9SgRD" + "j6XbS2weE9fc0ag5woK4jVIfU9oIJemz34xDWOAHp9G0Oan//ve/b6M28BtCh3y0mI/zm7rTdJqO" + "t1UydlgcqZvbZpojLEi0t2UydtgBD7SlqD85mXYe5uKid0JACAgBISAEukZgZgkLEo56cZP199DJ" + "2yt7WUvEuot/W8KC0xPi923fi7AoybHpfTOrhAUIsHWDvfCTTAQYGH/6059u3AYSIz4NwoJTKX7+" + "85/HwXZy33b9TflnCQsS4g0vjkxasCrOimtO84ztaqXCtoRUfONnJ598cql3ye9ov0ttWsThhntW" + "0q1dhGRA0UNvGHJkWy8ceQ2RlLOb0ERY8L6JUArpqrO/ECWj6HZcwgLPmfCOYsMjxD2+YoMBMqJE" + "IBGaTriI/U7dQybktnfG8Tj//POL8yOERduGTEJY4B4bKSWEYAg3vlLPvQFYvGmUaedhY4T0gRAQ" + "AkJACAiBjhCYacIipJnJS9PgLHT6DOjrVCmDf/E1N8i0R+FhICyE08UVlWbJ7CDQpOJ78cUXz3lk" + "GbRCzmGAtrRMskp5yimnjERUhISWHOnbFA/qHEQLg3riwmov2lSsTt52220hqM6vubrflIbS90cc" + "cUQyHRxhikZEkz+QEP60hL4KfJMhw2C8MBlo9LDkqNw//vGPkYvxb7FBwtGPpVoIEBUlW0DqYoSm" + "BURRE5lHex7XYSbEdfkRf1cXLv1USRrb2hKCBmFdfHlO29Ak5A0GKEepC9i6KdV6seGzRaQp3jZN" + "bKPK2S+xYYTfTPx33HHHLEakG4I0Pu7Un+pT68afcBS8z17RxDj88MOLyTMwLSljqUCnnYepOOiZ" + "EBACQkAICIEuEZi5Y039YKVW/EDUcawdR4r6lTfn93JWRx1yTNxjHvOY6vjAZZddtta9XgiB+YyA" + "N/zmLr30UnfLLbdUR0v6bR5uqaWWcmuttVb196AHPcjxt/zyy89nGJaotPmVfedt4zg/2a2O3bzj" + "jjvcyiuv7FZffXXnV1vdgx/84E7S03S8aekxqaNGjqMxSSvHiHpi2fmJnVtppZWqoz7XXntt97Sn" + "Pc211YZzzKqfBFb9BWHRf3iSrDpidP31169wHjX+Jd9T/ziylyNaqYMhfeTleuut55ZbbrkSb6b6" + "DVh5UsBxBGv449jQFVZYoWozaDc4mpVja8Nx4pNEkHIPTt72RVUWKA+UffpywnrgAx9YhbfqqqtW" + "bdgkYXnixn3rW9+q0kU4Sy+9dOU3+cERuV23h4R5+eWXO0/GVsc5M26hjhM+mNI+k85JZdp5OGl8" + "5V4ICAEhIASEQCkCSxRhUZoofScEhIAQEAJpBLx2h4McYCJVJ+eee657znOeU/daz4WAEBACQkAI" + "CAEhIASEwFQQEGExFZgViBAQAkJgNhA46aST3HbbbVcbGa8m71hdb2MlvTYQvRACQkAICAEhIASE" + "gBAQAgUIiLAoAEmfCAEhIATmAwKnnnqq8zYUHGrydeKNUDpv7LjutZ4LASEgBISAEBACQkAICIGp" + "ISDCYmpQKyAhIASEwHQQ8Cd0uOc///nOG+GsbAKwDeSKK66o7GQ0xQCbGmwZkQgBISAEhIAQEAJC" + "QAgIgblGQITFXOeAwhcCQkAIdIDAokWLRvbVn9DhPve5z43sTg6EgBAQAkJACAgBISAEhEAXCIiw" + "6AJV+SkEhIAQmGMEODnp6quvHikW0q4YCS59LASEgBAQAkJACAgBIdAxAiIsOgZY3gsBISAE5gKB" + "5z3vee5LX/pScdBf+MIX3Ite9KLi7/WhEBACQkAICAEhIASEgBDoGgERFl0jLP+FgBAQAnOAwJve" + "9CZ37LHHFoX82c9+1r385S8v+lYfCQEhIASEgBAQAkJACAiBaSEgwmJaSCscISAEhMAUETjkkEPc" + "XnvtVRsix5e++c1vdjvssIOMbNaipBdCQAgIASEgBISAEBACc4mACIu5RF9hCwEhIAQ6QoCTQm67" + "7TZ36623ultuuaW6X2GFFdwaa6xR/a266qpu8eLFHYUub4WAEBACQkAICAEhIASEwOQIiLCYHEP5" + "IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQI" + "iLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEh" + "IASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBAC" + "QkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEg" + "BISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdC" + "QAgIASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAI" + "i5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJC" + "QAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAE" + "hIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJA" + "CAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASE" + "gBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIiLCY" + "HEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAICAEhIASE" + "wOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISAEBACQkAI" + "CAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgIASEgBISA" + "EBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YBlXdCQAgI" + "ASEgBISAEBACQkAICAEhIASEwOQIiLCYHEP5IASEgBAQAkJACAgBISAEhIAQEAJCQAi0jIAIi5YB" + "lXdCQAgIASEgBISAEBACQkAICAEhIASEwOQIzBxh8a53vcvdfPPNk6fs/3zYb7/93MMe9rDW/JNH" + "3SHw73//2+2www7uH//4RxXIsssu644//ni3ePHi7gKVzwsOgcsuu8wdeeSR/XRvtNFGbscdd+z/" + "1o0QWJIQWJLK83XXXef23XffPryPf/zjHX2+ZG4Q2HPPPd1NN91UBb7UUku597///W6VVVaZm8gs" + "wFD/9a9/uTe84Q39Mc/yyy9fjXkWLVo0b9A4/fTT3RlnnNFPz7bbbuu22GKL/m/dCIGFjID6xBFy" + "vzdjssYaa/R89Fv7O//882cshQs3Or///e97b3nLW3pve9vbkiD8/e9/H8r3v/3tb8lv9VAIjIvA" + "Zz7zmYFy9spXvjLr1bnnntt7+MMf3vNEavY7vRQCc4HAqOW5qzj+85//7B1zzDG9pz71qbVBfOMb" + "3xioextuuGHtt3rRPQIPechDBvLj6quv7j7QBRRCU9/x5z//eQB/xr5+4WZeIeQJyYE0Hn744UtU" + "+q655pre5ptv3vPES2O8S9rARk/0wYJCQH1ieXa78k+n86UIi+ngPM1Q6IBPPvnk3r3uda+q49p+" + "++2TwYuwSMKihy0jUDrBu/HGG3tbbbVVf7D185//vOWYyDshMDkCpeV58pDqfbj44ot7Xluiqiv3" + "u9/9aj/U4KwWmjl5IcKiG9hL+w4RFt3g34avd911V2+vvfbq9/+f+MQnst6WtoFZT/RywSGgPrE8" + "y0VYlGOlL8dEwA6oRViMCaSctYKALY91GhZ2MC/CohX45UnLCJSW55aD7Xv3y1/+sj+oZ4VYhEUf" + "mpm/sW2cNCzayTKLa13fIcKiHby78OWNb3zjQLuWIyxGaQO7iKv8XHIREGFRnnczZ8NizTXXHLBh" + "4bcQuFe96lUjbHIZ/PQJT3iCu9vd7jb4UL+misCnPvUp9+pXv7ofpics3Mc//vH+73DDfs611lqr" + "v5+T57/61a/c0ksvHT7RVQhMjMBnP/tZ94pXvKLvjycsHGXUim2L/KDT+YGo/Uy/hcCcIlBanruK" + "pF9Ndg9+8IP73nvCwv3mN7/p/45vsLfx3Oc+t//oWc96lvvc5z7X/62b6SKw9tpru1/84hf9QD1h" + "4R71qEf1f+tmPARK+46//OUv7h73uMdAINjywp7IfJE99tjDvfe97+0nx28Jce94xzv6v2f1BrtW" + "H/vYx/rR84SFe81rXtP/Hd+M0gbG7nQvBL75zW+6jTfeuA+E3ybpvvWtb/V/6yZCoJzbmM6XdkvI" + "hz/84ekErFA6Q+CUU04ZYKrrNCw6i4A8FgIRAqUr0rYtqlsli7zWrRCYOgKl5bmriN1www0D7XtO" + "w6KrOMjf8RCwmgDSsBgPR+uqtO+QhoVFbnZ+e2OoA+1aTsNCbeDs5NuSFhNpWJTn2MxvCRFhUZ6Z" + "s/qlCItZzZmFGa/SCV7poHNhoqhUzwoCpeW5q/hqsN4Vst37K8KiG4xL+w4RFt3g34avIizaQFF+" + "NCEgwqIJof++F2HxXyyqO06luP32283TyX9yQgZGJbuUruJOnDGc+bvf/a5HBzuqzAVh8Yc//KEH" + "5qWCdeff/va3Pa5LgnhV0iq+fhtNUXT/85//VPmHu/ki1NNxTpEpneCVDjqb8KRM/frXv+798Y9/" + "bLV8URdHKeNN8YzfE+fbbrstfjT1e+IwbltM/W+7rFOHwGTW2ojS8tyUgZQnv5Wjh7E50loqc0FY" + "jFv3S9JE2vF/nL6uxP8uvhm1vwtxaIOwGLfchDjUXf3x5lV5bLO+0SaAVddS2neAnVd4HvhLnRJC" + "vCmTo9TLkjROoz0rOSUEHP70pz+VRHmkbyYpm3NJWHSRL11hPFKGjPHxJHOPXHCTlI2cv6O2WyIs" + "cmgOvpt5GxZew8LtvPPO0SaWdm/9JMJ99KMfdeyt/eEPf+h++tOfVgH4Ey3c+uuv7574xCe6jTba" + "yG255ZbZgNn7+cEPfrD/DXFmLyjPTj31VPfd7363euePR3TemI97/etf71ZYYYX+9+PctBV3wj7q" + "qKPctddeW0XjPve5jzvkkEPcX//6V3fCCSdUf9///vf7UWSP8jrrrON22mkn94IXvMAtXry4/y7c" + "+I7V+eNLK3sUV1xxRT/9vPeduXve854XPnXeErNjzydudt11V+cHKNW7ZZZZxh155JFD/sdxXX31" + "1d0+++wD8ebOPvtsd9xxx1X7v3zn1/ffH7Pn3vSmN1V2C5Zbbrn+c98pVLY0TjzxxH6+8/LRj360" + "W2+99Sp/H/jAB/a/tzcHHnigu+WWW/qPDzroILfSSiv1f6duwJj4B8HGCuUhFn8UmjvrrLOqR5zH" + "zv5PyiN58JGPfMR98YtfdJ5c6TvBrgJ2Qdhzucoqq/Sfe4Ks2h8OJt6Cdf853z/ucY9zu+++e1XG" + "+y/GuLn00ksd+AW5973v7Q499NDws/G67777DqSFfCJuKWGvH2e6/+hHP3KXX365C3nsTydwT3rS" + "kxxX7FGsuuqqKef9Z7k9/1/72teqMPgYrGN58Ytf3PebsFLtEnZYyJ+rrrrK+ePQqrjSNsTy9Kc/" + "3W2wwQbuZS97mVt33XXjV9n74DftFW1VKAPk5zOf+cwqL9lju/zyy7vDDjvMXX/99ZV/JXniB8TO" + "T3yrMkY5C+1VaAep7+yz3GKLLbJxHPVlHE/aANoCwqfd//znP1/lMXF4znOe43bbbTcHdin53ve+" + "577yla+4H/zgB457fwRt9Rl1GYxpx7GFtPLKK6ec1z5jb/LRRx9d+R3n4yabbOKe8YxnVO3fk5/8" + "5Cqv+S4I+UD/EQv9gD9mu/9o2223rU1P+Ii2kHST9wh5S5sYS648x9/F93fccYf7whe+4H7yk584" + "0kV5CpjxXch30kg8yRsrH/rQh6ryTTtKvYwlbtNe+tKXuk033bR6TZkkz4M85jGPcdipapK26j5n" + "3h9xxBH94HbYYQdH/lGX/ElWzpPr7sorr+y/D30B5Y625e53v3v/3bRu4v6AMA844ICqnT/zzDOr" + "/o73Qci3Rz7ykdVe++22287d8573DK+S11FtWLRRbpIR8Q8p66SFMQfte1weGTcxnmLcxNiBfrFE" + "vDFEd9ppp1Xlm3Yh1GHGIE972tOqccwLX/hC99jHPrbEu+w34/QdtLspGxakj3izl/3b3/521SaG" + "wMGC9uW1r32ty41PwvfhyhiJccV3vvOdyj/a+NCHUr6f8pSnOMZK2Hdi7NWW1NmwuPDCC6s26KKL" + "LqrabMKjvtHm0GbTP1KeS6WNsunJ1769jXPOOWegDIINbQWy7LLLug984ANunDbQpqetfPGLN+7t" + "b397NY4mjM0228z5U87cGWec4U466aRqfMxzxu/0hS95yUuGbHe95z3vcSuuuCKfNQp+XnLJJf3v" + "KDeMQ0aVeDzfxtwjFX4bZSPlL88mbbdGtWHxpS99yVE2Y8GGlCcG40fz836Qv5j7X5aZ7mpLCKwd" + "R22y39bnbOPf1ltvXa1o1yHkJykDfvhBZM83uAPP4nB8w1LnVePztuNOgL7D6sfVdxK9n/3sZ/1j" + "6uJ423vfefb8IGAozr4S9/2zbuxvjoNCSo81jeNKeUHLwFp0tmHw2xt466+u+MFF/5jV1LfhmZ+M" + "V3FL/RtndcoPyAZwefaznz3k9bvf/e6Bbzgijf2TIU51V8ryj3/848o/7C34DrbRjZ8sTHTuux9Y" + "DoXhJ+tDaUo98OTgkFvKnRU/yelR/+rSHT+n7PqJofVi4HduRdqTUEXhcC67FT8Z7fkBV5H7EGfS" + "VbKy5Em/ni1vwY/4St3wJNpA3QWTnJCH1OPYn7r7173udZWmSM6/Ud6FozAJjzj4QXQ2Hmh5xUKb" + "ER89VxdvnlM/zjvvvNh57T1tCm10zr/wzhtm6/mJwMC3qX7LtlGeSKwNP7y48847B/wlTCu58my/" + "pV0mvpSJEP+S68EHHzy0ukt7WuLWk9/9aIy6mtR23f/qV786EGfGAORdCR70NX7S20/LtG5sf+An" + "nNmxRcgT0uSJpGw0bZuS6svxoM1yk4oQ5aJ0LEa/RpvVJNSLknwFL09iVeOIJj9z78fpO1jlDfkV" + "rmjNlfZ3n/70p3NR6r9Dw+/5z3/+UFghzPgKvvTNbYnVsPCEZYV3HGbqnv4gjGdycWmzbF5wwQVF" + "GBFftF3GaQPjtLSZL/SNMY6M7eiH4mfxfWqs4snCOHq192Bu6xZ1eByJx/P4OencI45Dm2Uj9jfc" + "t9FujdIn2nFGyE/K7UKQ4dHPHKd6GoQFhZgJR8js0iuV6ctf/nISIUtY+BXUrP+eOU/60/Swi7gT" + "ZtxogIfNhxxG4OJX2geiTjxzbuJ3kxAWDHL8CSTFYXGEpR20xnFJ3acm0SS2dLAXAzMOYeFXWIvT" + "R74R39LBH+n95Cc/GUdx5Pt40ol/e++9d5Ef+++//0C6vHXkIXdMMFN50vSMfGayl5LcBG+cQSdh" + "kOamONW9p/zmhLbCDg7q/OK5zXvcpoQBF5PJnF+pd5Qxv7KS8nLkZ3HZId62TsXhe62GAf+9dkDP" + "a9aMHH+vxdVDbbNO2Ga0zTbbjOSvzZ9ZJCxIFxjGmI5yf/zxxw9ANs5gfZTBWRd137b9/sSSkfAg" + "n/3JVQM4dP3DEha2fjflIQRVndj6liIs2i43Ni5eC3WkPCC95ENdv8yWD9r/Jlzse+rGrbfeaqNX" + "/HucviNFWNg8sfG0vxlT5IQxq22frB+p37nFmlx49p0lLFJh5Z7RX9dJ22VzmoRF2/liCQs7prcY" + "e43gIWKMNr1EiHvsH2MCSP5xxMZz0rlHiEPbZSP4G65ttVulfaLXqhjAHPyp1xDYC0UWJGGx3377" + "DWU8mc9q97777ts75phjeuxfq6s4rHhbsYRFXJntPf6m9ilaP1O/u4g74dhGI44zjDsrEAwgqaRM" + "LOP33DOIYo9+ENIHw+u3KQz5zbc8D38Bz3E0LGw8+A0Z5bejVPmZem+fke98Xzd49VsBQrIGrnZg" + "kRrsDTjwP8YhLGx8meQx6cpp8MRuyD+/1aIidlIDF/KDfevjCvUlDg//mso37239sla40RRIxRd3" + "XjW4KouQHn671kD4IS5glJIcYUEZD+Uy+BOulIPwzquC9r2GcAvfxFfKk9/OU2lyMYFl4GbLTPje" + "Hy3Y9y++waZACgNWn9761rdWbdUuu+yS1ZDAfUosDiEu4OnVzntMUKkXKVJg0jIT4hMTFiH8umtM" + "rEE4pLRCiBftzrHHHtvzx+cNDciC35TZOvFbzJL5Sf777U4VyePVyJPfBP9nkbCgLIb4xVfqklfL" + "rYjL97///VVbkSpzlN14UAqG1Adwif3jPtQTrmizBSkdnHVV9y1hYeNNW4kmDJjY9il8y8R0mmIJ" + "ixAPrpR3yiuDWbRF6sh7NJdSYtujVB/WdrmJ44FWWpyecE+bg4aTP/68x4p8qp2g/sdjjuCv1WQK" + "fvptFD3KN213Xf7W9fXB79x1nL4jRViE+HKlDNLOMy4lb1P1kjIQ18s4jmhaxv6Fe/CkP6KNZ0zJ" + "GCi8i69+e17s3Vj3OcKC9KC1R1tCOa4bh0JOp6TtsolGV2i7bP2nbQjv6HORcdpA3HWRL5awiPMx" + "dc+YIzUJDuNx4lknlhBkHDau1OU5cR5n7hHi0XbZCP5ybbPdKukT7bwBbKg7c6HxF+Mw7fuZJyz8" + "PvtKLQzVsNI/Ol1WD1Pi9wsNNcw0+H4/3dDn3oZDNXizlT3VqdURFjR6dLiwYKx0oJbH4HAc6Sru" + "xKWu0aDzt6uRaE+QLxYXOqaUlBrdnJSwQDXcrqr7ffBD8QzxpjG0AzTUMVMTIdJspWSwZ93Yhqdk" + "S0iIL9/a1T2/93xoRT18T7mmQ4oFosB2NnwftFzib0vvMVYawgzXJhW11CQ/zjsGYCktKMojBsis" + "4B/pDeGHa2rAZSfq4JESO2CpO9bUEnh0JKlwCYO6BPkX4heue+65ZyoKFXEavglXJuSsHsRC3WFg" + "G76Jr8THCgSVxYvfDLqt0JYyUY/95J5B9KSSmojgN3mC+iMTTNoa6mpcPhgo2vhAMvu9qkNRYiXW" + "qr+CCeXWCt9af8ElNeFDq8xiGNzOGmHBqnOIW7gyKcS4W0oY/DLBC9+Ga2o1dxSjmyWDsy7rfh1h" + "QZvPINQK9SGkPVzJc+rbtKSOsKDPhtC0kurzqD8pErmpD+uy3DBZt+GDMaSCHb9RJlIaDFbrh3Y3" + "5FO4ou2amvDSnqRI/5hgs9iW/i7tO3KEBQS+JSLo/1OaTd4GWzJqKTKR8WdqPEPdpl0MuHGlb7N5" + "kQwo87COsKD9uemmmwZcElZqopnqo7ssm0SqS6ObXeRLjrB45zvf2WP+wBYxb++s6l9JI+MR24cx" + "X8kJfWxcRriv03bK+RPedTH36LJstN1uNfWJqT6Ieso24YUmM09Y2IpR+rtucmE7SCprqtOPC0Jq" + "sG63htQRFiV78OKwcvddxZ0wU40Gk8OcsGpv8yN1asE0CAs6gNSAjPinVuCp8DRqKWFwY9PFoNyK" + "zQ9Lftjv+T0uYcEAqO7EBFaSbXz5XacqlmpwyaNJxO6U7tyAAABAAElEQVS5HbXssOIVS2rQjQpj" + "TpiA2s6Xgbod9LVJWDCAtNh7Y4a5aFbvvDGsAXcQmVZSqzB1pGBwa7fZEDfKuhXIBhvvpn3L2OWx" + "buo0Q2x4db9ThMW2225b93n1nHy2A2sGlzmBoLGaIrRfViiHNo2WJIzd1GnAzBphgV0Xmy5v1DBO" + "ytB9itTyBj+HvmubsOiy7tcRFjksWOW32JXYUBgCaswHKcKCepMibkMQKQztmIVvm/qwLstNyi4T" + "8c4JE9c4L+JthEx2LXkMUcnCU53gxo5jcJOa0Nf5kXo+KWHB5LJObH0DDzRfrUC8xFhx39TPpwjb" + "pjyx4drfKcKC8ss4pE5ShLS1jdVl2SReXREWXeVLHWHRtLXHG0UdKCeU/5zgX1yu4jqYc1f3rou5" + "R5dlo+12K0dYpMoKY1xveL4Oznn9fN4SFt4a+FDGeYvRAxWNSofqcJOwmmk7ILufOkVYQHS0JV3G" + "nTimGo3cQB03sONxw8V9qnPrmrCgAqdUQ4kjgiEhG0+7MvO/X/73v9WySGkMNA32/uvbf+/GJSzq" + "VuzxOWW8sskugl2Nn1TN2e5pZEJZN1DkuZ1wWpsuEB5xnpHHuQF6QDiV19bvNgkLawSJeFqCJMQt" + "vqJtFacvtXfU7pEEsxQhGPvLIJA4xH7jLhbqSvye+9SAN3YT7u2EgJWbScQSFiVptGQLbXOu/of4" + "pdQ4GeQFYZJiyyVbY5rEnw4yhOesERZ20oD2RInYCWIKDzuBovzVSW5wFtx0WfdThEXTiiL12ZaL" + "1OQ/xL/ta4qw8CeEZIOBvLdaRWhmWWnqw7osN5a0hVBsWs33J7gM1bVgdyI1uK/TPIhxYCHA5q8/" + "cSz+ZOR7O16sW0CjvbZtcUndtPWS7RRWrB21lGawdcNvS3rTRk8itgyR3qb6w5jb9mP+VK6BaFh/" + "S3DDA4tdqk3ju64Ii67yJUVYpDR4SVssTH5tGcyt3tsxQBMhEoeVuu9i7tFl2Wi73arrE+1z8og6" + "kdIWS+E6H58tKMLCrjrQqZSqdtpJNx1cLCnCIlfpY7cl913GnfBto4GhxxKxg8vU6qjFDpW0lIy7" + "JaRpNT+lUtVExtg9nakBYtNgL5XGcQgLwskJp0zYDidFHMV+WK0hbBVMIkz27ACjTtPAH7M1EF/S" + "ZweqljBilbNEiId1a43OtUlYECfCZNLGqpg1PlsXZ1smqX9WrIHEUlKJPdpxebBtVUptumTCT/yw" + "IxH7jfbSJGIJi5JBtbUfwVawUrETiXirB8RWnDbu67Sw4vAg0mzZnzXCgvgSTwY7lL1SzRh7AguT" + "ZyttExa2/rZZ91OERVNfQHrtJCPVH1hc2vptCQvqjG0vU2HZdo4yat2V9GFdlBv8tHUN8rdEmFCz" + "rYFJLxoBgSC2xCHadaViNavQWpxEbDszCmFB/9gk+xoNOUtGkc8WX+p9idA2WLcB4xL39hs7eUz1" + "ddYNv20/Rh200kXZDGF0QVh0mS8pwgJNlRKhrsR5Tp6lJKX1WdJHpvwKz7qae3RRNrpotywxASGU" + "GovQpqQW4gOOC+E684QFHSrG60b5Q706XjkLGWlXla0aevgudYXFjys09/FqZ4qwmLQix/HoMu6E" + "YxsNBnYlYtVlU2x814RFk7YEk0ibd3XbR0Ka7R7D1AC1ZLAX/AvXcQiLJm0J/LbpiydiIez4alUu" + "JyUs8NtObmCiU2Kx9Wd/D3zGUV82Pdd7Y1ilgkGs2L3VArADeVZcUlI66Ey5tc8gNUgD7QRY20lZ" + "anBtV/1KB5wQpXH6LWFh1SVJJ6uMJX9WSwG3k4glLOoGSnEYFju0akrizjfWLkp8LKAdIJcOrImb" + "LdOzSFjEGNbdo/3E1jbUXjGGZ8tgihxqk7Douu6nCIuSyZg9ErKJEK7Dd5znlrBIraan/E1tbaQO" + "xDJOHxa7D/ejlptU3Mj7SYRJe9zuUX5L2wWrVYBxxUmktO9IaViUkImMeWxa4/hitDZ+zz2Yl+CR" + "mviWGGKMw4/vLWEB2VIilli3/ViJH3wzatkM/nZBWHSZL6l8a9JkCWm1Gp+Qm4xZrHC8dVyuUguU" + "1k3T7y7nHk1hj1o2umi3LGER4xvfLzQDm6m8m3nCIjXwSyWk5JllEZv2xMd+MsGNCw/38dF+lrAY" + "t3GNw4zvu4w74dhGg4pZInalOJXurgmLupX8EH8qepx3THiaxNpkmEvCooRMiNPHfdOqIfUqdlMS" + "RhNm11xzzYCf+B+TerjHyF8cLvd2MGTLFN9Yw6+5uGC0LQ4DsjOWLgkLVlDYa0sYHHWKUTermh3H" + "LdxbwiI1kAXfEmFQGvzlauvkOEeZxv7Ze2sAtCSO4RtLWDSRjwyibPiT/GaiEsRODOuIrPB9fOVE" + "gzgeqX7LaqMdd9xxsRfJewwDxv5yb6W0PMfuyLNgCJq6z4TcTl5tuPzumrDouu5bwoI0l4g1zjiX" + "hEVTHQnpwQaJzUPbr9s8b7LD1Fa5ueiii4bi1rSIENJVd7VkpE37KL8n3Zc/CWGRMhxs02z30UPO" + "xJIyaj1K+u23TKrGFUtYQDCXSIq8tIRb7E9bZTP42QVh0WW+pAgLW99D2uyVcZrN869//esDnzG2" + "se2F/WbAQeGPLuceIQptlY0u2q1SwmKU8UhI93y7Do9+5jiFtqFPDfzGjaJdmYuPySvx07pnoBjE" + "EhaTdnjB33C1YbcZd8KwjQYTphLBqKht6Cwz2zVhwd7VnFjCIqUFYt3PEmFRsiJh88ASBTZ9XRAW" + "hGFVp60690c+8pGB8pLaY5na/mTjn/uNJewYDzspKZ3g2baoTq2XuLCdidV6OwGP45G7t4SFXbXG" + "bXxKRi79vIvDsoQFW7Li95Pelw6KUnG2eKVOaojdpVRSJ4l/rL1kB6gpo5xxXOJ7a28k1W/NAmFB" + "u4AVfruFpRTDrgmLruu+JSxStmPifA33s0RYlGo/Enfqfpy39jQ0OwGpIyzaLjcsMsTxsm10wH2U" + "67hlOo5HuLdt5ijx4NvSviNFTNvxUyrsJsLCvg/pGvdaSpKl4moJC7RMSyS1SJiySdJ22Qxxs/0B" + "mNaJ7a8piynpMl9ShAUaBKVCXxiXD6uBDsEdvyeNJdppTeF3Ofdou2x00W6VEhZgX7JdrAnvJfn9" + "giIsbMUY1ViMHVznCAv2WbcpXcadeFr/YVNLZBYIC1jPnMwSYYGKXtzopybsdqUXNbwmif3knoY6" + "J10RFtbopSXubDnj5AkrdpW1rvO37sJvawjTDobbJixYybTpsvkR/2Ywa0+ssIQFWiexG+7rjqAM" + "6Y6vsVs7+Lbq7fG349xPoqpo29Sm0xdsXR4nvrEbsAiCGnj8bhStI/bUx27bIixSBlJDfMO1tDxD" + "LNkJbBxne49mkJ0Edk1YdF33LWHBqnyJzBfCwhogLiEsuig3tszSDkwqtvxO+nuS+ExCWJRomtiJ" + "r9WwsFqGk2KBf+OKJSxGWZW38cboaixdlM3gfxeERZf5kiIsQlpKrrZtBPt44dJuuSpZSCsJ146d" + "2pp7dFE2umi36ggL2mbbX/N7lHFgCf5L0jcLirCwA3UGmaOILTzxSofVsGibsOgy7mBgGw0avxKx" + "jRwYWelaw2JWCAt77JbFgd/WfkAJYWFtPKT8tR37XBEW2G2xcWH1AaEDid9RVuIOMaSLVZT4O+5L" + "OzH8sLYIrLEu2+nUqdqVDDrZqsKWExvf8Bs/sOWBJXLY+XBeuSWuLGGR2vpQasTXDlxsnbQDD+o+" + "7de4fyUqzCFv7XVUwiK1B5jB+7hxj4/+RfMg5BvXEgOgIT0YKY7dlhAWJSdUpdIbwgzXkvLMFjFb" + "nuP4Qk5gZZ/tQpRNjo5F9txzz4F0dU1YdF33bX+1JBIWlPUSSa3e0wbH0kRYdFVurGq8baPiOJbe" + "Wy1UNJrGbRfOOeec0mCT39m6Vqedl8qjNggLO86gro+LBe5Cv5VMbMNDS1iUYssYJm6juMfAeJCu" + "ymbwvwvCost8sf0+eI0iaEtYgpr4ImypoI7G+dF0DHpp2F3MPboqG120WynCgnERW6KszTvw32ab" + "bUqhnXffjVaip5B829CnBn7jRgPje3GFG2UFjUF57Jb7+KhJGvX4fduERZdxB0/baPzwhz8sghkt" + "lTjdqZWShUJYxOWhDjxrpHS+ERakm9WeuEyE1Rkm7fFza9k8YJYaqIQJVPgmd7UDJDvxLJng4b9t" + "i1KDTrvSRfro9NEcyZFGNg6WsCB8a/uidKBH3Y1xtpMBu30hVQYJfxoyKmEBcRWnjXsGEW2IPb0G" + "LZhSsUedpfotuyWk5ChZS/KRXiu2LKUIuNQ2IIg8VjtzasM2znvssYcNvjohJ86TnEaUHZxZDayu" + "6/58ICxKLf8zyYzzhXvbJjURFl2VmxQRlyKvhwqbf8DWOKzl2xPerOHbNo+VT8Uj96yk78B9V4SF" + "NVhJ3o9iByqXtlHf2f64VLPZHmFr25WuymZIXxeERZf5MilhQbr322+/gTYjTI5tu2kXgQJm41y7" + "mHt0VTa6aLdsn0jbEdtqwbCpbcfZ9rwQZXj0M8co2IY+NfAbN4of/ehHBzKeYwNLxTJrFKCbb765" + "77xrwqLLuJMI22iU7pWyxuZQnbUyXwkLu6JTMnGyK5apyaLdErIkaViQ9xzvGTewoXOzeOVOMrFs" + "vlVltmUs/g2mcfj2/PaSCR7+2bYoRVjYjpEJAOx+k9hjZVOTYzsJZuBXIrSZcfotYWG1O3hfqsHC" + "5BZND7Bg1WVSGZWwIDzInTh9JZoKIZ6//OUvK6I5pUFmiR7C+M1vfhOc1l7BxK5Mpfotq9lSMqFK" + "rbDYiJSUZxs/Jncl+WfrUqoMlu7fJt52cGYJC77psu7bgfeSqGGx6667AlOj2K1xqXreRFh0VW5S" + "xOOPfvSjxjTxQbw9hzY62POCTIvbhdRYpC4ANAPZbkbb3ca+/JK+g7h0RViktpKVaIAGfMgL7JnE" + "Gg3h3ahXS1hw4l2JQPrH+Wnbiq7KZohbF4RFl/nSBmGRIjnp3+wphdY2WcBsnGsXc4+uykYX7VZT" + "n8gWENsn8nuURbxx8mUW3SwowgLjjHEDyH2sEpzLILslg8lXLF0TFl3GnXTYRqPEGJk9jQA842MC" + "Az6WsLD7LcN3rJjY/EkNqm1c52pLiJ1sBfW5kJ7U1doumI+EBSqtdsBm96bb+mOxguSIy8KWW25p" + "P0n+Ttk4sBb9SyZ4eG7TkDoD23aM8akTyQj+30ObvpRm0uGHHz6AAXg0TaA5J9zGic4tFlQ5Y2y5" + "txjF38f3drsNYU1yfLOtQ002LIgLWxfi+KOJUrJ6mMImJg2YPNiBQUqjIMaDe4sJcUsRFpbcrdMw" + "iv23g338ttJUniGXYry4Z5DUJKkTSkiDlbYJC1s32qz784GwoIxaTQmbJ/y2OKaO6swRFl2XG1v3" + "OY66SdgqZ+toWCiw9pMo5yVHhBKmNbJtT5Zqipd9X9J34KYrwgK/bT9QakTYErfg3WQMmfDqxLZh" + "+NdkWJSJoR0rQSAE6bpsEo4lLE4++eQQ/NB1lDawq3xpg7AgYRBDcX/BAoeN8yTbQC14djw/6dyj" + "67LRdrvVRFiAlyXvyJ9RCFmL+ZL6e3j0M8cpsQ19auA3bhRhN21nV6JlYa3jUljsxKRrwqLLuIOn" + "bTRIIyvlObFnl+MmVmUKbu2+vbqKtqQRFvYYNRr63P7T1GrpfCQsyHd7Xretd0cccUQoHsnr+973" + "voFOk7JVomVhV4RxZ0/XaJrghQhZjRC7Aphi29GEahJraZo4pgicVJ1vmuRa3PEb7GNhsGi3mzAg" + "IbycsNpmBy6lk8k6f23nX0JY2JMkSGPYdlQXDs/tVhjcWcLaar7wDQOgOmGVw2KCm1S/ZY+TJV/Y" + "p1onqJ/aeoPfVprKc4rEw1hyk7BlkvDiv1T5s2qytrzF4ZQMzrqs+/OBsCA/2F6XEyaYcb5xz8TD" + "So6w6Lrc7LPPPkNxbNJOs1vwKGtBI+L6668f8i82qmvTHn6n1PQPO+yw8Hqsa1PfETztkrCAoLBl" + "4NJLLw1B117t1howJp7jiiUsiFPTtiY7nsZNIKaIR9dlkzCsRhwnnNXJKG1gV/nSFmFh65gdK7Bg" + "0Ka0Pffoumy03W6V9IngbRfNqRMli6Rt5tVc+zU8+pnjGHVJWJA0WxnJdFTGWX1LCQPa1ID0mmuu" + "GfjcNrBt27AgsK7ijt+pRoPBTJ0aYSou7HdOCXvvwTn84W+qA1zSCAu7vYP01XVqdLapycd8JSzs" + "ikPI+3Clg88JmjV2ME09jActsXu+Z4Uu+B+u7P+z0jTBC9/bFZ7U0W623rCqmVvtZyKRKgekLSUQ" + "OyEt4QrhZ0kY1DZTAyHcEJ6V1IQG8qFuAo3/lqDD79Ij6mz44fc4hAVEEaswAY9wTWl3hXCshg9u" + "UnZDIGVs/vA7lU7KYqpvwO8UYZEiLOvIWyZudutLSGdIU7g2leeUpgSTiDoBX3vqSQg7pR2Xsu9k" + "jTuGsEoGZ13W/S4JC8YQbOfDMGn4KyHgAjZ1V7tFMOTFaaedlnSC4VJbLplAW5sPOLZtbHysadfl" + "Bv9tPCH96/qGlD0Xu90vtYhCWU+lnfTTT9k4gG9qyxjfl0pJ34FfXRIWKVVy8jtXJjn5IZSvcGWc" + "M4mkCAv8Dlt5rN8cvWvbYNtOdl02iZONd3wEto3zKG1gV/nSFmGRwjaUBa60oW2KHUMRBuV03LlH" + "Kv5t9ndtt1slfSJ4s501zgfuqSdNWrdt5tVc+7XgCAtWwFMVhA6GAQCraQxaISpSnR+FBGvyVqZB" + "WHQVd9KSwiRUDthwVuSoqGy/SE2MqDgp7Qr8ZmAf/ApXWFsmmPgVyJ8ljbBI7fcjfXTwDBppcNnK" + "Y41QBgy4zlfCgnxPaTuQ5pJVL9ynJni4h+GmTFEeWVXD3kpqcsdANDXwbJrgETaSmqAzcGIPOds1" + "ELu3k/hBVtoJG6t4qXrD9+GPiaIVBrSpATX1jZUwVoGIU+qb4C/fpgR34Ztw5duPfexjPQyeMXG8" + "9dZbq+0idsWQ78E8p1GUCtM+G4ewwA9rkC3EnzRR5yBemEBSB+1KWfi2zogp7V34Jr6SXkhZ/qy6" + "ffwd9ynCAs0WS8jzLXFGmw0NHuy6MEElH6yf4bfFsKQ8p/KP7S5xm038WIWHuAph2WtKVR539jvK" + "4+tf//qqzMc4lw7Ouqr7XRIWdpUVTFLlwOZf0+86wgL/OZ2GMsOYBVX+FMHJd0wCU5IjLPi+y3KD" + "/9ZoN3Gl7KB+z7iANoh+1p7gw3fUEQbxsdBepuoY7QyabYzvIJTpN9DkSdWz3OQmDit3X9J34L5L" + "wgL/WUABK/sHMcEYlz6ULX0XXHBBst6nMMbfUcRO/OO4YEyeOkkc6CNTGoJ8n9Jy67psWo044kG+" + "onnG2DVe5BylDQS7LvKlLcKC+NF2x/kU7qmbpLVN6WLu0XXZaLPdKu0Twfy4444byhe2sy0UWXCE" + "BRlLw5jqqEKlzF2ZjAQVxLiQTIOw6Cru+JtrNHJ4hHcpddOAD51R+C51DYO6JY2wIH25wX0qrXZi" + "OZ8Ji9S+OzBh4FgqObInhW/8jEFYSkomeLizRtxiv2k/EAbMdW0Jz+2EIPbD3tetfLEP26plWre5" + "3yGuVYSjfzfddFOW6Mj5yYCgDWZ/XMKCZNQRyrl4h3e5EzogjlAJD9+Oc01p4xDnSf0lLlZKyjPt" + "c106aJNSk7zU95Sl1Gp1ijAM7uMB1SiDsy7q/nwjLALGuSsEb53Y9inWsMBN1+WGsRTbcnPxr3tH" + "WUpJHdlV50/8nLLaxoSspO8g7l0TFqRlXHzBxW6ZS+Hd9CxHWMTY193Xbffrumzm/CeukOaxlLaB" + "uOkiX9okLCA4U/kBedq2dDH3yOVdG/1dm+3WKH0iC0Qp7dJSG2Rt5920/Rse/Uw7BiY8O3AKk1nz" + "2cQ/UblltShVKeueHXjggUmygshMi7AgrLbjjp+20bCnH9RhwgC2pFMDuzo/wr7oJZGwYNJnB311" + "6aRss+ILZuGb+UxYMBiL00qa+c2q2SjC9iPrT8AvdWVyH7R2UuGUTPBwBxlh26M4vKC6fPbZZ/fz" + "M35fd8/AhlVDq+Gw++67p6JbPWMlzO4tTvnPygj1MX4HuVAnkInWuFjsNnUPvjfeeGOdlyM9n4Sw" + "ICC2elgSMBXn+FlsaDMXWQY9TeWOsLGtYk90qRtAUPZTe1Hj+MX3+MMxpfEzG+fS8pybRMX+h3u2" + "U6HqbDGINSZCXFJGoYM/cfkbZXCG323X/flAWFB+Sst83RbFkG+277KEBd91WW7wn8kbJ2GF8tJ0" + "pTzmtn/hJ4aFm7SgbDiQFaP2TYSVktK+o2vCgrgxuTryyCOL8QUXMKautCGWsKBNS62A2/wgDrTB" + "OemybDIezS1IWfsBpW1gSE/b+dImYcHE2LYN5I/VHA1pmeTa1dyjy7JBettqt0btE1NG06krddt5" + "J8mbWXM7c4SFbcjaPD7Hgs9KGqo9TauXqACjlZETy+ozGelS2ow78bSNBls/MNBkn4dOhQrCyg0q" + "46UCaYG74Ee4hkk7DUB4Fq4pewCWYWwyJGWtXpOmJrEnEeQ6TowV7r333tnJLSqETA6ReLCZsnVi" + "yZ0mA5X4aXFtMqBIvQoYc6Vx70KsOj4qzOMIA0DqlE1nnAa2daEyxyAwJ2whid2l9uUH97mBb0zU" + "cYIIA97YX3vPAJqwwzYK2p74GybvOcEdgyLUowkrlCPqA3vmaYMQa3QKgqRJcJtbISKetJMMNhlo" + "tSU2zLp9q7nwmFSz3SbgEWMa31MWRyVaICTZa802oNAWMpCjfeB0kGAk0JIQECl1An4n+ry3ZE0c" + "13hbkdU0sP6OUp7ZemL318fhUr8oW2xpC2LPga+rwxyjafvv4HcoM5ZMC21/CCt1bbPuo3UV4sQ1" + "tcUlFQeLAQsUVtibHvvNfRsLLnZLCJN7Bqc5ApMyQ7/XJHbskzoJCT+6LDchjmiY2RMKLJ7U4dQ2" + "v+BHfKXMsTpfVyaD30xKc0dsx36Ocl/Sd2AbKMQjXEP/kAvLai/W2Q+L/WCySXnP9aG8Y7sjBHlb" + "sq/ffhLSxpVyibHiXPml/aPel0iXZZOxhCWMQ1pSW8NL2kCbprbyxdrRIC8nEZtvJePmccIL/WrA" + "tc25R5dlI6R10nZrnD4xpamZW/AKcV3Sr4tIgC8oC148s+58B+P8CoPzHaJbffXV3Zprrul8h+7u" + "ec97zjQ+bcR9vfXWc77T7qfTNxrOT7Cq33fddZfzA1jn91i7lVde2fkBu3vsYx/r7n73u/e/L73x" + "REuFsScnHPf3v//93aqrruqWWmqpUi9m+jvfEbsrrriiKksrrLCC8yv0zjfIY2E10wmdw8h5zYaq" + "DFFfl19+effABz7QPfjBD3YPfehDO4uVP0awytPllluuykvy9R73uMdQeOS/3x9d/fkJTBWvRz3q" + "UW6ttdZyixcvHvq+iwdf+tKX3POe97y+135S6L7yla/0f+du/CDfeUN0zmuoVFfq+4Me9KAqHaut" + "ttrM11M/aHPXXnttFX/aRcoF8ecvlV85LEZ59+QnP9l5UrvvxA9Cqnrff1Bz4wfEzg/gnSdqKmwp" + "V+uss44D666EdtdPBqr8paz6vdjuEY94hKOc0h5PKpQfP6F2d7vb3dx97nOfqi9ddtllJ/W2cj8X" + "dX/UiHt7T1X/iDtPdjlvrG9ULwa+9wsDzpPY/WeesHDeTlL1m/rqVdOr/nmZZZZxa6+9dpWPq6yy" + "Sv/7tm66Ljchnn7C7vw2OAeOlFPqhCcdqrTR3o8jnhhwXqutP76jnNM28Hff+953HC+L3ZT2HcUe" + "tvAh9Yg2nj6UPg0caCPpSylH0xLKL20f40vaC9pR8nrUvrLrsklfwviXeC299NJVmVxxxRVrYRq3" + "DZyVfCFhO+ywg/MLW/00+m2Ozmtw9n+3ddP13KPrshFw6KLdCn7r+r8IiLBQSagQyDUagkgICIHp" + "I8BE9uijj64GcA972MPcuuuuWw2UmmLChOZ//ud/+p8xyGCwIWlGwK9mO6/V5cAbAmyjjTZyixYt" + "yjqEdLCkNkQAkwDJwkPglFNOcV4Dp0q4V6t3m2222UQg5AiLiTyWYyEgBIRAAgEWKb2GxsAbr7Xr" + "WIRrWzT3aBvR+eufCIv5m7cjpUyNxkhw6WMh0DkCVlPCq9A6r/6fDRfNJVYk0fQI4vd7u1e84hXh" + "p64ZBKymBFoTaDzkxJ+q4nbcccf+J+DPyrBk4SGAVhUEBVoPCL/RUppERFhMgp7cCgEhMCoCLHB4" + "21Z9Z10uemju0YdZNw0IiLBoAGihvFajsVByWulcUhBALRoV71hyE2hUH/1eZscEOhZvZ6EVVf/Y" + "z/l6z8DshBNO6CfP7192rJjXaVkwMX3GM57h/LGSfTd+n73zp5D0f+tmYSDg7Z24jTfeuNrOQIop" + "O5/61KcmTrwIi4khlAdCQAgUIpDq07ydOOftTRX6MNpnmnuMhtdC/lqExULO/SjtajQiMHQrBGYA" + "AQgIbADEk2HUNPfbb79K5TzsU2d/9re//W3njYA5VNBjaWvSFPs5n++9IU0H4RCLN1TnvFHTasDG" + "/m7MPrHX+KSTTqowj/MHd2zl8QY1Yy90vwAQ8MbzKvsRJJUyc/LJJ7uVVlpp4pSLsJgYQnkgBIRA" + "DQKQ69gcYjyBnQ5vWHtgzOGNejt/kkWN68kfa+4xOYYLxQcRFgslpxvSqUajASC9FgJzgIDdFmKj" + "AIFhJ8zhG39qhmMSlTMOFr7V9X8R8EfZuU033dRdfPHFSUhyeOPAn0rg3va2tyXd6uH8R+ADH/iA" + "w5AgZaAtQ9IiLOZ/uVEKhcBcIYBWmD9Nozb4UgPStR40vNDcowEgve4jIMKiD8XCvlGjsbDzX6mf" + "XQQOPfTQ/qkApbHkZBp/9F1l+b3Ujb77XwRYbUL99eabbx4JkmOOOcb541Vrt4+M5Jk+FgL/h4AI" + "CxUFISAEukLAboOMw9l7770HTiiK37V1r7lHW0jOf39EWMz/PC5KIfuw41VF7tdff/0it/pICAiB" + "bhH4wQ9+4CAuUNfMCccwYwBy5513dm0dJZkLb76+46jPE0880R1wwAEDBkxT6eVECFbUm4xzptzq" + "mRBoQoAtYPvvv3//M9qBd73rXf3fuhECQkAIjIsAfdy+++475Jx2B7K0zn7TkIMxH2juMSZwC9CZ" + "CIsFmOmpJN95552OQXoQ1MnbUmkNfuoqBITAZAhgQPPaa691GPjjjzq7+uqrV0Y1Ob/+CU94wmQB" + "yPUAAhxxetVVV1Wnftx4443u1ltvrY4wvf/971/hvsEGG3Ry1NtAJPRjQSOAjRqOFAyCXZvll18+" + "/NRVCAgBITA2Arfffrtj2we2lxhPcBz3lltuWXSE+tiBRg4194jA0G0WAREWWXj0UggIASEgBISA" + "EBACQkAICAEhIASEgBCYCwREWMwF6gpTCAgBISAEhIAQEAJCQAgIASEgBISAEMgiIMIiC49eCgEh" + "IASEgBAQAkJACAgBISAEhIAQEAJzgYAIi7lAXWEKASEgBISAEBACQkAICAEhIASEgBAQAlkERFhk" + "4dFLISAEhIAQEAJCQAgIASEgBISAEBACQmAuEBBhMReoK0whIASEgBAQAkJACAgBISAEhIAQEAJC" + "IIuACIssPHopBISAEBACQkAICAEhIASEgBAQAkJACMwFAiIs5gJ1hSkEhIAQEAJCQAgIASEgBISA" + "EBACQkAIZBEQYZGFRy+FgBAQAkJACAgBISAEhIAQEAJCQAgIgblAQITFXKCuMIWAEBACQkAICAEh" + "IASEgBAQAkJACAiBLAIiLLLw6KUQEAJCQAgIASEgBISAEBACQkAICAEhMBcIiLCYC9QnCPOyyy5z" + "Rx55ZN+HjTbayO24447937oRAkKgOwTOOecc95nPfKYfwCte8Qr3vOc9r//773//u9tpp53cP//5" + "z+rZ3e52N3f88cf3309yc+edd7q3vOUt7t///nflzcorr+w+8IEPTOKl3I6IwL/+9S/3hje8wf3j" + "H/+oXC6//PJV/i5atGhEn+bP52eccYY7/fTT+wnabrvt3Gabbdb/vaTdXHfddW7fffftR/vxj3+8" + "e9e73tX/vaTdHHXUUe5tb3tbP9rPfvaz3Ve+8pX+72nfnHvuue6UU04ZCPbAAw90D3nIQwaejfNj" + "l112cb///e/7Tl/84he7l770pf3fuhEC11xzjTvooIP6QKy33npVv9p/UHDz/ve/311++eX9L+nn" + "P/rRj7rFixf3n41zc+utt7p3vOMdA0733HNP99jHPnbgmX7kEWCMtMMOO/T76WWXXbbqpyfNn3yo" + "ets5Aj3JEoWAnyz1fKHo/73yla9couKvyAqBJRmB/fffv1/3qIf8juUPf/jDwHu+aUt++ctfDvh9" + "r3vdqy2vO/HHkza9Y445pvfUpz61E/+78NRPpnoPf/jDezfffHPS+z//+c8DeUD++sFR8tuF8tAP" + "qAcw8YP5JTrp3/jGNwbSs+GGGy7R6fGTs4H0PP3pT5/T9Jx//vkD8aEOecJi4jj5xZwhfz2ZNrG/" + "8mB+IUAbT5kLf89//vNHTqAdB+DXBRdcMLI/1oFfjOzHK8TvlltusZ/pdwMCfuFoCMe//e1vDa70" + "etYRaG80PespnSfxE2ExTzJSyVgiEbADFREW6Wy8+OKLe35luho03O9+90t/NENPb7zxxt5WW23V" + "H+T8/Oc/T8ZOhMUwLCIshjGZpSezRlhA8K2xxhr9usbEzGtX9P7zn/9MBNuuu+464CeELhMXiRCI" + "EWiDsKB/CIRCuL7xjW+Mgxnr/tGPfvSAv15DaCx/FrojERbzswSIsFjC8lWExRKWYYruvEJAhEVz" + "dlpNkCWBsGDCFAaeXEVYNOdz+EKERUBiNq+zRliA0iGHHDJQ36hzl1xyydgAsnoKQRHXYb+NZ2z/" + "5HD+ItAGYQE6m2+++UB5o/z99a9/HRs4v8VkwD/K8pe//OWx/VvIDkVYzM/cF2GxhOWrCIslLMMU" + "3XmFAFscmICHvw9+8IMD6dOWkF7vhhtuGBh4LQmEhV3xFWExUKyzP+YbYfG9732vX78puy972cuy" + "6Z/1l0x6tt12236d9DYs5jzKN910Uz8+gWTwdjbGjteZZ5455J+3VTC2f3I4fxFoi7D4/Oc/P1Tm" + "vvCFL4wNHOU/1AWutD1sq5SMjgC40aeHcZqwHB3DWXQho5u+ZViS5LOf/azD0F8Qb8PCfepTnwo/" + "dRUCQmAOEfjjH//o7nOf+wzEwDf8A7/H/eHtKrg111yz79yv6DgMcc6a+O0V7sEPfnA/Wn6w4H7z" + "m9/0f8/iDbiCbxBPWCSNAP7lL39x97jHPcJn1RUDX0sttdTAs4X0Y6+99nJ+xbyfZAzSxUYe+y90" + "M2cI0Abd+973dn/605/cNttsM2A4eK4ihbHiL33pS/3gac9uv/12t8wyy/Sfld685CUvcX6y2P98" + "gw02cBdddFH/t26EQEAAg7Nbbrll+Om8DQt31lln9X+X3nhtCrfqqqtWdSq42XrrrZ0nMsLP4itG" + "nDGiTf0Mst9++w0Y/w3PdRUCCxaBWWRRFKd6BKRhUY+N3giBuUZAGhbSsJjrMjjt8OebhsW08ZtG" + "eD/60Y/6q7dtGLhsI86sRvuB98Afq9+jiidDB/zAz09+8pOjeqPvFwgCbWlYAJfViqDs+VNqRkbS" + "EyZDZfgXv/jFyP7IgRCYzwgsUVtCMMr029/+tmoQ2jKm5JnNys9JDT6NW0juuOOOnl+VLXbeBmHB" + "fk+/klEcZsmHGNIib9pWYcO/2267rSQKnX1DHH79619X+dRW+u66666xOra2Ekk6GOhR/tuUUA64" + "tikMAjB42Ja07V+IVylhQZkeFSNrG4I9s+MIYbdVjlPht7klpKvyZOPd9pYQr4lRtbFt9iv4Sfma" + "K6HOeI2eoeDbIiy6LpdDEe/wAW3V7373u4kNScZRJO8pA6PKT37yk8qoZSAHfvjDHxZ70WU/lbI7" + "8ZrXvKY4buFDtumFtHGlXWyzrwjhLPQrmDJmoEy02a6BK7Yf2h7neW2Faoxl49omYXHFFVcMlD3K" + "3wknnDByUcG4ZlyGZ2Hb1siJ6MgB5Y65xajjpbai03bZnOs5Z1u4zIU/M70l5MILL3Tf/va3ne9w" + "3Y9//GPn95b6Ov1f8cfPuY022qhS73rBC15QdAayJwjcpz/96UoV8dprr3Wexex76I/7cpy57i31" + "Ovxba621+u/aurn++uvdJz7xCcfZ9VdeeWXfW9QhCfsZz3iG89au3WqrrdZ/F9+MsyUENXXOiPbH" + "fjk/WHE//elPKy8Jc/3113dPfOIT+zjGYeXuUWE755xz3Oc+9znnV2/c1Vdf3f8c/PDzMY95jHvW" + "s55VhdF/2XCDyrUnZdz3v//96u+73/1u5SLEdZ111nEbb7yx22KLLRp8Gu/1v/71L/fFL37RXXXV" + "VY7zum3a8JVygsqp39vs1l133aKAUDE/+eSTHWUarHwDXLkjXfjHOdv8cWb8CiusUORn3UekAZVs" + "rsjTnvY097rXvc7547Hcscce60466aQB9XdvcLCKA+XOH0FZ523yue9Eqvrkj6qryjP5FgSMyK8n" + "PelJjq1Lyy23XHjVeKXe+1Wy6qxz6n9QlSSuj3vc46q/Jz/5yQ614qWXXnpq/v2///f/BlSP/UBj" + "oCzWbQnxgzz3sY99zPnTMxx+kB7ynvpO/lOeueZknC0hvlOp1F2/853v9OtTwJLwnvKUp1R5zjaz" + "cVSx4/h+6EMfquqLH3i6008/PX7lvAX1/m/K+Kabbtr/Hd90VZ7iMML91772tX48P/KRj4TH1ZV8" + "Rd0XoV3eeeedq3vap9SWkEWLFrnTTjvNfetb36r6rLge0E/5iZh77Wtf6x74wAdW/pT88wRV5Sdt" + "Nn1faGM9uVLVaerWC1/4wqrdKPFv1G8oy37wXbXHcR2k3L7oRS9yb37zm6t4jLMlpI1yuc8++zjK" + "WhAwpj8rEfAkbUHIF0+8VD/pow877LDwqurH3vKWt/R/p248mVD1hWzP9JOYfnvFt7R/lCHaZK6l" + "QhxRX//BD35Q5X/YskT/Sr9DH/uqV72qUiXP+fnMZz6z6nf45uMf/7jbfvvtaz+fZj9FJPbYYw/3" + "3ve+dyA+tE/3vOc9B57lfjzhCU8YGEuRV962UM6J3jUgwDiZLTbUe9od2qBQ/nAaxmP0X94+ysBW" + "Rev1UUcd5RhrI7SpbHVA6AcZE5199tn9+hL6RPol2hjqTqkw3iHO9LPEN4yxcL/JJptUfRBbNtra" + "EhLixTgkbu8Z8/qjkcPrxittGNsmYzn11FOrsWD8bD7eX3fdde6II47oJy204eD54Q9/uNpeE8Yr" + "fETb99znPtftsssu2TLnSapqHuUXZiq/Gdv4I2OH5ojTKJtzPefsgzsfbuaCJWkK82c/+1nPD8QG" + "GEePdfa3L8g93OUkpXaV83e33XZrbSUSlvD1r399Ng1xXPxgLBn2KBoWMJK+Q6gMz8R+1937xrxi" + "MnMY8g6c7YpknZ889/sFK2a+yV+sJPvBfRFGfgI+kmZKU9i853x4ylEuLfYdmPkGNev9u9/97mI/" + "fYdd5VnWw4aXlLU4nhiNO++884asqMffhHvOJC9dxfV7hHt+QDEQVvDHXv1AvcdqRJMQd1YXrPu6" + "35SXSy+9tNbbtv0b55QQPwHqH/FZlw6e+4Fczw+6atMyqoYFWkHkZy7M8M4TVT1PZNaGXfLCD9SK" + "wuKEgJR0UZ5S4YRnqMaH9OeuWIMPYusW7tA8oB3I+RHeebI8eJW90s7TFgR3uasf8GXLTTagmpfE" + "syR8PwHujaph0Va5tGOEUYxjxkYowZb+JIifbAzgvuGGG4ZXyevRRx898H0urzxx29gXoj3qSaAi" + "PzEmR9uek9D2e7I891lv2v0UkYm3qgTcKPul4iemQzgxjpCMhwCad37CX1T3Q35xPfjgg2u1Ljwx" + "3s8jyivtJXU1dl9378mnoraNsYU96Snl54477tiz8wD6yEnELwYOpYVjskvFE/0D7ml3JzltpDTc" + "Wfjuq1/96kDa/YJa79BDDx14lspHnu299961Za70lJCuy6Yta3VpCc/bnHPOQv62HYeZ2xLiV+2L" + "CmvI4PjKJLpuq4hfYRzL31e/+tUTY85Eo3RiF6eH/XFWSgkLOh577FLsd909jWXuKCVUs0o6Bus/" + "E8u6iTAqe6ljzqwf9jf5PclRaDG2NH7W/9LfuTLiGdyx/D3++OPj6I10n5pUlaaF7yirqH7m5IAD" + "DhgrXe95z3tqvWWyvtVWW43lr2fkh/xt2z8CGIewKJn4hfyBrEGVPCWjEBbU4VHCDeGfeOKJqaCL" + "nk1CWHRRnpoi3RZhMWp7mNunTxvJpDbkR+nVryD2br311qYkF733RjNHDj+OJ+7rpM1y6TXhhuLJ" + "ZKhJ2NYSx5d7SIogpYQFWxrYwmD9avrNIJk2OiV+RXussYLXjstu7yOuOZmLfirEJ540gB0LHKXC" + "0aUx3vRdkvEQoIzQjsR4jnJfN2aJ85c+yWtejhQGhGhOvvnNb47V14W0TUpY0OYEv8L18MMPz0V5" + "4J2dG7zzne8ceD+ff1jCYtQxi9cWSy7sjkNYtF0253LOOV/LzExtCUGtEtXMWAXINwCVGiVqxPe/" + "//0dqrf+SCyHCjrq9VZOOeWUSk0yfp6y5st7r/HgUOe6733v63yjU6ny4t6KH8A41LzGEVSTUH/2" + "jeqQc9TkfWNVWe9G7RMVKCvHHXece8Mb3tB/XLolxE+s+qp3fcf+xk+IKtXzVVZZpVKb84PnAVW/" + "8C2W/lPqy2yVQYUvFiwuo9KOuh9Yo0JIvG0+EifUeK3YNIX3+LveeutV+c6WoAsuuGBA9Y7vUKVj" + "W49V0w5+lFzZfsD2BSuonqFOy/YcP8B0YIKqXryNKLjhmd1ChEqv3TLiG0X3pje9yT3sYQ+r4uwn" + "GZUaoy3LfOdtAVRlM4RRek2prcduUYt8znOe41ZfffVqy4WfpA6libSz5SclX//6191mm2029Iqy" + "zBYt0oaqH2kCAytsh0Ll3grqeahNx4IKoCeEHGrw1H0wYXtTrJ7K934VtFLHj9227R9++4n1gOVu" + "W6ZTW0LiOFHOwI76xxYttk7YeoJaJNvGrJRuCaEsrr322tZ51Y5Sp8gf/GKbiF99HfoOdUy2G4wq" + "bAlhmxsqrrHFfvzxK1t979hKFW8J6ao89QOsuUElOWxdob2KhfJJHiGonFNnkaa6RTnlxIIVV1zR" + "oV7P9jKbv7RZbM9avHhx5Wf8j60ndnsK7ykT5Anbqsgf8s3WAeJM3ZpE6vKC/o8/tqt5jaZqS6VN" + "Vwi37pSQtssl2xKtZX3aMq8tEaKSvNLHg2cQ8oy2PZz0Ql/NtsMgqbaFd7YtCN9Tx/wkreo/2V6C" + "KrLFir7fnu6F+jLbAsOWzeAf5YU6wxZLtpaBP/2QFW/LodqmY583/Z6rfirEiy2K2223XfhZXekX" + "67bFhg/ZAvCABzxgQPWfurPTTjuFT3QdAQG2QXkCaMgF42S2Ya600kpV207fznZNW6bZrknZte0a" + "Y7iwtdd6zjiH/pCxE9tw/QTWflL9pl9NbZX15H51mpONC9vwGMPQD7Ktl7GMbS9DQJ6wGOuUkOCe" + "K+WXchyEcQtj1iYhbmAbC8+o6wtB2JbpF1Zrk8rWD9pfyhTj9Hj7SHD0vve9z7397W8PP6srfYPd" + "guwJuaFnXZXNuZxzDgAx337MEhNjVy891r3cil9QdeS78IdqsxW/r7j/nu9QS/MTH/tZ9RvVMpi2" + "4B9XVlHGFVRmY7+4h1H1jf6Qlzyzq3XENVYTL9GwgHG2YeJPSiUU1TM/GB/63g9+h+LnB+tD39Wt" + "FmLU0zLHxMEaQMKAE8/j+PKbvLWC25S62L777ms/Hek3Kr9x+OR/asUeTzGYgwp2/D33qVWAgw46" + "aOA7Vs/BMCVs3bF+UnbGkZyGRcogFHmV0sZJadqgzm3zi3ijypcSPxEcShfu/QBj6HO7usMWCVte" + "cEQeeJsIQ/6yOhlL2/7ht22j+B0LK+Q2H8Nvv686/rS695Pa5DYkT/oNfVuqYUHdDWGGKyuoaF1Z" + "of7a9o76kMLduq37TdsawuVKftdJl+WpLszUc7S14jiTLynJ1S1PMg201bj/1a9+1fMT/QG/CceT" + "VUPe0+bEceCe1UhbrnGIlkBKrdoPAIf8LX1A+UhtiUv1wXXlljjXaVh0US533333AczAuklsW2dP" + "zSjRsPCTkYFwSTf1iBVDK7QJdvsK39t8tcYj+cYvVvQwzG2FbZk2rwgfDchRZa76qRDPlMYLWDQJ" + "/RMYxX8lGjZN/i7E96l+i/GbJ5+TcKAFyLg4xp771Hgw1rCIv/eTzCGtW9rX1JbQuvFFauzKNhLG" + "CLEwzky1l8RnUg0LwvGLaUNYsF2pSbwNlwF3tPcLSayGRSgfjBlSW33B1PbVuPELAAOwjaNhEcLm" + "OmnZnMs55wAQ8+zHTG0JsfYLUlsiLP6pTt5+w/66uDDWDaiCOzt5ZCAwjjDot5WLvfw59czUnkxs" + "KwQpISxSpEeTin+KCLATVgiPGMemhh4CJv6eeztIg2yw3zTtpfcaGUNu/OpdgGikKxMKGz7HrTWJ" + "3bqQwsJOmP1KVtZbvzI4EJfcVpOcR3WTqtze4NRkJUX+pQYINM458YYmB9IF3qjyxgJxZvMhZxWa" + "AYkt57FKatv+hbiOS1jksGfAbtsJBldWSggLJqwWR7+ibL0a+M3kx7rxZ8kPfDPKj1EIi67K0yjx" + "5VuL/6iEBSRxnVg8wNqSV/QVljhlQko5rhPcWPxwkyKm6vyIn/tV+6Fy4DU24k8G7im3ts8mban+" + "taty6TV6huLsNTAH4hn/sHWI+Nq+o4SwQBXZ1pnrva2aOvErxEPtVUx2QjRY4hCyIidMwuyiAOVh" + "VJmrfiqOJ7YFYjxTfU/8Pfd2Akr/KRkPgVTd9xoJWc9Si01em2jITYqwYK9+nUCe2HYFws9KauzG" + "1qg6ob1MkSypsVudH3XPGavY8QhkRE5op+3iD3OPhSR1hEVd/ws2qf7ULhhOQli0UTbnas4538vO" + "zBAWrLTFHRb3TRNXMsef5DDkzrKrEB+x3xi/zAkrGnTifMeeeybINHajSop88NspGr2xBty8WlTf" + "TRNhkRqQ1bHTfU/9DSSKHbSDQSxM5GMcaaCbBscM7Lz6aw8joqzUxcepMoiL/ePeDuTj8ON7O7gf" + "d9+fNYpDBxJrtMRhxvcYporjnlrZsytgTWfDQwh5deIeKwR0/LD240iKsIAoyxEAhJMatDAoiMV2" + "yqmJdfx9uE8NFGLijgF7jCf3uU4LfyErWbVlldWrAg+QYW37F9IxDmHB4Lup/UgZ7rIDRlu3U0Qq" + "KzQxjilNqZCW+GrTRXkZV+yAIqdh0VV5GjXutu2rK3upulWigUcbGOcL7WEsqQl9SgsjdsM97amd" + "6Jb0MdYfflvClDLQVG5p0+J0cZ8iLLosl3bS7lWEU8mrnlntONvH8VETYUGfZzEv6X/8ls8BrJiU" + "BbHEPeWR/rFJWMyw+NfZwKnza676qTg+qf3ednEj/j5lNyClQRq70X09AtYWSEmbhm+2XcMWkRVL" + "WFB3UlpDsTvGgXG5ThFYjCfjb7i3K+2xn9zTrls3bRAW+O1Puxnwu2ksiTaKjUtK8xS/56ukCIuS" + "hWrGyDF2cVsKVuMSFm2Vzbmac87XchLSNTOEBRFicARx4fe7ZQ0/hshzpYLHBZd7BpWx2Ik23zCR" + "bstIWRxWfA/ZEcetZACIe9LPgJbBIPexmmMTYcHKaBwmAx8qb4mwEhu7pfLGgpZG/J57OqMS1bfY" + "n3CfUoEuGaTh3g6UmeiPKwxAmWSxSppSQ0v5y5aVGAtwsGIbLb5nMM/KRJeSmlRhoK5JIGrsBJJB" + "QZDUikZuUBnccU0NFCAbY7EDZzp8Jl9NpFjsR3zftn/4bSf28Sop71OqtantTXwbCyvppDcuU96e" + "QPxJr4mwoP2M3XNfEjaBsMps3ZYQdwMR/L8fpYRF1+UpFbe6Z5MQFjkthBCenZAy4IrFnjSRGqDH" + "38f3EOtx3o1i8C34Q17bSXiJlg2LAxY7S1h0XS4t2Ue9rxPbJqS0j5oIC06zifHmnnFLk6Bez8SQ" + "bXKEEZPBdssIp4SUisWfMcMoMlf9VBxHyohdVbdta/y93WqIW/yQjI8A21Xpz+kzrNZRna/2NBtO" + "mrFiCYsSLSD6vriOpeq0Xdgr8Ze4bbvttgN+t0VYsMAQx5l76nmdWLLH2y+q+7TxOe034wPmCk0L" + "U42eRR8wLkFjrSsiJUVYxO1iFJWBW05hsVjHp0SOS1iUlKGSsjlXc84BkObhj5kiLErxhWigIaBD" + "sys3FGJbuVJsfCjsNKbsYYXhH3diVBdvu6r81re+te7T4udNhAVhhLRxbdImiQMG19gt9zFZwrd2" + "NSt8z0SXgQ+scemE3K7oM/BixbDkz64s4bZLoWxc71V+mfiTTju4Sk0wUntsA17eIFSP46xKJ/yj" + "pC1FWFgSr84/W2bZyxfEGygcKB+jYm4xsyvBdpUnYMVEikEGZb9kYhDi27Z/+DsOYVFntyTEM1zt" + "pIWJWCxNhAWrSwGzcKV8ldQnVmWDm3BlUDCOlBIWXZenUeJuJ32jaFiUDO7ZrhRw5WrV1+2KEe9L" + "8o1vbJlEvX5UsWWLOJKPJcJAO06bJSy6Lpep/j1FoqcI8lRfxdgiTg/afLFYu1S0a5OKbRuxNVSa" + "/9YmR+nRuSHOc9VPhfDDFa3CGHfGFHUkhJ0EoznTJJBTLGw0/TERZmsBhB31Ylxh4h+H1aTpSt8b" + "fz/uPdtVuxImsNhXwl4PbZQlOVNEm80rNBGahO2zcVlI1TG7uJIiH1Ph2C3FbREWhIVfcbzr2uJU" + "m1W6WEY4jOdoIxjvp+ZAEDzkRaodxH2d0OdDnrOgywJrnBbu0SSmrv1/9s4E3L6qrP8LGWRKmYzB" + "GExIzQxJclZUNCW1LM3QUCuTR0wK4Z8DqeCQs6KACOJEKeaAA45ZmEOZOJQoYBhJqIUappWVmnX/" + "67PtPb7ne9bee+1zzr733Pt73+e5dw9n7TV81/xd73pXnyZLm//6XgkL0lIrusCDtrTJvITFsspm" + "KX8NyzHnnJb+rXpdacKCykMhZD8QjSOTQm0grRD4qxIWZB4qm95N6R6/YT1p0PpU1moKhA4kulRV" + "a/zDTR9hAUY+bRjUqhWYWf8t93psKKuJ6qb0jOEkJuRdk555jjIthWXv/BaD2jSrOwZI2Vp1gzNH" + "nbLlQVflLDx/LREWdCq6dcV/Y/dMltj2g1p4rTaMxts/K2FBua4VPd7V29HQ1ZSSOnVXONqZa31g" + "cq2dkGHkr5BmTNKYgLQNaInHsv3DT50c8uxFNSxIT60o2ejJIvzQSaXma8lWiMdt6D0Tt3mklrAY" + "uzwNifsihEVNX8EA3+OvhIX2Fd7t0HudYNfgUJrM17anfft116Nc6mql7mkGAzXQieHekvQRFqo5" + "Cfm8iECGD83jLvfaJvXFbaP6KY1XaVswC0kqtOua/hoim9V//a7muesobo2bf4Zw8P7TXnf17/zu" + "3S9y7+Mxzz11H+zZ+soCDX23EgSl+NUQFoxh+4QxmPefsFX879zX9ldDbbFpuF3PetQyeVpasFAC" + "e8gWTOz2KMGpWPhn2jnGJX3y1re+tWp+ZX5DTHeNv/rC43clLPx4s+97JcK8DbN5CYtllU3ivhFz" + "zj7MNvvvK0lYsHdfJzdWSWquJcKCjFIGv88vtBMwLDav6EQXImRR6SMstCHrs5ug8dHvSxWYrRND" + "OlcmtiV7JCXDZX15uc6tcAAAQABJREFU0vU7A5l5hQaOlakSq9wVpv1WIiyICysSOpi2b0pXcEWl" + "exG1PiUsaNhrRdWrPSmhq8BDtHcIXyfkpQkD6odab0o42TvKqxqH9Wldtn9DCYu2cuHjaPfku6WL" + "K22glz7CQifF3q957v0AwMej776WsFiP8tQXV/t9EcKiRjNP80YJixqirjYPaUOGCqvB3v8hRJum" + "TTUs9Hcfzjz3pXKpA1/i77c0kUeKMURKSfoIC91CsYgqN+GXtsvNg4t9M2TQb+nfiH7KwvZXVfMv" + "GVFU4oFvakS/M7xqrvR1ahutK0wWakr+dm2zGjKmKvnt33XFres3VoZZZda64v3uuq8hLLoMFFvc" + "lLCgn/eCZpTGo3b8p/VN+1kfztB7xpGaj6UtgzrZxr5Njei2bcWg7RnNCOp4SSAdtC9u80ffl4jh" + "Uhht77TdLm0pavv22GOPnSoDEOcm8xIWyyibFgeu6z3n9GFvxfuVIyx0UqMVxD/TMGgHx+9thAUZ" + "yFaCIWQIDWVtQ6gFRBnpGjsC6oc+9xEW2hB6GwTqV+lZJ+wlwoLv2C/GhFMbZ58//h53+SzsqSCH" + "5IP3q+2+7xSOqcDdA52f4tYWBu+Z3OjWmK6JKeQDasT6TVcYGEustefhktLcjkVYqPYF2zSGCBoD" + "Ps0lwgL/WA2g89H647/VezSJ2kieZfo3lLAYouKodgx0IN5HWDBRVFwWedaJZ21e1xIW61WeauK9" + "CGHRVu58uDppV8JikXwqfevDrrmnbfb+0F7Xin6r5WY9yiXkhE6y/IqrEjK0LW2rg32EBe2Wx6rL" + "qnwNhqr+7v2e537eCdh691MlbNQQIeXQEwWlfCZva0QJCxZz/B8nNGC8Gc1PPYGEfEBDp1ZU68jy" + "sWSc2/xk37uPj7/XuDNR9L/7+y5SxMIqXRnn1o7nSA8LC1rnaggLbMD0iRIWqmFRUrkvLYqVwtFv" + "560vJb95R95YfnNVw9fg7H/nnjj1ScmGHNuIIF7ZogEpiz9sA9HyQhhtp5boljDKAPmIP2xLo86x" + "XZxwIEM17l0LRn1pWiZh4bXJ5yUsllE2Nc3rOefUsLfa80oRFl1bBKhEbDNgXzos42c+85mmgpZO" + "mugiLCwDqdhoPLDKr42uVkjC7jsW1Pz1Vz1Pms5wUekjLJQEeOlLXzooSO2waFC6BNVB3DAZVe0M" + "xZFnP7hQVhfSAFJn3r8a1WxNC4MhVHpLceUdExn2hGL9mgGFGfbRRr6LsPBhYgcDNl3tFZTCB495" + "RAmLIeqGGHv1cfGrdcoWl44a64qvkot6UoJ+y4Ti05/+dLPiw0DPx6t038fOL8O/oYQFJFWtqMq6" + "Dv76CAu1CQNG89YlvrOyXht/c1dLWKx3ebL4la4bTVho28mkeN68U2K4lF59h6FGrVNeQ0Hd+2dI" + "cf+tEhbrVS61bvpjQdU2zwte8AKfhKn7PsJCjwCvPSlpKhD3ULLxAcE1b/6XtlG44Kpu16OfKkWk" + "pAnjJ0R6mg71tkbDibD8JI5xTp8w0dDxUI3GLcSPb0+0XGIDYqhwYpCvY8vIYx8HDB36OPuwuIec" + "oA4xRic/OIUL0cm59lm40cWgZUwKwVjj2KYxRRy80K/5b5dNWJROLmTib3LaaadNhQ+uNaJb0doW" + "E82vq6++eqb8logRvx2R8t5XPtWg5JBFGYubXZWwGEL+qu0Or3m3SoSFpXU95pwW1la9rgxhoWpa" + "1qCgJs0AuE1Q+Ta3dh26Ms1Ehn1hDLS0EpifXt2oLS76XifkrCjWCPEBD6yKq/QRFrpvCvXVWmHC" + "b+m1K3ubhwiTKgawTPLND3/1Wwz06CoInvUWXfkkrhBYkFmlxt3ip/lQS1jY91xpVD/ykY80A6k2" + "bYJ5jmpTwoI01QpaEz6//HF9GMn0vzGIGSKqYVJ7hK2FgaYEg3hUsHUgafGqISsX8U8Hnzx7IY4W" + "F66UpVpRQgetHC99hEXJDoFfnfR+jXlfS1hsdHnyGOhgnfa3JKW6tQwNC1bhfLlhUryeUjolRo/V" + "bYuP1gklLNarXOpEhDaCNpY2wWPLfVfa+ggLJWCGEMuQE4TtySD6e41f7eSrLU+W+X6sfqotjqef" + "fvoUHn5Ch2aSx6p0jGabv0MJC/zRY3DVplcpLC0/lD/fvgwZk5n/YxMWpe25jIU5EaFtKwFxU22j" + "0ir+GIQFYXtMKRO1W641f5ZNWBA3nUdYX05foQukNdsQ8NP3EX4czW9touNUNXSubU/tPEfzvWus" + "3BY33ithMcRgrOLo07aKhIXHYaw5pw9jK97Xz2RGTn1p4ljTaePGd2DcM2lQgRln4ARr3icwwDoh" + "UrWuPj/4He0GH7falZjLL7986ju/SqsNEPYRvHTZIPDuSvclLHVgh0YFDDKreDQKXcIES7eYgIeJ" + "aimAORW5RuhE0bJhYlFrHK7kr3bUEAc1xyrpKpvPIx8Omjng2metmQEsmhe+vHB/9tlne++q7kuT" + "qloNIc0vrxV0xRVXzMSvZGW/FMlSnHSAAQZMdunE+vKAcHXwCl6UCZNl+4e/OjnrIyyIU41Fbcq9" + "rrIzsPLSR1iUtM1Qra0V2h1WV4aQPiW/awmLsctTKW5t73Twu96EBQN9X/dr+wrSQ3/HtgLqjJ8I" + "t6W19B7DcD587vVY3dJ3vFPtBSUs1rNcqiYWbQnG5HzaMIbYJTqhUSOmaH15/+i3anBnsuLHFdR3" + "6gAC4e397DtRwsefdoGxDSf9zCsb0U+1xZWVYY8F9/T3/On7rsUs9X8ewgKCwodZo73kSX9bhPH9" + "BmWgtt+0NIxNWOjkj/FuzbhKtYjRgFYZi7DQug7RVSOcruHzdAzCQuczVg7QjPFhM96sIbxJl1/w" + "8dpjXWlm3uPDU80yXWCpPWlFiYZ5NX7Un9pFsNKpZoxfTFaBsNiIOaelf6tefzh73OAU6sRRBwlt" + "0VM2nsrp1a8YxGljXKPWx1GnvqIPWUWxuOoKIv4xuOgT1TxAZcukj7BQlUnCrG1MdDsJAyoveuIF" + "YfUJBlQ9jtxbZ82eQ/2tdv8lJ5D4b8njElHVFz8tGzoBbfteGXTddsHAxg9OcV8j2gnXauV4v0vk" + "QM1KlJ4vDb5+QFjyVztAHw9/zyTG5xf3npTwg0l+Q22yT0oDWNtytGz/LC5+4Ek8tbzoAAA3lNU+" + "4TQk3Po/jz3f9xEWuNHyXHOuON9BqPmwKbs15C7fqtQSFmOWJ41T37MSFkyaSlKKc82AUwewasNC" + "B9HkRc1xqcRRNXPmPbVC2/++iT1hl47CVsICd+tVLtnL78sxWo5qjwANiS7pIyxYTfRhcA8p0icl" + "TRM7QUBJHwbuNdpRfK/YDtHO2ch+qgsvVpA9xixuEFf/rqZ8+jB8n0D7ViOq/k4edomWDVtwULsF" + "aKEOkTEJC8hZjyv31IE+0ckw3+nJVvgxFmGhYwrytG9sz8KAn/gT5zEIi5JWF2VDj1pHg7xW/DZi" + "+qva8S5jahaHIAfQSPcCHj7vaxdm6QfZgsHR5JSV2rj4sLlXwoK41Gic6LwPPLxsJGGxkXNOj8FW" + "vF8ZwkIHS1iA7RMdGFvF8yuadPp+4ogbBjV9ovvMhqgqmd9s6dCw+9QBaQh0pdWvcvcRFqxmaZg1" + "6mPK/IKTTsa8Shq/15wSoQQKcTNh7ykDM8s3rgy++rb00BnoIG3o4IU4aGNN+Gio9IkOYvhOyR1W" + "+n26uG9btfXhKRFiAx7vpu++NKkC9z61PSWkSlojau+jxt9SmVRCknR6vMCzT4MH4st/w71psizb" + "P8N8HsKCVZSu1U8mvKrZ4klKC7uGsICgUExqznfXuk2+Uo7mEd2T7+u8+jdWedJw+p61zfWrNf7b" + "Ut1aBmFxTbZto/lWM5AuTYJrSUSfLu6VVCE+fVqOakiXb0qExXqVy9JEweNKWYTo7BIG4P4bbav4" + "Vttp6m9fOdBFGa9Fw6TCh9mGo8ZbFzf4rnaBAr82sp/StPhnHeewoqz4lU5f8H7o/VDCgvGJJwMZ" + "c/T1Sa985Sun8hFCz8RP3Et9q7krXcckLEpGX03zpxQXe6en5VD2IAhVfLpxswwbFoRRIlr6yLrS" + "wkBNO6tpqnnWbRPMO5QY93OVPj85Bh787I8yxIR/UdE+mHYJLer1kBJhwaJdlygpCB6q2bORhMVG" + "zjm7cNsKv60MYaEW4xlYdGkjMMDTQb5VZF0dY8+7/cYVv7tO/igZq/EWaIdkvLLAhN/GrjPgKQ3s" + "PA7akeuWEOJWGniCga3maPwZ4CgBQDy10YKl9Thy36URwcBQOyslT1jFVT8hH9rOVcdPbyTIvsW6" + "+Dyi8WMg2rWyVTLERRzAT0XtUtDBdE0CS0QINi6GSmlSRRzBtURakF6OjzMs7UpZU9GVItyCmW4d" + "su9gm5UI4RutAzoZx02fdkBpwGT4Lts/S888hAVpIe9LRmEpz6WtLUa8WLhcNU0lIqBEklIOUWNv" + "EyVnie8ix5WVbOG0tbdjlae2tLa9J39It/15A17+m1Ld6puo8r22yaphgRstW8SFgVjbJAnCvtRu" + "d5FjhNMmEIvqHwPsUlnED9VmMOxKhMV6lsvjjz9+ko8WJ7tyClmf1BAWkIDmp12ZrLWp0Wu/zTd+" + "SxzkuWrX4YajtttETz7B/Ty2lDaqn2pLF++pZ7Rvhq1e+a2tXrT5W0tYkIcYodVtOpwi0if+GzVK" + "TZvi0zHkVLMxCYuSpoROAH26Kau63dnSVWrXdIy1LMKCOOlCJ/Hw9crHmz5Q2zfcj0VYqCFjLc+1" + "2gyWBjTH1Q/iTxtN24N9r9L4zr5vuzLGtPzzV/pEDKOjJd1H8rb53fe+RFgQB8YfpW12bF0rtZNq" + "IHwjCQvSPNack3Ezhm3tr6ZN6suDzfT7yhAWqBb5ysI9q++s0NNAmjBox1puqeLa97qiSANpv/kr" + "A0QGzHSOFPBrMglCQ1zy2zPlFpeaK52fDgiIAw07AyMaGP6411Ub3OmWAB34lAgLBtDaSeAXDRCq" + "qzDTrERBVJQGybjlLG6V0ko5btmzSedLOmhkmKSSnyVCCYZbRVV28ZM8OP/88xtjqGAI/pAjuhKK" + "WwYJNZMGDZfn0jG6DDR0gsVKZolMInz78+UUv0un3tC50OhQ1ljBIR9YzS1Nvskv9RN/+6Q0qbI4" + "UhZRiUY1kEkEqraqfotbSKG2sNUyOO7JL1aXmNwQPkZsGaCV6hLESSm/SkQUdYKBOfnPN3Ta1Gev" + "HmlpU3XUZfsH7lpfePZS2hJi8SM/KdN0rnS8bBkr1VNWZkpSQ1jwHRpZFqa/QkxQ5xmgEk8GIuSF" + "d8M9eUZY8wrlWv1koIhGFnVI94GPVZ6GxL9UVmiXIPK82m6pbpXKsoZdQ1jgt67AgSPtKGQm7Tbk" + "Im0Hq22lutU10dA4lZ5Ztda845kjd7GHQtlB60IHZP6bEmFBWOtVLku2mCx+TPz6hL7Y3HMtaVjg" + "R4lopD5Tr2lfyU80GFQzwPxUYpw204dr95RDxkGQ+Cw6kA9MUOx3f9W61ZdWft+ofqovbqW+2dI6" + "Tzn3hAX+UH/0z/zXK/WvTzT/9BslcmvtEBDumIQF/pfGVdjV8duradcZL5T6DMOrtB1N+7hlEhbX" + "XnttsR7QbtPXMb4iX9R4qsWX61iEBbiqBrEPFyyHCmnyfpTu6S8YC9FmtBGoGq5qpJb8hSjAKCdj" + "4Zo+T8MoPbcRFoRPOeN35hVsj4S8LfWPzAdVNpqwGGvOqYt/fdooistmf14ZwoLGsDRht4rDRKs0" + "QLPf/bV0lCd7+L2bIfc1e9C7CkJpJaQmfAz1gIuXGsIC9zQqtXhpXJgMlthN/C3ZOdDv254ZeJUa" + "OgZ3Jea7zR//no621qAk8VdhYtaGE+9LZJMP39/rKjZ5p0apvPu++z51bE2LPZcmVX1h+d/pFLr2" + "zzNp6eqIvV96D55t2jOlvX/6fdsz/tpRa4bDsv3D30UIi7a4+/eko40crSUsKHclEsqH03XPoGhR" + "8SuNGhZq1l7GKk8+jL57NXrp40w7YFKqW6U2zdzbtYawwC2aYj7sIffgqv2FhT/kqqrMQ+KA2zbC" + "Yr3KJURrqd2mzaqRWsJi3vaFvq6tjmv7MgT7oacuGRYb1U9Z+G3X0nYnw0O1P9v88O+VsDC/+q4Q" + "zbqA4f21e789ijajNFlUWyVMxmpkbMKCyXMbDpTX0kSx5J50q+bLmIQF2LVpepXiV3o3JmFR2rJF" + "HMB03rYazTrdxlFKF+/ID+w90G/1CQsYJeKq5DftK7i3LWr1hWW/dxEWpXD1HeOcUj3baMKC9I0x" + "5wzCwkrOClxZORoyccUtZICu3NDBqFCxSisiWgH0ed79wBo+q+jES/1ve6ZBKqn21hIWhM+AqrZh" + "s3hgbLSNrLA0sUps7muvkBWlhsX8ZMWXFYda/3DHABSGfVEpGUftigeTMVbJSZN398QnPnEmKgxI" + "Spom/ju9p5OhIZ9XSpOqE088cSquGqY9U0Zr9lWy0scql31Xc8UOTGlbhE8nAzPSX+OfuekqB8v2" + "TycUfRoW1D+0lSyuXVfs9nTZb6klLMCTOnzGGWdUhWtxWrTc+XxU2zUWBlcGRSpjlScNp+0ZbLsG" + "5VYnSnVrmYQF8cMYcRd577G0e8iKrva1Ld2l9/SVp2VtHPO760p7oQZD2wgLwlqvcolWjMYbLZEa" + "qSUs8Iv2HS1HDavtmbLPRLxLGNMMGQcRVt/e/a7w+G0j+qm+OPF7aczUpvHS59+8hIXlZZc9Keqe" + "77fabJXpBK3LT5+esQkLwuoibQ0Df0Wzlv7cp5vfVctnbMKCuNeO4YirLiCOSViwiOIxs3sdN5CG" + "ocKcAn9KdcTCsSt9W9vWPh8ufRnbntHg6uoPzV9W+GtJNx+O3Wt9oH0sbfmw8PwVzTUlx8zfVSAs" + "xphzBmFhObwiVyau7M/xBVPvmaAwKDLWUM9fx31psk8S2a+lma7+88wgZB4WvwtGKhF7wroaApjL" + "LlUxVdkt7Rn0caDSsAUBzErptHesqvUNpLy/2PmoIYBgQIfYl8Bt1+os8SUtbA/pI1Z8fPvuSY83" + "sGW4+CuTCPC3CQq4+t8hJkoCkw4bXVr1898zSIX08GqYJf/63pUmVWBFfNviwHu2dLTZOWkLEy2Q" + "vskVAwIGMZTFGqENgJ3WgZDHinvKAQM+Va3WMJbpn5IPOlFQQ6BmrBfs21YveF9j7BXNFI8B5aVP" + "WBmENOnCkt+Y4KHpsExh+1dbmtvq7hjlqTZNXUSBaZ2wl9fnAffWHnSFo/Z/2rb9mB/gQx/Xhp/F" + "AbVZ9kqPIR/96EdbCW/KDIQtExYddNZoJI5dLiHrDSO7tml2KXaqdm1HEqo7/8xCQlcfC14QJn1t" + "lfkJrmyf6iMumFgsg7Qn3PXupyytXVddjCIv6UvnESUs2K6jfxxjihYp2xlLiz1te8Z1XAbBUBLq" + "tc9T6ndNv7gehAXx5YSGrgkw5Zhxkk8fxIXVMa4nn3zyVNJ1Aqpbtqcc/9+DniDXNrby3zKG69q2" + "y7if7SFqmJf0jCm6sAVGXRqs88SF7b1sQWIrTFufQd7VGH734YPpa/PYhTz25dbnN+O/ecly7TvY" + "mkkbyTiY+Ppw7J7yxBypq97Qlpl7u5ba3vUom8ucc6rGdk3f5PNzs99vRwJyhq6c5EYlXXPNNSlX" + "7JQN+qV99tkn5QFBypUx7bzzzgvHF/+vuuqqlAdOKU8Q0w477JByhUz7779/OvLII5cSRlck84Ak" + "ZUIkXXnllY2zW9ziFumwww5L++23X9dnC/2WG5WUO4ImzEzoNGk98MADG1x33333ufzOjUDKjVqT" + "lqzmyhajtNdee6V999035Y6vuZ/H49yxp6z61vjLlfw/+OCD0yGHHNJgdIMb3GAeb3u/yYx4U+4o" + "e7kTaMK71a1ulW52s5ul7bffvvf7Pgf4T5kjH/LkNu26665NuSNthx9+eFpGujLpkHbbbbepqORJ" + "1cTvvIUm5YFZyltxEvmfyYqmDCySPvwHM8pzHkA3+X7QQQctVKZzh9TEkXqC35S1PfbYI93kJjdJ" + "5AnxHiLL9m9I2OaWMgX2YHTLW96yqSN77rmn/TzqNWsJNPWJsnfDG96wKduUO/Jpxx13HC1s6m+e" + "LKZddtmlyT/a2J122qkzvDHKU2eA7se8YtTUTzCifmaCeaY+Oeej32aSJGVSftJuH3DAAU3e0RbS" + "1o4ttBOUGfpi8i0Tyk3ZXaS98HHeqHLp47Cse/IKrLKdiZS1pdLNb37zRN9OHZsXL8YKjFVoB+nD" + "yXfqLX/azi8rHevRTy0rrrX+5MWilDVIG+d5MpQyQdv7aSYuUtb8nLhjjEhfRLvg5QEPeEB6z3ve" + "419V32eSIB111FGd7vN202Zcao4yqZay5oI9LvVKP5k1zhLtNmllPEEZps+l7Vl1of2mruTJecqL" + "N+mII45It73tbUcf068SLqT9DW94Q8q2jhJzHZO8yJgyAWGPg66UC8rhOeeck173utdNfYuf+D1U" + "siZmyiTF5DPuP/CBDzTPzCVIRybkm7Ey471DDz108Lhv4vkG32z0nHODk7+U4FeWsFhK6sKTQGAb" + "RKCPsNgGIYkkBwKBQCAQCGzDCMxDWADXb//2bzeTNIMu7/VPd7/73e2xWVCD+J9X8lbAlDV0Oj9f" + "T8KiMyLx44YhwAQ+a4tOFgVrIgLplDVLmjJq7iFxPOHG4iAkD4uW/r25L12VaMhaFikbmiw57Xyn" + "/njCovPD+HGbRCAIi20y2yPRWxmBICy2cu5G2gKBQCAQCASGIjAvYYHmBBoUJq9+9atT3j9vjylv" + "E0zZ/sPkGe2NPvGr3rhFO7VLuzYIiz5Et/bv+cSclA14NokcSg7kE1LS//t//28CEFqwaMsgt771" + "rSda3tk4Z8q2iybu+m68VlGtxpL6GYSFIhLPXQgEYdGFTvwWCGxCBIKw2ISZFlEOBAKBQCAQGA2B" + "eQmLvAd9astGtmORHvnIRzbxRE2erbxsl0JYzcZ9n7z+9a9P+cSQibNsCyllI9aTZ70JwkIR2bae" + "89HfiTJiwjb5m970pvbYeUXzgXJp8slPfnKyvej2t7994hlhywVbMGolHyeafv/3f3/ifB7rAkFY" + "TOCLmxoEciELCQQCgS2EQMnoZo1hwC0EQSQlEAgEAoFAIBCYIOCNbmLQr1byxAw7b5O/K664YvIp" + "BvX8b1n7YvJb140aZ8YQe9cxl+tldLMrzvHbxiGgR7d2ncSksdTyi4FOk0c/+tFT5TeTF/ZT7xUD" + "mVb2MTg8j5SMbs7jT3yzbSAQGhY1rE64CQQ2EQKhYbGJMiuiGggEAoFAIDA6AvNoWGSioDEya5FD" + "9R07AmYc+zd+4zemDBBiC6DWiPIJJ5yQ8iko5nXKJ2mlfDLJ5NnfhIaFR2Pbu2cLERoVfitRPlEv" + "3e9+9+sE42/+5m8aNxjRRTi4IBNuk28+9rGPJbaYmGBgGhstfQbN86lX6WEPe5h9lvIxvimTKJPn" + "2pvQsKhFKtyBQBAWUQ4CgS2GQBAWWyxDIzmBQCAQCAQCCyGghMXll18+4x9bPLKmQ3NKxkUXXTRl" + "bBPHp5xySnPyAvecAsOpVSb5+Mr0pje9yR57r5wW5U/6yMd/p3wUdPG7ICyKsGxTL5/73OemU089" + "dSrNxx13XPrVX/3VlI99bU5822677ZKdsJePOU35eNAp9xh3xcirl5/7uZ9LWdPBv0pPfepT09FH" + "H51uc5vbpL333rv5zU6rOuOMM6ZIOn7kNBlOLxoqQVgMRWzbdh+Exbad/5H6LYgAHYtae/bHmm7B" + "JEeSAoFAIBAIBAKBVgQ8YdHqqOMHVqI/+MEPTo5kfs1rXpOySv3ki3e9611TxjknP3TccFwox+Ca" + "tE38grAwhLbdK0QEZANEWknQ/uHId7Onom6wmcJxpKYdZL9z1DrEWdt3HOXLcdbYzSgJR//6elBy" + "0/bukksuSfe+970nP9/3vvdN73//+yfPcRMIeASCsPBoxH0gsEUQuP766xumneTsuOOOE5Z8iyQv" + "khEIBAKBQCAQCFQjsAhhAVnxlre8Je2///6T8O50pzulj3/8480zk0X6XCZ2Q+RlL3tZOumkkyaf" + "ZDsb6ZnPfObk2W6CsDAktu0ri1FoTZx99tmDgGD70Utf+tLW8nnttdemxzzmMTOaFn2BnHfeeen4" + "44/vc9b6OwtpECYmu+22W6IuhQQCJQSCsCihEu8CgUAgEAgEAoFAIBAIBLYEAhABNcc2sqLMXv6D" + "Dz64OQGEVe0jjjhiCgNWmw888MDJuxNPPDGdeeaZk+faG2wL7LvvvhPnhPvlL3958mw3l112Wbrt" + "bW9rjwnbGre73e0mz3GzbSEAgXXOOec0JJq3a6EoPPzhD0+UTb/1SN3YczbbmNhGghYG2kJtQv3A" + "35NPPnmqDrS5j/eBwLIQCMJiWUiGP4FAIBAIBAKBQCAQCAQCgUAgEAiMjADbRNhGdN1116Wvfe1r" + "afvtt0+77LJL2m+//RLbjXRrcG10sIPGFpGvfvWrjQYE/uy+++6NhtEtb3nLmW0ltf6Gu0BgEQSC" + "sFgEvfg2EAgEAoFAIBAIBAKBQCAQCAQCgUAgEAgERkEgCItRYA1PA4FAIBAIBAKBQCAQCAQCgUAg" + "EAgEAoFAYBEEgrBYBL34NhAIBAKBQCAQCAQCgUAgEAgEAoFAIBAIBEZBIAiLUWANTwOBQCAQCAQC" + "gUAgEAgEAoFAIBAIBAKBQGARBIKwWAS9+DYQCAQCgUAgEAgEAoFAIBAIBAKBQCAQCARGQSAIi1Fg" + "DU8DgUAgEAgEAoFAIBAIBAKBQCAQCAQCgUBgEQSCsFgEvfg2EAgEAoFAIBAIBAKBQCAQCAQCgUAg" + "EAgERkEgCItRYA1PA4FAIBAIBAKBQCAQCAQCgUAgEAgEAoFAYBEEgrBYBL34NhAIBAKBQCAQCAQC" + "gUAgEAgEAoFAIBAIBEZBYCUIi6uvvjqddtppoyQQT5/0pCeln/7pn278f+c735ne/OY3T8I67rjj" + "0jHHHDN5nvfmf/7nf9Jv/dZvpe9973uNFzvttFN61atelbbffvt5vRzlO8UaXMAnJBAIBDY3ArQ9" + "xx9/fPrv//7vJiG77757Ou+881Y+UZ///OfTs5/97Ek873SnO6XHP/7xk+e42RgEXvCCF6TLLrts" + "EvgznvGMdOihh06ex77Z6PCHpG8zxXVIurai26c85SnpS1/6UpO0G9zgBuklL3lJuslNbrIVk7pl" + "0xR9xpbN2pmERX2dgWTbfbG2AvLnf/7nazkHRvt7xzveMUnl0572tKlwnvOc50x+W+Tmu9/97pS/" + "pOc73/nOIl6O8q1ifbe73W2UcMLTQCAQWF8E/u3f/m2mDVrfGMwX2vve976peD/wgQ+cz6OWrzKB" + "s3b22Wev/ezP/myLi3hdQoC+wffLH/zgB0vORnu30eEPSdhmiuuQdG1Ftz/+4z8+Va6vvPLKzmTS" + "Pv3ET/zE2le+8pVOd/Hj+iEwdp+xfimJkPoQGFpf+/yL3zcvAmkVoq6TaD9IWsZ9EBY/zGXFOgiL" + "H2ITd4HAZkYgCIvZ3PvLv/zLtaxF1kxQfvRHf3TWQbxpRWCjJ+EbHX4rMIUfNlNcC9Hfpl7VToCu" + "vfbatQc/+METcuPv//7vtymcVjmxQViscu4sN2619XW5oYZvq4hAEBahYbGK5TLiFAgEAgMRCMJi" + "GrAvf/nLk8kGxHcQFtP49D1t9CR8o8Pvw8f/vpni6uO9Ld7XToDUXRAWq1NagrBYnbwYOyZaD/s0" + "osaOT/i/cQishA2L//qv/5raK5sHl0VhL9OHPvShyW95kJDYO9onP/VTP5XYz408/elPT8961rMm" + "n+QtIQl/F5Xvf//76WY3u9nEhgX+/eM//mPaYYcdFvV6qd9/6lOfSve///0nft7jHvdIb3rTmybP" + "cRMIBAKbE4F///d/Tze60Y2mIp+7lqnnVXx4//vfP2VHKG8JSRdffPHCUc0rpOmQQw6Z+JMJi/S1" + "r31t8hw33Qg87GEPS3kbyMTRu9/97pS31Uyex77Z6PCHpG8zxXVIurai25vf/Obpi1/84iRpeQKU" + "bnWrW02e7ebAAw9MeRuIPaZMWKQ8eZo8x83GITBWn7FxKYqQ2xCora9t38f7rYPAShAWtXD+xm/8" + "Rnrd6143cf7whz88veENb5g819yMRVjUhB1uAoFAIBAYC4EgLKaRDcJiGo94CgQCgZRqJ0BBWKxu" + "aQnCYnXzZtkxq62vyw43/Fs9BIKwWJKGxeplbcQoEAgEtiUEgrCYzu0gLKbxiKdAIBAIwmIrlIEg" + "LLZCLtalIQiLOpy2BVdBWLQQFtdff32zjWTnnXdel3LwH//xH812kj333HNdwps3kFWJ5ze/+c20" + "2267JY6PHSJs3fnWt76V9tlnnyGf9brlKEnitNdeey1tG9C3v/1tbMykH/mRH+kNf6gDtmHhP/Gt" + "OXqXePzLv/xL2nXXXdMuu+wyNLhW9+QH/u6xxx7VeZltNTS43PjGN271d8gPY5UJi0M+LajBelll" + "Lp9IlMCAtsJvOVsWYTEWHpQ36gl5vd122xk8aazB5zIJi//93/9N3/jGN9Lee++dOApxo2VV6m8N" + "DpQn2kYtrzXfLurG2q0b3vCGk22hfX5afSWvfTnt+27Vfh+rHq9COhfpG2snQMvSsGC8wbH3y+w7" + "rU7Rp4xRRlel7IzZZ5BG+lHGQENkkbLXFw5xYjxEW7njjjv2Oe/9nf7WxrtjlJPeCDgH//mf/9mM" + "gxi3Uxdq41NbX11QM7eMmZi7DM3rGY9aXlh9XFYfR7/FeIOxkh/jtQS/zbwOwuL/CIt/+Id/SG98" + "4xvTpZde2uzbZfCPMFm8973vnX791389PeABD2gdrDKgPemkk5oBOd/R2Jxxxhmtk0H2Q15wwQXp" + "ox/9aGIP5de//nU+a8K74x3vmLC7wd9DHvKQmX3pjcM5/11zzTVTdj9ufetbp8c//vGtvm1UPMHk" + "rLPOmsTrhBNOaPaZ8u4tb3lL+vjHP978lo8bS4997GPTox/96CJONJLk61//9V83f/Yd+XrnO985" + "HXHEEeme97xn+rmf+7lJWDU3dATZ8FN6zWtekz796U9P7XUlTuyJJU6UmdqGGazPP//8dPnllzc2" + "XWz/7I/92I+lO9zhDum2t71tYn//4Ycf3hlF4mU2AAj7+c9/flOuwODcc89N73znOyflDY/Yl/ub" + "v/mb6fjjj586j55GHvsmr3zlK1M+bWESJu5vc5vbpCc+8YkNhpMf5Obqq69OL37xiydv8R+8se3y" + "qle9Kv3hH/7h1F5ibAxkq+xNefzJn/zJyXfc/Nmf/VkT9w984APJ6ibuqSOPeMQj0qMe9ahqnJdZ" + "Jl760pemq666qonrvvvum04//fTmnnhSv9/1rndN4kuZu+td75qOPPLI9KAHPSj9zM/8TOO25h/l" + "9mUve1n6i7/4i6myBk6UM+oAg+J5bFgsEw+fFjrxt7/97U2Zvuyyy6bK3L3uda8mzr/yK7+ydMLi" + "5S9/eVOH/vmf/zlddNFFPkpNmPaCtvXoo4+2x6krWF544YVNX/DZz362aTvMwV3ucpemHJN/bEtk" + "MrxMWZX6m4+CTVdcccUkab/3e783s4ffxxWHz33uc5tBFt+99rWvbdoPa8f4Hexuf/vbN21uX9vY" + "Fz7l6wlPeELiilCmKE8MTF//+tenV7/61emTn/xk8xv/qH/YbPqd3/mdpk+f/JBv6G9oG2nvrC/m" + "d/ri+973vk1bxyC7TbriSrtLu76o0AfmE29avRmrHrcGmH9giy31zIS2mH61Rsgb+k+Tgw46qNWe" + "2LL6RsLqmgDRz1ibQXnw8ku/9EuJNh4hH8gPFfqCP/3TP02f//znmzLF2MD6K9zSZ1EGGXMcd9xx" + "1SQa39IHMP7hajY4bHwK5thRuelNb9r0/dRLk2c+85lT/bq999eNKDs+fLtfdp+BjTvGvAgE1Kmn" + "ntq05eecc05685vf3OQNGN7vfvdLJ598clPfLS52XVbZ0/aKMR3zin/6p39Kr3jFK5rt7r6tZJxF" + "+8O8otZ2EMQw/dZ73vOeZlxi5YS04BflljHDL/zCLzQ29yyNy74SD/r+v/3bv23qAf2/TxuYU2YZ" + "DzF2I2/apKu+tn3zr//6r+m8885L2Owj7C984QuNUwuXsfRRRx01ZTurza8x+zgfJgssZ555ZjMe" + "oj8yoV8DJ/Lsdre7XdO24M5kSJtr32zaa2ZyNo3kyj1l9T0PFgfH/WlPe9qUH9no5lo2JraWC/LU" + "+5yhM8954LKWjbYVw8yTuxn3eaWm6FbjUArL3hGvPPEp+jPPyyHHmm5kPPOkegrPP/7jP1576EMf" + "OvXOMOJ6yimnzMCRBwvN+eneXds9ZSs3cjN+lF6AYR54tMbFh5E7mjXi0SV5QLP21Kc+tco//H7G" + "M56x9r3vfa/VS8233BCuZXKg13/SlCcajb+5k14j7j4tpftMdq3lyV0xLnngNvV97kjX8uC9qq5l" + "UqrxE2xyhzblTykeuVFvrZs+cssuE3kQMIkb+OXVkc5y6uMOdnkQ46M3c59Z9rX73Oc+kzD89/6e" + "vPq7v/u7GXczHsqLZeNh3n/mM59ZywOumfj4OHOfSay1TK5NucuknHkz1zVPCKb80zDtOU+ui/5n" + "UmgtkxFVfnBkKmldpqxK/a05+ULjmgeGa3/wB39QhR3+58luK3R94WdiYiocyhL1pabdor+g3coL" + "DWt5YWHKHysf/ppJ47VPfOITc8X1j/7oj3r992G13dMHtslY9bgtPHv/i7/4i1Npo4+uFW3X6YNV" + "lt034r+2S3lyMAk2G2SfSk9bXtAme8mr2GuZwK/61vxkbPfe977Xe1O8p0wz9rTv2q70P3/1V3/V" + "jIW8m0y4Fv21lxtVdix8u47RZ9A+GxZ5IWktkz2TZ3vvr1mz2qKztuyyp+0VdeVP/uRPqsZD9ImU" + "sS7RftSnq3SfCZq1vPDW5eXg3/Avk7NVafJxos+gLS5JV31V97TpzJdqx+eZ4F7LBLV6M/U8Zh9H" + "QIwB6Y88Hm33YKv5nMm3qfhu5YeVONa0FuAxCIu2gtH2Pq8QFSt5LWGRV2SrCqaGn1eka2HqdMdk" + "2/vNoLAkGx1PJSzA3cdb7z/ykY9MkkHDx2RE3fQ9MyjNGjYTf0o3eYVjsL8MTJhMliRrG1Q3rj7+" + "TKgye13yck0bWDom/23XPRgQ19oGH78YkJdECQsmFDXEoMUPcqNmsm7us6ZBKRrNu7HKhCcsSFtf" + "ObW42jWfUNQaZ47lzKsh1XlXwrbN87HwILx8ktOgfDYs7LqRhEVejazG2+LLFeJ7WbIq9bePMCC9" + "GlfyzuPSdw+50DZQ7QtfJwDHHHPMoPqSNcfWskZUdXyZ8NDPl6QrrmMSFmPW41I69Z320+Q3pG2f" + "lI5gZmziZYy+Ef+7JkDzEBZ5FXtQf6l1whMmPv3c51XpQWVa/ea5jbDY6LLj0zpWn+EJC8Y0mvce" + "LxY9TMYoe9pe+bBr7hnztS2YQlTV+KFuspaPJXnhKwu0YKhh1D63zXE0z9rqC2TJkPGixYtxUxdx" + "OGYfB2bHHnvsIMx0nLctERbb/JaQXGinJK8YNGpT7FND/dqrlJpD1Bg5scRLXvGeUQ1mL6xXF8Yv" + "1GG95MKXHve4x6XDDjussclw3XXXNapUbBXxgju2rSy6B4tjYVFHNMkDrZQn+/bYXFchnmxpIC9q" + "hC0TqFPZ3vK8EtWoR+q3eUCb7nSnO6UDDjigUXX+8Ic/PKXqjfvcqTXqluyzU8kDqkbtWN+jGg6m" + "qNqx7wx1ZNTIvbBNBFy9yj4qgrmBTeSJF/KauLJ1gC0dfIdaWmb8vbOmnObVkZk9bnoSztRH+QG1" + "QNTMUEVELbJP8qSiUUlkvyfbSTQebZihXkv62oR8+/mf//lmKwNbJ7wqdumb3HGlu9/97o0NjUsu" + "uWSi5ufdon7NthOVscoE5QkV3ZKQj2wn47jjz33uc42qcMkd6ou+XOAmjx4alcVSO4DaO2lExRL1" + "Y9LcJvhTkrHwwPYP+aRlhPLPccqod7LliSMyvYqoj2Oe9E62NPn3tfdsCaH+oaqOWqoXtiWZ5BWu" + "qS0hlCnyS4X6jfoobTTbnMgT6qTK2972toTa+KKyKvWXuubLH0ec+r6DdPbFle0UtItsAyu1YfhB" + "O0xYKn3ho8ZeaqfNH2tHUeMnDG2TzZ1dcc/WQLYm5AlAsV6jkl/aQtkVVzDMK4gWTOsVe0zYzKJd" + "9lvw+IC4Uc8PPfTQqe/HqsdTgXQ8MO7BjoKv72wFyotLHV+lpo9EldlE+/Cx+kbC61IxZyufbQlh" + "K6QX6vZNbnKT5hXbMhm3IWwnyCvlzb39o09kjHjwwQc32z5o67IGQbG/fcxjHtNsu7Rv7Urbzfis" + "VBbYOkm7RJ/JuETdmB9cKfds4VTZ6LJj8RmzzyCf+uq9xSMTi802nbHKXl97Rb9OWdp///2bbcbU" + "I7+lg3jSh9J3esGeEW2cr4P8zlZRthAwZ8Auxsc+9rGm3vlvuaf8sE1pUWH7zZOe9KQZb4gH5Q+b" + "QPTJ9KFgrfFl3MDWDbWp1lVffWBZ83iyJde/pw9iOwx1l+0h9EOlsQdzCNp+lTH7uNNOOy2xZUuF" + "toYtQ7QBjC8Zd7cJ25tK29Pa3G/q9xmQTSNjaljAzLGiqVJSpS+tANZoWDz72c+eYtJQ88uNmAbZ" + "PKPWlAvW1F/ek1t0O+QlqxjeX1aGVFYhnqWVG+KNBkBuGBvVR9SjyAu0QUwy0TSz2gGzjuqdCisM" + "z3ve86bwIIzciKjTNdhxZXpx+5KXvGRmhRAVr9JKjTLIJTes0H/pS1+aCT83sMXV+7x3esatMsKW" + "35Q3Vg685AZ8Bi9zD25sl/KCyh1bscyNXfOAyTtr7lXDwtziL+F6Qe1VVynNPVfyXNUX877PmXiU" + "1PzHKhPE32tY+Pii4qcqnJQh8sC74550qGQiacYd+Hz1q1+dckoZzjZKZtxaGFOO/+9hTDzyIH4m" + "Lmx90S1MxKFti1epfS2lo+9dJnin4kK5axNw5XfDza6lvMEPVufNjV35Pg/C2oKofr8q9VfrYyYs" + "ZtLQFtc8sJ7RKqNdZDub4WXXtm0EfeF3rVi+9a1vnWovaDvyXvCZsC0ObE9QzYC8/3nGPeOEkvTF" + "tfRN6R2aB35V2OKXybQZ52PW45nAOl7oVgi2ZPUJOFrauNIXehmrbyQM7cfbVmwZa/g4sk1ShTrh" + "3XCPJmGbJk62bVHMX/o/lbzwMeM344NMaKnTtTy5nXFr8coT9hn3q1J2iNiYfUapLoELYxhU6xmj" + "UH7R9KLuIWOVva72Ki+CNmH7f5QJrSfEXbUB0C62vOZKP0TfVxK23WTyc8p9Jg5LTge9Y6zj48A9" + "GiFtW/7YekO4+k0mE2bCramvedFvxi9wyGTxjH+Z4CmWuUwSzLjlxVh9XGkLL3Fm25IK2xH5TfHi" + "eVvSsIDB2TQyFmHBJEInQx4UBtxaUPzv3NcQFqoulVfp1JupZ03vMtS3agiLVYhnG2FhNhamgHIP" + "kA2aV+yr7pK80jDzDWqeXkrEFZPKLtGJPYNaE0gJjScqzTqxM/dc+Y3JnH6nJESpgWXwVRoU4e8L" + "X/jCGT8JAzXDkpTIGwZXKm2ERalB5lvea9p4hqxoE+308mrVjNOxygQBlQgLtuC0CR07quU+newD" + "V1E3dP5tg2C+hQzzftq9+svzWHhQDi1cuzJJbBPIFs0/vtsIwqI0aPbbzEppgKSzdNo1rzCVnA56" + "tyr1t2YSXoorZRVyoiRteV5y2xd+2wTAE9jeX9zrYJ18o91tEyYSlrdcaUdL0hfX0jf6jjEIcfHh" + "cd+2UDFWPdZ49T0zIdY4l0h384eFIXXv+9sx+0biUDMBwl0NYaFjQ8p+Vx+Ov0waNf2QY16oJxo+" + "ZEWX3zq2szBKhMWqlJ2x+4wSYQE52SZjlr229iobhW+LTjMv0W2hkCte1GYQi2hdoouhtImLCjbH" + "rLzZlUW2LimRZtgTUqmpr+qGyX3b9hnzv7RYqWQQbsfq49jCbFjZVcfyFleupKfUfwVh4VFaoXud" + "wDMhHCqlwtc3oYWBtwJlVxofLzWEhTY8bXv/zV/YQQYwdIpU5KzWaj/NfdVOzU+izdNViGeJsKCB" + "6RKMZlr+2BWbEzWiA85sEX/qs6yCOeU3AxMGFV1SGsjlLT/NJ3RSFke7lgYW6j8rNObermjEeCmV" + "cexBtAnl3/yyax85li3tT32jK2SEVSIssnXjtmg075VFZtAGI94mWX13Kh66ujdmmSBOSljQoWQL" + "2W3Rbd6rHRQdgJSYd+pDlzDZ0U6bvFQZE4/SKl9pNdDHiVVLK3N23QjCQrFrW/X3cee+RLi0GVvW" + "b9ueV6X+aptYq2HRRnRaemmLLK/tSrlU6Qu/NAFoWyUzv0v51bbCzjdo3lgc7VoiDvviauF3XfNJ" + "PzNhtRFgY9bjrji2/UZ/aPhwfdGLXtTmdC2fHDXllgUSL2P2jYSjdb0t/5UwKGlYaLpLK+U+bXav" + "Ew9WiL2U6oi68e7tXo2gkhc6rlilsjN2n6GEBbirJpVhx3XMsldqr4hfm9Fyi1eJDPAT23xS0lR9" + "6rLlhZ+MT6hzuMP2Egt2fWNZi0vblXbK13/a2RrRRT1sSKn01dcSAdqmGen9pp/WOq5tEe5L/fGi" + "fRzjNW0DSmn38eWe8bPHmfsgLBSlFXkeg7Bgct4nbNvQQuIbDL6vISy0YcFP2FCYxvWSGsJiFeJZ" + "IixYmeiSUidfGgiX/FDDaH7VrZT/qBPWCCrQrPjB3DIRtZVHXZmpbeAJEy0CXx6VuNMGlga/S1Bj" + "9/5x36c9ouw0ZUalRFh0rbzxPZN3HxdWvrtEV7m1Po9VJixOSlj0xZfvUO/2adQ40wH539G26BvU" + "4G9p8Md7L2PigcVtH+8aLIgbK17+u/UmLEqrfG0GbT2W3JcIF0jFRWRV6m/NJHxoXMEFAtLnN/cl" + "1eG+8EsTgK7VSsLOxxpOhd3XNjKQX0ZcCbtLSkaiIcmtv9Bvx6zHGlbNcz5CcAonbdO8H/zmMVXt" + "vDH7RuLRNwGyuOpkpkRY4JYJIGMTxgS1W8K0n6Ov9KLbcpWI9279PVstPbbcK2GxSmVn7D5DCYs+" + "QnPMsldqr/oWIshb2gAts/T1JtlO00ye52Pq12xxzNyNfWWcTL/J9muvMdUVrrbH9CcqmnYlGHX7" + "LPW2RCqrvzzrtitIBJUx+jjdxkM91S3EGg+ewVgX9YKwKCG1Au/GICz62EhLtrJhTD691BAWTFq1" + "M7Fn9vxmY3GtJz/4sBa5ryEsViGeJcKir0IrE03DxTaImj/di8q3JjTClk92VVsC5rb2qir/fdo2" + "3l/2Z1s8uNIpe9EGtk9bgm+9f9y3bduwcLCd4b+pISxKnYH5Z1fqgfeX7Spdouq1OlAeq0xYnJSw" + "wJ5En7AVzKeRsuBFV1pL21y8e7tHBdP7y73KmHjowEInIhoXe9YtWetNWKiWjq/7Fseuq9blbEC2" + "y3nvb6tSf/sIAxKicWV7ZY1oOdUFAPzoC780AegjmnR13xPTbfHWuM5DrrT5zfvSqi4TWtLXJmPW" + "47Ywu96zaq04qZ0ivi9NlnXBRuvTMvtG4qDtlE6AcIPQDvg0tREWP3Dd/Z+xC+0+K6QlLQi1saUT" + "eY49rxUfZ+6VsFilsqN5sew+QwmLNo0lw3bMsldqr7rquMWJq2qGYSPLpFT3rAwwPkH7FY2Arm3v" + "5tfYV8hq6htbrJnP6bwKAkNFy4jWV9X2rZ3TEQ6kjmFlV9XAGaOPY65n4XEln2oF0s1/G4RFLXLr" + "7G4MwqKvAbMkKqs1D2FB46SDMF/w7J6O8sQTT1zLpyxUM4UWz75rDWGxCvFUwqJmsltapTJM57ma" + "anc+LWaqgcCvmhXvtrwoHenWt1/e+6UTXuLjV+K0gS2RCd4/7hWf0gTCf6NaAKUwVMNCyQTvn90z" + "WfVx6dP0YDDm3WsYY5UJi68SFn0rvHyXTwyZijMdshftkNDSqREGJB4L7lXGxEPDpq2pEba++W/X" + "m7DQVZ6SWmhXOrTMdqnDd/ljv61K/dW+qmZLCGRbjehAtdTe9IVfmgDkUxM6g0ej0Zc1VlT7ROO6" + "TMKitNJG/9+3lWrMetyHR9vvqt5dOrJZDXRqeRm7byTufRMgS988hAWTHTTo2MKbLfc3qvc6dvTl" + "z+6VsNB+BQPjtaKTdCUsVqnsWPrtuuw+Q7FQw+ce07HLnrZXNWNaix+ElWHEVReh2MLsfy/dEx51" + "lAWCvm2rFu68V8bOkCSUW8aG9JFa70pxnIewUG0l3SLdlQbG8RqPSy+9dOoT7Y+1zZpy7B603/B9" + "nPqpWtLOm5lbyCof5yAsZiBajRdjEBa1hVs7nXkIC1CEYdSO3Rc+vafQs8q8yATZ514NYbEK8VTC" + "gsFrn6AGp/gt8myrdapypxPMvnjp7zRcGq9rrrlGnbU+l/ZV+60W2hhiYKtPND7KMuv38xAWGA3r" + "E5389a1W9xEWY5UJS4cOLGv2GSthoRoW6meb0T2Lg79qO+V/434sPFgl1TJk9UfjoM+6rWK9CQtV" + "Ax6yQkNadIWndkCjONjzqtTfPsKA+Gpca/bh8l3XYI7fkb7wdQJA+UNltkuUsFBbRaVvNa7LIizY" + "OqR+kwadYJbiNFY9LoVV+04JatoiT6RDqGr7pKdLjd03khadOOmKraV3CGHBeFDbEW0Pu56VsNCw" + "+4h7izNXJby1PK1K2VmPPkMJixLpatiNXfa0vaKfrxXdclUi1SHJusqY/kY/Zyej1Majzx3jRrRM" + "tZ5r2G3P8xAWi2jFkB79XhedxujjdFt37fZZ4qs20IKwAJUVlDEIC4zO1IhWwHkJC8KCfGASogab" + "2iox7+mEau0xdKWnlrDY6HgqYVE6SUHTqZPdLjxrfrNTXFRll05wESkZvfLsa5/fbHHR+HcRFliR" + "7hP1bwzCotTJarw0DxclLNQ/TefQZysTFm8lF9DG6RMlLJQA03ZhSIek32pcxsKD8qJY9hkztrjp" + "t8RxGVJ7rKmuXnVZkS/FS1c8lk1YbFT97SMMwEIHc7X9qU7US+1fX/g6AaD89RH7q0JYQDrrpJT4" + "l471K5W5sepxKazad5ATOk5ivGHCpNy3EbR7auxv7L6RuCybsNA99D6NpXvsUej7PsKCRZNa0S0n" + "SlisStnRdh9Mlt1nKGHx6U9/uhXGscuetlfLJixIGITMkPxlsl67sNAK3P/9gD/arms5989ow2p7" + "MQ9hoWMwb9+jL878rmWkj7BYRh/H0ccei5KGclvcsYnnvx0yPmzzc7O8n9UZXuGYbxXCwkPMyjoF" + "TjsZXyDtfkgD58Pw90MIC//desdzHsJCVzjAC3/m/TO1OTXsSKO8iJTU0Lo6Ug2rZFiLztBknkmE" + "lTG7jkFYcKZ4n2hnuyhhMVaZsHRoZ7kMwkKPNuw7HcfiwlUHAP437sfCo1SmdfVU42LPkL9W7rhS" + "BpYhtYSFrkzVkKM+frrf/OlPf7r/efD9qtTfPsKAhM0TV77Tge22RFjQVqsaM+Wefc21MlY9rg2/" + "zR3b13xd9vZ3dB9+6bjqUjuyzL6ReC+TsNDxlE8792gVQmCyKsrWN7PXofmvhAW2YLxf2IyqFZ2A" + "KWGxKmWnlNfL7jMUi66yVIpPl3vNj75xmRIWxK1W6FN8edAtIeoP4ze2fqBNo2MC7w/3tMV9R4Cq" + "//pM+10iYC0syAnqP9uRsJFnW/fYNmZuuM5DWOiYse1Ya42zPWtfpAZwx+jj0ELx6WZBulZOPvnk" + "qW+DsKhFbp3dbUXCwkOI4U72tFJBtFO1wk2nt4hoB8ugdKisRzznISxUVarWAFxf+p2qp5AAAEAA" + "SURBVNlPbPjb1RMEXd+jcnf11VfP2CLRjnTICsq73/3umfj4OMzTwFq67LpVCIuxyoThPQZhgaqm" + "5QNX9kLXCPtG/Xfcq4yJhw5YGDDViLZJ601YQIp53NQOSl8aVKsFjBeRVam/QVj8IBd1QLvIlhC2" + "RZQWJ4assBGrMevxImVXyUewY7xQOokKI8ElGbNvJDwdWy2yJUTbPNoR2m+MQTMBbhONA5M4Lyed" + "dNJUm1Rraw0/fFvGvRIWq1R2FL9l9xlalvoICHW/zHGZEhbkTa3oSVo1W9nMb7SYKANol0Ggafng" + "uUaLz/wrXUvbjAgLey5dx9JD5vn4PPnJT57xXuuK1le13zGkLWVR0ofPPYaBvczTH/O99huelH/b" + "2942FS5jiFrh9Cgf5yAsapFbZ3dbgbCAyYRFLlnQ9nCiXklB9AWT+yFMu/fP7nVy0EZYbHQ85yEs" + "9HQTGgxVOTUc9EqjyiCD/fRmbNPclI62u/zyy+3nzutDH/rQSR7SOZvF82OPPXbynnyttaVCYHqk" + "KA26l3kaWC1nW4WwGKtMGN5jEBZY9fb5UWP7g/igTuu/415lTDxU1fn000/X4IvPr3nNa6bivd6E" + "xRVXXDEVPrjZSmgxwu5laRBaO+h23kzdrkr9DcLiB9miA89FCAudiFLWKO9DLfiPWY+nCuMcD9oO" + "sGKpJ1t1nc4yZt9IcvomQJZknUzrKSFqe4e8LGmNmH92LRHL5KcXPYWLCU3NWIaJKfHwf0pYrFLZ" + "0bKy7D5DCYg+wmLMslfqK2o1GzQd5557ri8ujS0KJtpdNjrsA7RAtU0bssJv/viranHgn46jvXu7" + "V02iEjHXV19r7HtYeHpV7WnqjRKp8/THhKMYe8KipI1TUxaYpyjWQVhorq7I82YmLFgV9wW4dhKi" + "DfqQ461K2dZHWKxKPOchLEoTtlpjVXrMEI2CP0ZVOwxOcekTBqE+z2kMTeURQ5h+UIG7GgNIrFSp" + "n6h4epmngfVx4X6rEBZjlgkwH4OwKJ0Cg8XtPlEL/OSjyph4qH2AmjLNIFw1FNabsCgNJGsmHmCr" + "aQZzPzBR/GueV6X+BmHxg9zS9nZewkK3HlFW6Fdq2n0tN2PWYw1r6DOkPGmzP/onT9zznqM122TM" + "vpEw+yZAFi8lLNCU9MLRjJZGu9KW9AmrzuberhdffPHUZxwrbr/ZVUmNqQ/+70G3p/GtEharVHa0" + "/Vx2n6Hjtj7CYsyyV+pnaowUl8oL2x0R+hqdwNa0J7oowjhmXikRd8wz+oR4Wtm2qz+u1b7vq6+c" + "pmjf27VmvIT/jDXsG65qAB038/THfKf9hh8XUBb095J2Cf540XkKcQ7CwiO0QvebmbBg9d5XDO6V" + "sS9BrSpcY2tYrEo85yEsIAhQ5/Y405j3GSuFBNBGX1eAdA8hYfgGqJR3OqChgTKr6aUBSY2Whe59" + "Ix7Kqs/TwHrMuN8qhMWYZYI8H4OwYBKvg+W+7U2lbUvko8qYeJQGLn32Nxioa9lbFmGhmFD/2uT+" + "97//VDxw21cHaFd00NGmsdYWbun9qtTfICx+kDuax/MQFqoCTJmnz/nyl79cKgK978asx72B9zgo" + "bf/wdRw8u9TEx+wbiXrfBMiSp6cHqFZlabJt37Zdv/e9780QtGBTInB09Rlit4sQ0eOhDXMlLFap" + "7IzdZwwlLMYseyXCoqaf0XbYbx+gPGn7ZFq8bWWQ90rMsM1gXiktsKC12Cds3bAyalddfMOPvvpa" + "6odrDLxDali4di0dIT9Pf0y8NV90vqCa0sSha06I3Q+dp/BNEBagvYKymQkL4NSK19cB6XGaFE5s" + "XCwifRoWqxLPeQgL4s7k3Rofu0I+YJW9JAycMAZpbu2q1tphg7WxoCNhUlQSLCabX3ZVWwSlfX+o" + "t7UJJ8uYX3ZlYssAxMs8Daz5Z9e+yZpuVyrtG9Rj7jbC6Ca4jFUm8HsMwgJ/S6uxaFBoXuMWVULV" + "xLJ85HeVMfHQFQvi0bZFgtUurVO4XxZhUdqf2mYRvVRfIYtVPdSwZPChA0niPtRCufnnr6tSfzV9" + "SowS53niynd9gznc9IVfmgB02Q3AT51k1uwF17gOJSxKA2P85LSgRWTMerxIvPhWreBbe8SVY4D7" + "ZKy+kXB1HKZ74i1uqvn1qle9yn5qrnrqCWnr0oKgvGIs0WNh9xdccMGU3zx84hOfmHHLBFxPqMPt" + "+eefP+PW/FbCAverVHbG7DOGEhZgM1bZK7VX5BHj09J4CzKitIVMT7FgXGl5zZW2pa2fI31oCmmb" + "VrNYxrclKWlKlLZ22LcsyOhJFxZ/5ngqNfVVFwfxD1zajrmmTS6NPThqWmWsPq6kLU2+6NyD+KCZ" + "XYov6QzCQnNsRZ43O2GBhVyrmHZlwskg95p8WgiTEQoxTH6JfaQDrdnH2JVdNYTFKsRzXsKCtKv6" + "KVjTENCp03mzt+66665bY7uIrqLgFgvepYEv+WT5ZlcaEQYbNHT4y2CipAVB+LqaRhzMH39lHyWD" + "ISaiDI6Z+KuFdXNvW0x8ns/TwJp/di11oD6MzURYjFkmxiIsaAt0wEzesOpG2SB/aDMYvLR1ZLhv" + "k7HqyLXXXlss0wy8GCTQvlEHX/ziFxfdEedlERZgaOXZrmCFUTzOPWf7mxe1WM431NtXvvKVjc0h" + "BpzEnYkL781PuzLwLLUbPoya+1Wpv32EAWmZJ658p/jp6hNu+sIvTQD68F9vwoL2W9NKeUF1n0E7" + "R+SBIWWv76+0+jdWPQb/RaS0N9zqyac+9aler8fqGwm4ZgKEu9JCBnjTlr3whS9sxhCWJruS17QP" + "GBo1YULH2KE01rDvaA9Logb2zD0ryBgs5BSWLn9xXyIsCGtVys6YfcY8hMVYZa/UXll+UibRsuF4" + "etoMiC/y2H63K2VS5wDYpLDf/ZX2AuKCcCmPjBdoc0rtEWleREplkC0O3/jGNybe0h+TLvpJH09/" + "j6ajSk19pd3XsRj+MobCfg6aC4w9GIPoSUYWPuP2kozZx6mtGosLcxDqN3+qZW9u7BqERSnXVuDd" + "ZicsqLCq5meFruZampwOzZYawmIV4rkIYUGj3zWJ68KahrfN+A3bOUqdSJd/9hu4l0QNDpr7muuL" + "XvSikpdzTSI0vK1GWIxVJrSTXMaxppapGGYqDS40r7qezS+9joUH4ege9q74lX5bFmFBXPT4QB8e" + "k0YvTCx0S5l333XPoKpNi8uHUXM/zwBJ47aM+ttHGJCWeeLKd1qutyphweKD5s0iz0rIjFmPyad5" + "hUmVTjRI95ATeMboG0mPxqtNw4IJV1teUX6RLjcsRtWOQ9qOUsb4b4lY6IqX/ta22r5KZWesPmMe" + "woJ8HaPsdREWmmelZ8rTF7/4RaI3I9jCKH1T827IccozAf/fC4iItrCoA8S97Xf/nnrlyT68r62v" + "GB3VfsX73XVP/bPt2prGMfs42knsZXXFre831fzS+G+l5/YluBVM5WYnLICUgaQ2on0FkkqoZwPP" + "mz01hMUqxHMRwoL4YzCT1Yc+bP3vDKZg+7sEModVMf9d1z15d+GFF3Z5uca+yRJD3eYvfqqRLh/A" + "PA2shrWMCc+qbAkxbMYoE2MSFsQbA2naYWte2TMdl2plWNpL1zHwsHD0qFCLo14py6pavUzComSQ" + "y+JAnVNBhRR1VnNTc2UVlO0ny5JVqb9BWPwgRymjvhwM2RIyNmFBDMesx4uUabQQPG7cn3nmmYO8" + "XHbfSODanrYRFmhEdk202A7K5ErriaZZn1loQOtB35fKFfFlUoPKvrrXZ8hZSAh9XyID8RdZpbIz" + "Rp+hY+0+o5s/QOUH/5dd9kqEBcbbNb9Kz/TrbduPiS1lROdHJX/0Xa1xaY9L230Xeafh8vyoRz2q" + "6Te1fVXNx9r6Srwo62qPqhS2f4cB0jayAj/n6Y/5TtPVVQ8hfNS9jyP3ED+YBFCtq9qDBYjTZpdN" + "RVjomb3sNRsqah23TRVP/dVKQ8fghYmsFjD2oJUEtzDK6qd+TwFl37pXqyr5N+Sd7qftMua3kfFk" + "H5fHg1WGeQR/ulZZCQOigkrf1Whp2HR8fQMVDAhdf/31+mnxmc6MiVLXAInygDpbV8OH5/OUcW0s" + "+wyVqj0NOiuVD3/4w1N5WFL302/UyjmT2S5R2wPkdZ8ss0yo7Qj2HfeJWmlnUNUlTIYx0KR5ZPXD" + "yi9+ePVB3NfIMvHw4bFXlq0XFk+9Un8YuKOq6X9TzQfv5zz3kHtthGBbnUebzWPp42f3ECsMrhgs" + "LlNWpf6qNmBJw2+euIIVbZnhyBWDYip94WN/yPvBvWogqJ9qZb3m1C2Na4mcaosrWwQ1jos8d5W1" + "seqxYlj7TD+laZ1HC2mZfSNxp7308dLTP3z6aKvb2gHGUgjjJFbktZz4MOjX2UpiNnHIR3XPIk2X" + "YBAd9W/GvdaeMZFlnMF40gyZ+nC5p33tk1UpO8vuM3TsN9RuzDLLXomwoP95bd4u1DYX4D1bEtts" + "MWi+MqHtG5dSJh7+8Ic325j1+0WfP/ShD80smvjyyJiE/t1vC4O48G5OPvnkqWgMqa98SN0CU/3O" + "h8E980m0MvpkzD7Oh82cknpMO2ELYeQ/28Hpt2zcz7jDp6VvjOzD2Oz325GAnPiQDUIgD9RSnnSl" + "3DGmrP6Xdt1115Q7snTwwQenww8/PN3gBjfYoJhNB7tZ4jkd6x8+5Y4h5aOgUrY10Vz32WefBuND" + "Djkk7bfffnPjnAfIKavppWwVOeUVmZQHJikPJtLNb37ztPPOO/8wAgPu8qpHUybwMw+G0oEHHtj8" + "5QY47bDDDgN8CqddCIxVJrrCXOS3PCBNeTWwKW954J9ucYtbpDwgS3vuueci3k6+HQuPrK3T1Lu8" + "jzTlQVs64ogj0m1ve9u568ckwgNvqP/gtssuu6Q99tgj7b///mmnnXbq9IX6fc011zS4Z+2rtNde" + "e6WDDjooHXbYYU270flx/BgIbAACY9XjDUjKTJAb1TfShjFGu+ENb9iM0ejnd9ttt6n45QWqpq2g" + "vaCtoW2hz77lLW/ZtDdTjkd6yGRa00Z57/MELm233Xb+Vev9qpSdVekzPFCLlr1MOsyUGfoXG+Pn" + "rcjp0ksvTXni2oz38mS1KT/bb7+9j0bVfSap0lVXXdWMI/OCZzNuZF5Bn3fkkUeO2vdS3hgLUweo" + "C6SbscqtbnWrdMABB1TFf1mOsl25pt4ybsqLh036GU9TL3ffffdlBbOu/tzudrdLmWiZhJmJ05QJ" + "jsnzVr4JwmIr526kLRAIBAKBQCAQCAQCgUBg0yCQNRzTKaec0kxcDz300HSb29wmZU283vhnG0op" + "r7BP3EGsMHkM2XgE+giLjY9hxGA9EchbTRIkJ4sf1PGjjjqql1hkwUeJFkghFl63BQnCYlvI5Uhj" + "IBAIBAKBQCAQCAQCgcDKI6CaElmVPqF10Kdh+Wu/9msp28yapC8fL5vyUemT57jZOASCsNg47Fcx" + "ZNWUQGsC7dMuyScdJuq0ybZGSAZhYTkf10AgEAgEAoFAIBAIBAKBQGCDEdh3330TW3FNIB78ZMXe" + "2zXvf0+PfOQj7bG55qMy00Me8pCpd/GwMQgEYbExuK9qqPl49ZRt30yil+2KpNe//vWtWhbZ3le6" + "613vmtjuY5Lt16SzzjrLHrf8NQiLLZ/FkcBAIBAIBAKBQCAQCAQCgc2CAETDRRddNBXdbJAvZUPG" + "jY0sbB9gcwIbaNkoXzr33HOn3GIDgUmO2tqYchQP64ZAEBbrBvWmCIg6C+HgJRumT6eeempjm2zH" + "HXfkUIyUT4dJr3vd69Lzn//8KbKC7y677LKqrWI+jM18H4TFZs69iHsgEAgEAoFAIBAIBAKBwJZC" + "AKOF2K3wK6o+gRhR9BoY/jfu87Gc6Q53uIO+jucNQiAIiw0CfkWDzUcip6OPPjrl07eKMWQbWFvd" + "54OXvOQl6QlPeELx2636MgiLrZqzka5AIBAIBAKBQCAQCAQCgU2JQD4aPOWjswfFHSLjTW960+Dv" + "BgUSjgcjEITFYMi2/AecWsZJb/m440FpPfvssxtNq9rTfwZ5vsKOg7BY4cyJqAUCgUAgEAgEAoFA" + "IBAIbJsIoBJ+5plnNirhXQiwInvCCSekk08+OWH/ImS1EOBY8l133XUqUv5Y06kf4mGbQQAi67Wv" + "fW165jOf2akxBSCPeMQjGq2KPuOcWxW8ICy2as5GugKBQCAQCAQCgUAgEAgENj0C3/rWt9KVV16Z" + "vvSlL6Vrr722OTVk7733TgcccEA66KCD0p3vfOfeU0Q2PQibPAHXX399Y3eEZGCjgPwLCQRAgCNO" + "P/e5zzXHEFO/r7vuuuYIU+r3/vvvn+5yl7ukG93oRts0WEFYbNPZH4kPBAKBQCAQCAQCgUAgEAgE" + "AoFAIBAIBFYTgSAsVjNfIlaBQCAQCAQCgUAgEAgEAoFAIBAIBAKBwDaNQBAW23T2R+IDgUAgEAgE" + "AoFAIBAIBAKBQCAQCAQCgdVEIAiL1cyXiFUgEAgEAoFAIBAIBAKBQCAQCAQCgUAgsE0jEITFNp39" + "kfhAIBAIBAKBQCAQCAQCgUAgEAgEAoFAYDURCMJiNfMlYhUIBAKBQCAQCAQCgUAgEAgEAoFAIBAI" + "bNMIBGGxTWd/JD4QCAQCgUAgEAgEAoFAIBAIBAKBQCAQWE0EgrBYzXyJWAUCgUAgEAgEAoFAIBAI" + "BAKBQCAQCAQC2zQCQVhs09kfiQ8EAoFAIBAIBAKBQCAQCAQCgUAgEAgEVhOBICxWM18iVoFAIBAI" + "BAKBQCAQCAQCgUAgEAgEAoHANo1AEBbbdPZH4gOBQCAQCAQCgeEIfPOb30zXX3992meffdKee+45" + "3IP4IhAIBAKBQCAQCAQCgQoENi1h8f3vfz895jGPSd/73veaZO68887pVa96Vdpuu+0qkr05nLzz" + "ne9Mb37zmyeRPe6449IxxxwzeZ735uqrr06nnXba5POf/umfTk960pMmz2PfXHXVVemZz3zmJJif" + "+ZmfSaeccsrkOW4CgUBg20Zgo9uoVUH/9NNPT3/3d39XFZ0b3OAGaYcddkg77bRTQyD86I/+aLrz" + "ne+c7njHO1Z93+Xof/7nf9KHPvShdMEFF6TLLrssffazn51y/iM/8iPp1re+dbrlLW+ZHvnIR6Z7" + "3vOeU7+3PVx77bXp1FNPbft55v2OO+6YCGv//fdv0vazP/uzabfddptxFy8CgUAgEAgEAoFAYAsh" + "sLai8r73vW/tJ37iJ9a+8pWvFGP4H//xH2s5G6b+8qCq6Hazvnza0542lb7nPOc5S0nKn//5n0/5" + "e7e73W0p/tZ68qd/+qdT4d/nPvep/TTcBQK9CHz+859fo0xddNFFvW7DwWoisNFt1KqgksnkqbZS" + "+7yaZ/rRF73oRWv/+I//ODhZ3/nOd9ae//znr/3Yj/3YoHjQp3zwgx/sDe+v/uqvBvlbSu+97nWv" + "tc985jO9Yc3r4L//+7/Xzj777LVMjszrRXwXCAQCgUAgEAgEAgsgkBb4dpRP84rL2oMf/ODJIObv" + "//7vi+EEYVGEperlRk8GgrCoyqZwNBCBb3/722t5tXbSdvzhH/7hQB/C+aogsNFt1KrgsAzCwk/y" + "WQiolX/4h39oJun++6H3J598cmdwyyAsLE6QCsuWv/zLv1yzPMgaK8v2PvwLBAKBQCAQCAQCgQoE" + "Vo6w+PEf//HJhIOBSBAWP9QiCQ2LihIdTrZZBB772MdOtR1BWGzeohCExQ/yzibLNilfxvVTn/pU" + "b8H4kz/5k7W89WKqPvmw0bhAs+HhD3/42j3ucY81JvP+d3+ft7W0hrdMwoIw3/72t7eGNfSHL3/5" + "y1NpCsJiKILhPhAIBAKBQCAQWA4CK2fD4sADD0x5G0gee/xAMmGRMolhj5Prf/7nf87sXWWfLft4" + "t4o8/elPT8961rMmycmERXrKU54yeZ73Jg9Y0/3vf//J53nAmd70pjdNnse++bM/+7OUVfYnwXD/" + "gQ98YPIcN4HAPAgcf/zx6fzzz598mgmL9IhHPGLyHDebB4GNbqNWBanDDz98yl7Eb/7mbza2m9ri" + "h02nvI0j5e0f6f3vf/+UDST7JpMg6dOf/nRj78Le+Sv2Qw477DD/qrnHdsRJJ52Ufvu3fzvtu+++" + "M79/7GMfS9jcyBp0M7+deeaZ6cQTT5x5//GPfzzd6U53mnr/7ne/O+29995T73j4r//6r0S//8//" + "/M/p8ssvT6985SvTv//7v0+5I45f/epX06677jr1fp4H7Gsccsghk0+xCfK1r31t8hw3gUAgEAgE" + "AoFAILBOCCyH91ieL7pXNjQslq9hsbzcms+n2BIyH27xVTcC2Qjv1IpoaFh04xW/rj4CqmGBPYkh" + "kgmBoqbEJZdcUvQmEx7FbSDYhPmXf/mX4jf68gUveMFUPcxDmeY5nyiiTtdKGhYldzMf5hfZGOlk" + "u4aFwTUb3y45H/yOLTHe39CwGAxhfBAIBAKBQCAQCCwFgZXbEhKExQ/zdSyjmz8MYWPugrDYGNy3" + "eqhBWGz1HN720rcoYQFi+bSpqYk3k3C2T5Ukn9404/a+973vGjajhgi2K/xkn/vnPve5M14sQljg" + "2b/927/NhJNP05oJZ54XQVjMg1p8EwgEAoFAIBAILB+BLU9YZBXStW984xtr//u//7s09PDzW9/6" + "1tL8a/OolrDIKrJrWV22zZtR3pP+oYNYi0gtYUEY//qv/2qfrfuV9LHat8yyM0YisGJPGR8qpC+r" + "VA/9rHFPmSPcMQR/v/71rw/2f6MJC04pWnZdpOyBBavb3/3ud5cG97z+kb7NdBoT7SJxXqZQb2q1" + "DRYNdxmEBWWIk0I8gXCXu9xlJmqcCKJ2K9AqwJjtUKGs8q0Pk2ftpxYlLIgXhIoPJx+TPTS6RffL" + "JCzmrW9j1X8S/M1vfnOp/esY4yI0fmj/Vr0PLhageBkIBAKBQCCwNARWwoYFNg3yEYR5zJHSueee" + "21zt3y/90i9N9suy9/aEE05ofmIvq56/jg2L7bbbLr31rW9NH/nIRxJ7av/6r//avEp50Nbsaeec" + "+IMOOmjyvu8mG99q/OT8+U9+8pPpyiuvbD7J2iDpDne4QzriiCPSL/7iL6af+qmf6vNq0O9tNizy" + "QCq98Y1vTJdeemnKR8dN9vGyf/fe9753+vVf//X0gAc8oNWexzXXXJOy2u4kLre+9a3T4x//+Mlz" + "6SavZKXXvOY16cILL0x/+7d/OwkzDw7T3e9+93TPe94z/cIv/EKzf/r3fu/30ve///3GG/AhPl7a" + "bFjkiUC64IILEvuas3X29MUvfnHyWR5gp0c96lHp2GOPTaRzDMkESWPL4w1veEPKx+RN0khYpJPy" + "94QnPKG5lsLPA/v0xCc+ERKw+XmvvfZKf/AHf1ByOvXuPe95T2Lftsmv/MqvpGzQzh6bK/lFviHY" + "ecmnYTRl+5xzzmn2qbOXG1zud7/7pby6me54xzs2eXHKKaekPNhrvqNs5BN40tve9rb0ute9Lr3r" + "Xe9q3ueJRPq1X/u19Lu/+7vp4IMPbt75f6Tn4osvTnly0YRJ/tjeccI58sgjUz7yLz3sYQ9LO+64" + "o/905j6fUtD4ZT/kVde0xx57pCuuuCK99rWvbfD3NmzI99vf/vZN+aJMU7+9UBeymnzzCgz9t8Tp" + "dre7XfPbTjvtlF72spf5T5dyTx2kXnzoQx9KX/jCFyZ+0jbc6la3aurEox/96LTLLrtMfuu6+ehH" + "P9q0W9QxMKG98UIbdtRRR6Vjjjmm8Xv77bf3P0/uaaPOOuusyTPtJvHh3Vve8pamjvEj/uXV9kQc" + "b3SjG6W8Gt/YPuA3sH7hC1/Y5PVLX/rS5jurk+QL9gyuu+66SXvIN7zPK9zc9komA1MmZSfuaM/z" + "8ZvNc00bRZyuuuqqxj02FbCfgGAPh3aE8m3llLpx17vetSmrD3rQg5r63Diu+EdbBi7nnXdeog/I" + "E6jmK2wr0fbd+c53bvqVnXfeuWlXrZ7e+MY3Ts973vMqQmh3ojYsKOu0MUOF/KWcmlA+6de8gBdt" + "uJd88kZjs8K/q71/yUtekmh/vPzFX/xFU0bsXcmGBeWiZMPCvtHrk5/85EkbwG+01djomFde/vKX" + "NzYyMtE1GZeYX9QVk4c85CHp6KOPbh7nrW/ml12XVf/NP3+lXGLXh/b/s5/97OQn6gZ9G/WDOr3f" + "fvtNfuu6GWNclEmUZoxBn0jdtvaGeNDXEM+f/MmfbMrpzW52s67oxW+BQCAQCAQCWwmBpVEfC3iU" + "DUtOrZBkfIvP7KM1YZVL3bGKkSd7M+/VHc954m1edV4zMTCz6lTyj3cvfvGL1/LgttO/IT+WNCzy" + "pKwqPqw6ZQNhxeCGWuB//etfXxVmNnq4lo29TeFPfqiUNCzyBG0tD0Smvi3hzCkymYRSLxd+zkbh" + "esO2+GAZv4StWpXPA8GqeGVDqlNhl/ap54HaxA2rpexNt/iUrmiG8Od/y6TUWiY4pt753wlDJRuw" + "W3vgAx/Y+o3/PhMEa3nSrl5MPWuZxn0mdar8v9vd7jazWv7hD3+46lviucxVOlZf8wC6KmxWlv/4" + "j/94Cgd9YD9+Jj2r/DPMqS98VxLdBkD4D33oQ1v9zxPLxhtV5c8T9JnVeQuf6y//8i9P+Ulaa9tA" + "LYvkr0lNG+XxJ1za/640+nhTF2rimYnLNT25yvtj98Tln/7pn6ZsKtTWf0tz6errPWGV2obSd/ou" + "G+ucyifSpKLYEX9WzecVVvCz0du1M844Yy0Te0UNoWVoWFBuLB+4ko5FhFNPvH9t936Ly7z1zeK5" + "7Ppv/nJlrJQJq6o0kda8UNKr3TbGuCiT4tVxJJ60VWNp+Xn84j4QCAQCgUBg4xFYiS0hyyIsagaW" + "fvDRdSY92xGYmHr3Nfcc9ZZXHZeSszq5qwnfu8mrncUOvWYyYAnIq5iDMGAy7eNQQ1h49zX3DKQh" + "RpYhqEEzqK4J17thgsJA0Mt6ERZMzrrKOmUQUcLCT/B8Wuz+2c9+tk/O2nvf+94qosq+t2vWlJjy" + "xz9oma4lQ8xvSBFPPGwEYUGYlEGLU+01r2x6KCb3kJC1fqg7bP6UtoroBIq2QL/1z1kjrYmPEhZ9" + "35UmnFnbZJK2rhvy0sfBl5uaNsqXZ/KjL64+LO4hCrsETIbkM/XSh8G3i8qyCAvFOmsuTkWNMuTj" + "zj3939hSKj+0W7VCX6vxXtTo5jIIi76yaPWNdI5R/w0/+iS2yChGfc9Zk9C8mLqONS4qlYO+OPL7" + "suyVTCUyHgKBQCAQCARWDoGVICw4853Vef60k2JgZb9lVc0JgCUNC/8tA/nf+Z3fWTvttNOaTq00" + "8OxaDcQomffP7pncZlXXNeLCqgXh2G921cHgJNIDb3RyZ/7blRVZ3DC40AGpuclqwDOh1kwG+Eg1" + "IcxPBmOEC5mRt2l0DurnISyYiDzpSU9ay6q+rav75MMy5BnPeMZM/pHOrHK/xm9MolhxKpUfHdCv" + "F2Fh+dB2/aM/+qMGGiUs2tzb+6x+O4GU03nsvb8ygSJvmBRkFfyZ/ePmtk0Lpq9MoxlEeWaVtIQ5" + "/kMYmGQ150n7oHWROmFtRz5S0T5Z6Mp+6lK8CJuw0BrIavSt2hKKC6vQJf/AGQOI4PzqV7+6acd0" + "JdmwRgNKRQkLc1u6EnezS6GERcm9vaP9Q3RCBA59klXoZ8qXt1dT00Z5wsLiZFcwpR0mPWjm2Xu9" + "+jB9nNGgKuULhCz9CnlMmVKC1vvP94vKMggLtGR8vLin7nrRtgs3eQuMdzLKfWmiWktYMNEvkURK" + "JA+NOHlLGab8KG7WnnDNWxsnXs9b38aq/0SMOt1GvtB35e1Xa/kY6LXHPe5xM+kk3fnY2En67GaM" + "cRFaPKW6RvtCe4p2GFfICc0PnmkrQgKBQCAQCAS2NgIrQVh4iHXSMeRYU+vMOM5Q1X1ZkS913p/6" + "1Kd88M09kwrzy65M0tm2oIKVclWl5Rs/mNFvap/bJncMwBlgqpBui69dWcFWqZkMsOJWGowzeVJh" + "lauELXEYQliQ9wxgVdj+UBqYLqoOmm0EzODFwAmiRoWVpZLKvi8TOuivnbAM3RJiecuVgSeqtMQ5" + "721viCvKJNJFWGQ7I2ushGfbMWuoiyv5UhqsQ1CVMEdTSQecTK69JoTh2Vam73//+89sb6AOlwil" + "NpXv9TC6WdLG4V3JeCm4+LziXlcES+nzmgaGm10hdxVriBmVtgkUdYxjJ6lnTFZoH8hXkzbCgvaP" + "eGXbMmvZLsMa+cs98opXvGIqncSvbyuBloNs58ai0Fxr2qg2woLtLdRXL0xi1Tgj+UHcS6JlCbds" + "I0EjywvtJASG5jPPtfXf+6f3ixIWEDKlrXbveMc7poLKtlJm0lDqG6c+WsJDibBgC0m2QTLzR3ll" + "695Tn/rUVhLKay4sGr0hRjfnrW9j1X/STl+t5RJy8eqrr56BhneqtacLOmONi8gzH0/CBfuSsEVL" + "279lLV6Uwot3gUAgEAgEAquBwJYjLLrUkXUAQieZjdBN5QSTLF3JZMCn1s39R3yjqxR8U5rc+e/6" + "7nVQT3wZdHf5y6Dad/7cq9RMBlilV39Y0WoT4lRayawlLBiElOxCWHhvfvObZ+Kjq9Xmtvaq+7pJ" + "Lyv2bcLgXwd1DDhN1puwQLulS9oIi64JMf5Btmnel1bxfdjswdZvyDOVUplmEK0Eo31H3SqRBPa7" + "v+okEwJvmVLSOqG+dQmrlIqLEUp8p6Rgmyq2D4MVYPXT/8592wQKkq5LSoQFcexatS6VM4iwNiGv" + "lYDMxoOnnNe0USXCgvi3CSSG4g0JqVLKZ7SKuqQ08dwowgJ8Ieixd6GTO8oNBJfWt2xwc6ZMXXvt" + "tV1JXspvJcJCy3bNM+UJMm+ZouMFwmiTeeublsdl1X/aTV38gfxSws2np6SJY/VyzHGR2jBCg7VL" + "ILN8mVhGPesKL34LBAKBQCAQ2HgEZmezGxwn7WSHaFjUMO2sJPvODnV/L6XJWs1KE8dK6uCQQeAi" + "Uprc9Rk1LKla62SjZjKgK+xoUPRJtsw+hS041xIWpa0rPjwGTIpvSRPCf9N1D8Gi/qF10CdqKJAB" + "p8l6EhbEve9oxdJEEsKrT3T/NWWhRnTSxgBZpVSmS1o1/rvSyl5JlX9swqJEFPTZq+FYPi1n+RSj" + "JnkYNPVtEfd99ZsPP//5z898RzheShMoNCP6pERYmCZF17f59J6pOHWVGW1/aPN1Aq1uIJFVlLAA" + "Z1TsuwSC2mNe0k5RNzV1jTZWSRi+W1RUwwI/qZ+lP/DQ/tOn1e5LRC/aC/a7XT2xtmg62r5fFmGB" + "3R408ZYpixIWffVtzPpfIh9qxiP015b/XG0r3ZjjIkgaH6ZtNWvLS+o4+Y275zznOc2WEcYHIYFA" + "IBAIBAJbF4EtRVi0GbXz2YdNC9856gqpnhZRGtB6//w9Haj3Ox8J6H8efK+TO7Q2+gRVbB8H7tVA" + "Zd9kgMG3+uHtBnTFQQc8tYRFl3aFhacT6dIKvrntu+bj9WbSyACyT/JRd40NB1bOwdFju56ERdeE" + "0NJQIiyYdHcJAz/N+9qVS2xg6Lc6EdUyjcZKn6DdpP6SDypjExaqQWQna2g89BntFAbWEBVMJPx2" + "CfCm3DHZwshpjbD9RPFQUrJEWKBO3SclwqLvG36njGicKH8l0XZS7SnwTV8bhRslLNBy65NLLrlk" + "Kp6lNpXJkE8LRqFrBLtG/rsxCAvv/zz3aljX0oWtFPVPt9WY22Vel0VYWNyZYGubM298FyUsaurb" + "WPWf9sYw4QrxVTOppx1iEQcNS+6NFB9zXPT2t799Kq7EF+3HPjJ43nyN7wKBQCAQCAQ2HwJbirDw" + "RgPbskIHZrp3WrdU8DvaEzV/usJcY3yuLZ6818ld38qD+cVA2Q9W9OjDvslAaXXm29/+tnnfedVV" + "6BrCglXBGlGjW31bG7r81P29XlOi67uu39aTsOhTUSeeJcKib1LM0Yy+7HCPnY6a8l8KT9XKtUzX" + "aHyQFo2TJ4r4HRmbsNAV9K5tDz+I0fL+M3in3tLGKHEHNmpDo0RY1ExAlbBgu06NoLGk+LBqr0I7" + "onmp7RPf9LVRuFHCoubIT7XVUKr32n7WEnZMUH3aVomwIG84irJNSltCrunYHtfmz9D3JcKCto1t" + "AvqHEVp+O+GEE1ptJoF/jaZlTTwXJSxq6ltNPHAztP7rNjrsrCwiY46LIEV8vfH31HEIQ8pJ11bY" + "RdIW3wYCgUAgEAisPgJbirDoUwcmO9QwpRIWuorqO8+h9yU15iFFQid3NZNU/NeJg04I+iYDejrI" + "kIG3nqVeQ1jUTorU5sQihIWuQGH0cVFZT8IC4q1PSgSCNxJa+h71/6HlvMs9Zc2LlmmszteITiLn" + "JSwgUFhVr/2z7WAMljWdl156aU3UB7khftQhJmu0TWh4ado1Hjz3ERa1dVgJCzUS2pUYjCH6uEGs" + "qDBp7nPDN31tFG6UsOiakOMe+dznPjcVvmr4lLTL2IJTI5B6Pm21mHf5rVtCvP9993xL/XrLW95S" + "PPrWh/uJT3xiKu74zRa/saVEWLRp5mhcyKu2UytqSSb10z8vQljMm/fLqv86juFEkEVE/esre12/" + "l8ZFbMfs+obfwJQtvZwaUjPWWyS98W0gEAgEAoHAaiGwpQiLGga+j7DQyX5fJ9r1+7yDFisiOrlr" + "U+c193bVNAwlLFBh9+nSQb2FU7qqvYEawgIV7BpZJmGh+2ZZtVtU1pOwMGNoXXEuERZdxmPxS+uH" + "Lwfz3CuxomWaVdMaoS758OclLHTC6v0s3ZudFI4z1d+/8pWv1ES9yg1brjixQ8Oofe4jLEqThFLE" + "lLBAo6NWIMM0vmqDSE/qaLNdMw9h0WVw2dKg+a8aFjpJJT1DbDn49C/a/hNnJSzYhkQ70/bH1jq/" + "5cjS3XctpXuRLXd94dnvixAW5gdbrTzu3A/Zymn+6FUxoV9tE9Voqq1v5t+y6z+ErMeESf4iomMK" + "7/fQ+7Z6wekwQ/xC43RI3Vwk/fFtIBAIBAKBwMYisKUIC84d7xOdkKmGxZAOs8ZtX3y6ftfJHVoB" + "NaKDi6GExYUXXjg1cCjt826LhxrerCEsWL2pkWUSFroyx0RtUZmXsHjyk588hXdJtV0nLjWrnyXC" + "oi+NWGivKde1btTi+7xleqMJC81b0l+7EtyHeduxmCWMwYE6pb/1ERal0zBK8VLCwh95WnKv73S7" + "ynOf+9yJE0gmjXeb2vw8hAV2afpECQslY1nh1jiW7KW0heO/bZuYtX1beq/1vtQ2lL4b+g6jrT7u" + "3NdqP3WFhS0EVs85YcrsIXj3yyAs8I9+XOOvdl18uDX3ixAWtfWNeIxR/ynXHg8IlUXE+7WM+7a4" + "QMQPIW4hHPu0BtvCiveBQCAQCAQCmweBICzyQMcLHaDvkBm00dnP89d1DKgPs+1+3sndooRFaRDZ" + "Fkd9rytNq0pYYMHd5/NDH/pQTcrgZ53U1k5YdH9waVKiE5exCAvUxz0u3M9T9u0bJcvmLdMbTViU" + "toRcfvnlg8uIfsCEXvG2Z9KMRgJbwdB6wkYC8eCEFHNj11UhLNCYsDhx9RoMSoZ12RrYKMKilM81" + "xhPJVyUIa+u/lgn/rPW+1DZ494vc6wlaXRoFNeGApfZF2s6W+pp5iMB3vOMdU+WOsgc5tYisB2Ex" + "Vv1XTaZzzz13EShmjgMee1wEuYVWCIsUWoZ8+8I99azGaPdCAMTHgUAgEAgEAhuKQBAWQljocZ59" + "R5ONmXvzTu60g9dJY99kgM5fBwW1e0bnMbq5ERoWOjFnP3ytYJiS7QBqjV4JCzCsETWQVpqU6MRl" + "LMJCt/SQBj0ysyZNbW7mLdPLIiyYRLFfH/sTNX9evV7JzNp98t/5znfWqINKKrBdQusZz5wuxGSp" + "Tb70pS/NfKfHvCpxWLviu6iGBRoTmqYrrriiSYranOCoxDbpa6P4Tv1bhoYF/qo6fS3xrMaKNxth" + "wQRR8672dChwU1FbSPitW8CWRViQRxr3j370oxqlQc9jExZj1n8lwbEvUyOcJEK8VKtoI8dFxOmz" + "n/3sGoSnanBZnmPzJyQQCAQCgUBg6yJQN6Nax/TrOfK6B9qiUjKOtowtIaqerytCFn7pymAdK/So" + "PutktuS+7928k7tFCQvipRPE2kG7qquvqoaFbl0hvTV5Rhnz2DCJtQlZ6YSNmjLJfmsbeHHdSMKi" + "tHo/ZKUSrYMrr7xyZnJuZX3eMu0xB6N5bVhYPOa5Mun3+VS7aok7+450mMV+3Z6GG4ye9knJMKpu" + "rdgowoK4q3o+5ZlTDgwDrrRRXfVtIwmLBz/4wVNxrTV2fM4550x9R14vKkpUltqGRcOw70unNWAQ" + "uSuf7NvSVXEk3yF1vSyLsOBoXF++uF90q8DYhMWY9Z+tXB6P2nEM7bf/zgxijz0uwhYFZHmNbSaI" + "Se0Pao759uUu7gOBQCAQCAQ2FwIrT1hcffXVRUTHIixUpZnOu+a4VCKpk/VFT56Yd3K3DMLimGOO" + "mRq4MKnuO8ddVxjBblUJi9LgHONtfVLSQLBVeD0lgPRDYnRJKR6lSYlOXMbSsCCuWn4e97jHdSVh" + "8pvmP4NKHYDOW6Z1gFpDWFxwwQWTuC3jRi3ZsxJfQ0jp6qTZdVCbLLWG+kqTM8qel40kLJRsoO3g" + "RB8/EXr605/uoztzr36UsBlLwwINFx9X7vtUzmkDtN5sNsKCTKCua9pf/vKXz+RP3wvVtMPPkpbP" + "MggLNMCYWGu8V92GxZj1v3RMrZJFpTw866yzpnA07cexxkW041pvagxpctSpz+8hGpKldMe7QCAQ" + "CAQCgdVGYOUIC1W7btsnPhZhwdnzviPkHiNQfVKayL7gBS/o+6zz93kndzoAGLolhEiVVnG7rMYz" + "aFSSA+xWlbAgjapeCinQNwHVQaZfuSrtf++bmD3lKU+ZKW8bTViUJi1so+gTnZgzYdNJw7xluoaw" + "UDXoWg2IvnTZ7yUV97e//e32c/HKkZjanlibpsbljj322KIf/qWu+prfSoxtJGFBHVJNOd1m0bf6" + "vZGEBVpGWt4oW12CSrrlhV03I2HBVgBNO+kZ0peV6gl+vO9975uBcFHCgrKmGj2EtYwVd9WY68rP" + "eerbmPW/lI+cjNUltNU6/rI2dKxxEeMGLW8Yau2T0047baq+oc0TEggEAoFAILB1EVg5wkJXSvRY" + "RMuKsQgL/OcoPxt02hW14O9+97sW/NSVSYSSBHw3j/Ew7/G8kzuNyzyEBfFAQ8TSb1cm0zqpZ5WE" + "FVBz46+rTFgwCfdx5Z6JCTYHSvLGN75xxr0eF6fbO/ATzYOSnHfeeTP+4X6jCYvSYBer811aHTqA" + "JB2QMSrzlmkd1JY0LKijhGt/xx13nAa/8LNOMqhr2MIoCTYrtD3zp1Kwr9ziypU0dq2CMmlQTRv7" + "XjXR5plAkYZFbVgYDroCavHkClHYJxtJWBC3F7/4xVN5Q7whJ3X1l2OCSwQf7rsmuH3pt981v0tt" + "g7ld1tVvYfL5BqHWZYD0q1/96hrHQ/tv7P4xj3lMMXrzEhb0rWjttPU7dhxxMdDKl9htsvjbtY1o" + "m6e+jVn/SaIauSUNYFYS+vRSOfbt0VjjIi0z1Js2nIk7bZ32B6Uj30nrqaeeOvlbtsZdCcd4FwgE" + "AoFAIDAOAitHWKCCaIMDuzJQPOmkkxpjdAbDmIQFfusKIXFh8MiKKnY1WBlgAvGiF71opvPEbe2+" + "Z0tP6Trv5G5ZhEVJa4S0MeliVYsBTkmrwvKN6yoTFmBeWp1DvRSVWowbUhYYpKtmBWljsEw58MIg" + "yaefe/LjFa94RUNcQF5g8PNe97rXjDv7rjQp0YlLF3lg8dFTC/C/VtomLRATTDKYuGE3AaN8pTLQ" + "Nvmet0zrALVEWJQs7tOesLJ44oknrtnWnVoMSu4YSFs++Ssr7NivobwQN4gsrYe494Y6L7roohm/" + "0ETAGKXffsWkgSONFQMfvmrAzDOBIr3LIizYRufj5+/bSGiP90YTFuRjKf/IA1bvITbpl0puLK24" + "XVS03pfahkXD0O/RFNMTQyxNXGn3aAdo6yBxMaTZ5Z72QdtJC7NEWIAbuJb+uuqAxdFrvVk481xL" + "GnPE6dGPfnTT93m7TvPUtzHrP+mFeNfjTcGIPo/6xXZE/rhXbUPcqaHOscZF2KSwvPNXCBLaW8Jl" + "sYjxFrY5SmUAGzkqSmbd4x73UCfxHAgEAoFAILBJEKifwaxTgtS4k+/A/ACQTsz/xr2u/JeirIau" + "6LxLgvqq+l/7zCSdwc6iMu/kTgfR82pYEH9WqkoDhFosSqvcqjJs+2T78FLSgAHzosLkUvGqSRvf" + "lAZJlMuheKn70qREJy5jExaU3y5SpQ8jJiIlmbdMK0YlwuK9731vZ53F0vwypLTS2IcHv+sqIBiX" + "JgrmF5MNTbf9plcG8l7mmUDx/bIIC/xqKz81Jw4xifJpZPKhMpYNCwsH0kW3svg49d2Td4uK1vtS" + "27BoGKXv6Uu1LPSlt/Q78dcTbHx4JcKi5E/tO8qJasH48IbelzTmLC6ejJ+nvo1Z/y2dEKQW3yFX" + "jkUtjWHGGhdBeg2Jn3fbZmMlCAsrBXENBAKBQGDzI7ByhAWriSXtBuugbK/22IQFWfuFL3yhc0Jh" + "cfJXBjFtWwqGFpd5J3c6AV+EsCDOfK97W32a7Z6BgxpbK+39XiXCgvSxytS1QmjpsytYoH3SJpdc" + "ckn14ItJndoLKU1KdOIyNmFB2jgd4IwzzqhOC/gwSetSx563TOvEvURYsApX0vawfEOzZVnCBEXj" + "ZOGUrk984hOLhCqrhlpfS9/bO9wyCVENGLPmb+mbZwLFtzpJVSLE/K+5XnjhhTNlp0RglvxaBcKC" + "eDH5Vdsslhf+yqq7Tr5pJxYVrfeltmHRMLq+pz0fUs49Jmgd6VG+GpZi5r8fck+9gEhkXLBMQdup" + "LR4+f+etb2PVf48BNnN0a1pbmnjPVtCu7axjjIvQKCtpO3bFk9+6bKsEYeFLQdwHAoFAILC5EVg5" + "wgI4uzpEW7ll77B2ZjUaFnrW/GMf+9jOHGTSxl7Qvgk7E6WPf/zjnX4N/VH3gbOvukZUDZStDV50" + "kMhqSp8wcGc7DBNO3DOI5Q/L76jim50G3TfLHlIVthH4vKs9TUX3uqKOvEzBv64VVdJ75plntqo3" + "+7iwbegRj3hE62AfUo7JIOULQsjjUZok6kpfzVGjugeb+M8jqOWSR3zv4+nv+Y3TFfpWN+ct0zqp" + "//rXv15MChOWNvJp2ZM99uwTVhcu1BU79rYY4fySrTXUE4+n3lMuqVs2IdMyg3s/ydCV0Fo1eTUC" + "y1ameeXb3/72TJq6yCwfTk0bhYq3x0m3xXj/7J6+xX8DIdAn9CtMXCk/ENJWFgmffDNjkmwJ8n5T" + "ZxcVrfeltmHRMPq+p6+FfNK4+LTaPXWB03Swg1MjEK/2be2VMGg/mZBCiL/jHe+oapNr4lNyc/HF" + "F7f2/3bk67z1jfDGqP+aDshcDEB3LQYxbkBLrUbGGhd95CMfabVL4ssH7S4GjbuEttd/UzPO6fIv" + "fgsEAoFAIBDYOAS2I+jcqK+k5JXvlAeY6YY3vGHaddddU+5s02677bZhcc0Dt5QnCunKK69MeXKQ" + "DjjggHTIIYc0f3vttdeGxWuVAs62O1Je9ZhEKQ/yU15dnjxvhhvymXKXSYGU1ZnTzW9+83SLW9wi" + "HXTQQWn77bcflASqV15FS9kORspaAekmN7lJutnNbpaOPPLIwX4NCngkx1nDKeWB4qReUv4PPvjg" + "Bpsdd9xxpFCHe5u1nFJeWWww3mGHHZq2Y8899xzuUeUX4EK7cNVVV6U99tijKS+HHXZYuvGNb1zp" + "Q0p5NbopK3krQvrKV76S9tlnn5SJipTJ0rTzzjtX+7MqDin3eRI0iU6e6Dd1gPzYivKe97wnPeAB" + "D5gkLU+Q0vvf//7J81a4ySRoylvhEuWdMpq3DaTdd9+9aSNpJ/fee++tkMxiGrJx7ZRJyrTLLrs0" + "dXz//fdPO+20U9HtPC/Xq/6Th7ThtFcIfRtt1X777TdPtNMY4yKwoC3NZHnKRzYn2gzaDzCn79yM" + "7eFc4MZHgUAgEAgEAg0CK01YRB5tHAJveMMbUtYYaQYzDETzXvt0oxvdqDdCRx99dPrgBz84cZeP" + "KEtZDXzyHDeBQCCwbSCQtwSkbLhvktissp/yKu/keVVvsrZYyppUDVHERO72t799Q3j1xTcbRk2/" + "//u/P3GWt4mkbGB08hw3gUAgEAgEAoFAIBAIBALDEQjCYjhm28QXqilx1llnpax+25l2Vm1YEfZy" + "7bXXNqvv/l3cBwKBwNZGIJ8KkQ488MCUt+5MEormCNpFqy6qKZFtWKS3ve1tndFG0wANQJ/evI0i" + "PexhD+v8Ln4MBAKBQCAQCAQCgUAgEOhGIAiLbny22V/RjHjkIx85ST9q6ZdeemmjCjt56W7yPtyE" + "dkU2Rjl5yzeodYYEAoHAtoNANqCX8jGy6ZxzzpkkOttASfkYyMnzKt9ArKBV5oV27YgjjvCvJvek" + "N9tCSueff/7kHTdsAWPbYEggEAgEAoFAIBAIBAKBwPwIBGExP3Zb+st8BGQ6/PDDp9KI9sTpp5+e" + "fv7nf35iSwQbD9lCekL9G7sPXhjA/9Zv/ZZ/FfeBQCCwxRBAi+p5z3teOvTQQ1M2upryKTkpn3wz" + "lcpsaDfd/e53n3q3qg8QENghYR+9STb02LR92ZBuY4eG9+zd/9jHPpaw05ONiZrT5pqNAia21YUE" + "AoFAIBAIBAKBQCAQCCyGQBAWi+G3pb9+8pOf3AzGS4lkAO8H9OrmgQ98YENkbLfddvpTPAcCgcAW" + "QiBb9k9HHXVUa4o2k3aFJUK3hdh7u3a1fxgHxFjgmEZeLR5xDQQCgUAgEAgEAoFAYKsjEITFVs/h" + "BdKXjy5LD3rQgxKD9yGCsTmM1nGyS0ggEAhsbQRKWygsxUzssW1z05ve1F5tmitaI/mY10HxveMd" + "75jy0dnNyTmDPgzHgUAgEAgEAoFAIBAIBAJFBIKwKMISLw0B1KMhLJ71rGelT37yk/a6eL3Pfe6T" + "Hve4xzUkR9FBvAwEAoEthwBHyHLUowo2bC6++OLmpKH/z955QFtQFHm/ydmAKGFFUTEgoiRFggFU" + "kBVFF/UAElwFDrjgQVhB+BCQJCBBBQmisggikqMggiCCBFEkSxJBBBUVIwbU+/Vv3J7tqVsz0zN3" + "5t1736s6570Jd6bDv7urq/9d3SN/m5brW265JVvucuaZZ1YmmeVyO+ywg9tpp506/dRlZaT2oyFg" + "CBgChoAhYAgYAnMAASMs5kAhd5VFZkr5Fv3DDz+c/c0333zZd9H5Nvrqq69uXwPpCmgLxxCYMgRu" + "vvlmx+dA77vvPrfkkku6VVZZxW244YYOHTEbhA002UA46L4nn3wy031sqgkxI/f7mQ15tjwYAoaA" + "IWAIGAKGgCEwCQgYYTEJpWBpMAQMAUPAEDAEDAFDwBAwBAwBQ8AQMAQMgQICRlgU4LALQ8AQMAQM" + "AUPAEDAEDAFDwBAwBAwBQ8AQmAQEjLCYhFKwNBgChoAhYAgYAoaAIWAIGAKGgCFgCBgChkABASMs" + "CnDYhSFgCBgChoAhYAgYAoaAIWAIGAKGgCFgCEwCAkZYTEIpWBoMAUPAEDAEDAFDwBAwBAwBQ8AQ" + "MAQMAUOggIARFgU47MIQMAQMAUPAEDAEDAFDwBAwBAwBQ8AQMAQmAQEjLCahFCwNhoAhYAgYAoaA" + "IWAIGAKGgCFgCBgChoAhUEDACIsCHHZhCBgChoAhYAgYAoaAIWAIGAKGgCFgCBgCk4CAERaTUAqW" + "BkPAEDAEDAFDwBAwBAwBQ8AQMAQMAUPAECggYITx04TTAABAAElEQVRFAQ67MAQMAUPAEDAEDAFD" + "wBAwBAwBQ8AQMAQMgUlAwAiLSSgFS4MhYAgYAoaAIWAIGAKGgCFgCBgChoAhYAgUEDDCogCHXRgC" + "hoAhYAgYAoaAIWAIGAKGgCFgCBgChsAkIGCExSSUgqXBEDAEDAFDwBAwBAwBQ8AQMAQMAUPAEDAE" + "CggYYVGAwy4MAUPAEDAEDAFDwBAwBAwBQ8AQMAQMAUNgEhAwwmISSsHSYAgYAoaAIWAIGAKGgCFg" + "CBgChoAhYAgYAgUEjLAowGEXhoAhYAgYAoaAIWAIGAKGgCFgCBgChoAhMAkIGGExCaVgaTAEDAFD" + "wBAwBAwBQ8AQMAQMAUPAEDAEDIECAkZYFOCwC0PAEDAEDAFDwBAwBAwBQ8AQMAQMAUPAEJgEBIyw" + "mIRSsDQYAoaAIWAIGAKGgCFgCBgChoAhYAgYAoZAAYFZR1j86U9/cr/4xS/c/PPP75Zeemm30EIL" + "FTJsF7MXgSeeeML96le/cksttZR75jOfOXszajkzBAwBQ8AQMAQMAUPAEDAEDAFDYA4gMHGExbXX" + "XuuOP/74HPpll13WHXHEEfm1dvKXv/zFHX300e64445zjzzySOGRJZZYwn3uc59zW2+9deF+1xeH" + "HHKIu/POO/NgDzzwQPfCF74wv54tJ2eddZY7//zzk7Mz33zzuQUXXNA97WlPc89+9rPdK1/5SveW" + "t7wlu5cciPLgP/7xD3f11Ve7U045xd16663utttuKzxFua+88sruZS97mdtmm23c+uuvX/i97OKh" + "hx5ye++9d9nPQ/cXWGABR1zU03XWWce9+tWvdostttjQc13d2GuvvdzDDz+cBTfvvPO6o446KsO1" + "q/AtnPEjcPHFF7uvfvWreUK22GILt8kmm+TXdjL9CNx9993uoIMOyjOy9tpru5133jm/tpPJR+Dv" + "f/+723777d3f/va3LLELL7yw+8IXvuDmmWeeyU+8pdBhQ2y33XZ5+WGnUH7YLDMhpudHRxkdii4N" + "cthhh7nnPve54bL1kTa9ww47uKeeeioLY/HFF3cnnnjiUHiHH354Zn+GHz7xiU+4FVdcMVza0RAw" + "BLpEYDBh8vnPf37g85f/Pec5z6lNoTca8ufjd8O5H2DXhlH1wG9+85uBNyYHH/nIR0ofe+1rX1tI" + "gydeSp+d5h922223Qj4Dxk2OfoA/+OhHPzrwRENjKDw5NfCd0sB3So3S8brXvW7wrW99qza+66+/" + "vlG4Wr432GCDwQ9/+MPauNo84EmwQvruuuuuNsHYOx0hcOmllw5e8pKXDDxR2lGIg4E3egplzLXJ" + "7EKAehPrjre//e2zK4NzIDfem7NQhpSnHwTPgZzXZ7EPvVgfa7Mn/vrXvw6VH/bFTInp+dGR9hNE" + "hTL83ve+N3qgPoTf//73hXBp25pgV8Z6PMXG1MKxe4aAIVCPgN4K69/r7YmmhIX3qigojFh5hPMf" + "/ehHrdKL8eFn8AcMsAnrAx/4QGk4Rlj8H8kUcE85HnDAAaWYyh9+8pOfDGQHlRJH/AyES5V0QViE" + "+I499tiqqFr9ZoRFK9g6f8l74gw222yzXPc88MADncVhhmxnUE5sQEZYTGzRJCfMCIthqPrUi8Ox" + "jXbHCIvR8JuEt6U9aITFJJSKpcEQ6AeBqScsApkQBokc11133YxceO973ztgttu7dbVCz7tl5wMS" + "wjXCYjDowsMiLivOjznmmNry+cY3vpETR/J9rvG4oKy33HLLwRvf+MYBnjnac9zbf//9S+PrkrAg" + "rvPOO680rjY/GGHRBrXu35HlYIRF9xjP5hCNsJj+0jXCYrgM+9SLw7GNdscIi9Hwm4S3jbCYhFKw" + "NBgCM4PAxO1hcdJJJ2Vrx/xgLxM/8Mw20QzX8ZHNNZdZZpn4lvvsZz/rdtlll8K9thdf+cpX3FZb" + "bZW/7gkL98UvfjG/jk9Yg3zDDTfkt9iLwxMn+fVsOdl9992zfRNCftiTQlvbF35nnS97jLAZ5k03" + "3ZTtNRJ+i4/sHfG85z0vvpWf33///e7FL35xfh1O2Dti1113df/1X/+VbbAa7ofjd7/7XefJCffN" + "b34z3MqPZfWEMqQsY2Gt6bOe9az4Vnb+5z//2T355JPu8ccfd3fccYfz3kHuD3/4Q+E50vjzn//c" + "LbroooX7bS9e9KIXuR//+Mf5635JiFtppZXyazuZGQSWX375wn45nrDobM8a9tzxnkd5Rj7+8Y/b" + "/gY5GrPj5LLLLnMbb7xxnhm/JMRdeOGF+bWdTD4C6H65XxH7IrC30FyVPvVi15him7zgBS/I97Ag" + "/J/97GfZhu1dx6WFh47fb7/98p+8Z53bd99982s7qUfgNa95jfNeFfmDnK+55pr5ddsT7Dj2XYvF" + "D8niy+yc/aX8MpD8PraiJ1HyazsxBAyBDhGYGV4kPZYmS0Luu+++oVl0v1lOemQ1T5522mmF8M3D" + "YtjDYqONNqpBsfizH7wPPMlRwNVX51KvB8pTsug87zfuHLC3SIr4jZGG4iMMT6IMva55WGjPDb3o" + "b1Aftbz5jby0x1vdkzNYtodFKxhHfknuodKlh8XIibMAJh4B87CY+CKqTaB5WAxDZHpxGJOyO7b0" + "rwyZ9PvSNpzpJSHpKbUnDQFDYFQEpnpJiJ/VLgxE6Sy7FCMshtGUS0KaEhaE6GcxCuUGecBAXBP2" + "uOD3+I84MRabiEw34X3yk58cCmIUwoLAtM2avJfOUDxtbxhh0Ra5bt8zw7xbPOdaaEZYTH+JG2Ex" + "XIamF4cxKbtjhEUZMun3jbBIx8qeNASmHYFZRVi8/OUv77Q8uiYs2Evjl7/8Zes9NbTMEaZfkqD9" + "1Ms9OfBvQ1iQML66EpMQnHsX20Ka2bFb7lHC3hR//OMfC8+lXLBeVe5rwbVf1lF4fVTCgsDAJM7b" + "6quvXohjlIsuCIt//vOfWT3EQwVcJlm8a2ZGAk1aGifZMKdcmxJ6k4ZvWXrwuEKHUoe7lt/+9rdD" + "OmjUOKi/lIdM77gIiz7aPptTd92vtcW9j/yVpSWVsKBf+/Wvfz1UB8rCTblPmNTXPoR8+eW2WT8r" + "621dfOPQi5NQ/7Ajmtphs5GwwB7Fi/Z3v/tdZ3auX7qT6RftCy6jEhaEqekubeKpru6P8jt4PfHE" + "E6MEUXiXdkt9bLt/XyGwDi/QWame0UTbx5gJnPGaluONLrIJ7uj62Wp/dYHRKGFM3R4Wt99+u/Nf" + "BvHjwX+tN7zooouy8/Bvxx13DKfZ+tIjjjgiv0458RXO+c+XZusa/acpC/tS+M7YbbLJJnkwe++9" + "t2PNJlK2hwX7KLCu7cYbbyysdWNvDr8pqNtmm20arXnzjcz5zUDdD37wg+wv7JvBXgnrrLOOW221" + "1dz666/vNtxwwzydXZ7IPSz84NyxHrupnHrqqVne4/cefPBBt8IKK+S3KNt3vOMd+TUn/ssb2Z4V" + "hZuJF0cddZQj/bHIvUa0PSzYf0PbwyIOJz7/2Mc+5vgeeBBPWLjvf//74XKkY5s9LL7zne846qH/" + "Wo678847C2s+SYz/LKd7wxvekK2pB2/tO/Tsq8A+HUHYnyXe3yXc147gxz4MQVj3XdYu2QuCfWyI" + "y3/2Nt8ngra31lpruVVXXdWx3v9Vr3pVCK70eOCBB7pHH300/51vtteV4z333OM+/elP5+8QT9Ap" + "V1xxhTvnnHOy30444YT8GU7e9a535fuosK/LTjvtVPi9ycXll1/u/Gat+SuEXdWeKVvaE3WMMg77" + "qHhyy62yyirZ3xprrJHprvnnnz8Pd9QTTxy6PfbYA9I7C2rJJZd0Bx98cG2wl1xySaYTw4Pvec97" + "nN8wN1wWjt64cKeffrrjHcom3r/Ff5nJgbUnqjM9wXr0JsJ6Z3TXLbfckrUJ/2na7HXCY200de19" + "73ufW2qppZKDZV08ZUcdpv56Yzh/lzxSl8jvTO1h0UXbzzPwvyeeNMrK72tf+1rWTtlHJwjYgdvK" + "K6/s/ObHWZ8Ufuvj2Ef+UtNJX6ztYTHPPPO4s88+211zzTWZ3qWvDoKu3XrrrbO+r2zPpvBsfPzp" + "T3+ahUmdot4GzINepN/fdNNN3Ste8Yr4tdpz2hf1Fb1BmLHO5eVgV6y33npu2223ze2dOOCZ0osh" + "zq7qH7Yee2D5QVEW9AILLJDtsSX7P/oDdA+y9NJLZ/ticY6e9l+Rc9gpQeeCF1ixj8I73/lOR99f" + "Jk33sDj66KPdvffeWwgO+4t4xiHougsuuMBhk999991DuoA0oaOxFbB10amp4r8Il+1H5zdbz+s6" + "72I3v//978/2dML2brOHBTbeZz7zGYftF3Q+YaO7PvjBD2Y6mr1oUvawwB7Fpgry0Y9+tLCXFRgx" + "nuCIvP71r3fse+Enihz6k/oT74FBe8aOp7/GvlpuueVC0LVH9oFjbzb6lqAfeIl+hzqJbUe4lBXP" + "BUEfEeeoEreTZZddNtuPBduA9sH+bujD0E6Iy5NN7kMf+lCGx0ILLZRHz55w7BV48sknF+o75cM4" + "i31eUnUn7ZY97MgzmGAjxWmgPtFPMWYC78UXXzxPhzxhL70jjzwyv73ddttleNLHU45+gtvddttt" + "+e8hvbQB/zGAoX3sqGN+Ariwh85//ud/JreTYPeFCClb0jSrZRS2o4936/aw8IZAYfbaF07lddM0" + "wujVhRl+v+666/Lg5WdNv/3tbw+88Z4U1p577jlg5rBOfGMbeIMnKUyv1DOWuy7Mpr935WHx5S9/" + "eSgf3igrJIevvASsOeJtMQorCrPqlfPAd/wDTyCp3gVdeFjIb3OTj66kiYcFe2p4I7aAYYyndu6V" + "bLYXh0yv74gL4eCd4jth+Zh6LT89DD5SfCcy2GeffQpxaOkL95idqmszTbAK6ZEz37EHkSdAktLH" + "/iqjSOrMGyy+9OYJ+GhHdIff+HaUpBXepb3G8dA+U2SvvfYqvOfJPfU1vwll4bk4Lu0c3ZQyo4RX" + "kSebk8KmnvOFohTxBHe2tE1LW3xvhx12GMi8eRIuJYrkZ7ps+3GkhOuN6iTsyLPfWDSbrY/D6OK8" + "r/w1SZvmYcHsoSekkvDxRFxSdHytjLYV16Gyc29QJ+ll2okn1ZLDDfFh0zCLGMtM6UXi7LL+oQdC" + "vsJRm8WPbTv0AWUsbZPwvjziSVrWT6bqefKNjSjDRp+zvHYc4gfZA2wFmaaqa9oF/XyVgJWfVKoN" + "l/ZAX9bEw4KZb/rmqjTyG2FSz+RzWrqlrQcusUgdgV3uB87qXmcyPq79oD0OTj1PxYzwaPOy78E+" + "60LidkIfQbo8QT+Eo8ynJwxybzFPfibppDpc8OTwkym1ccdpoU59/etfL4XCEx+F8DxJkWGZopvB" + "Q9tfRe55x/gkVWQ/gz6Z7TJ1S0KmhbCIG0LKOUZ8mWAgsN9CSjjxMzQSBuZdSleEhfdCKOSHRh8b" + "QpoxwSdL+5ZRCYvHHnuskC/KYxybbnqvnqF0xHWj6px6A/6x+Jm3ofCuvvrq+JHSc2lUyM4GowtD" + "sCpN2m8stfEzg6XxzmbCAmNgs802a4wZOPoZ31LMmvzQJ2GhtUOtDsh7dfvFUF+oN/K9ums/E1tJ" + "kNEWUgyXsni6JCy6bvuhTuA6LdtUWX7i+wysuly+0Ff+Qj5Tj3IwQp6b4gNBWiZgRp8XY5lyzue9" + "6YfKhEE5z6SEpT0j+7OZIiy6rn+ajVFHWNDGvcdAI+zKbLtUwkIj8iELqsq4rOy7uK+lR6sn2r0q" + "/Qz2qUSQFjb3tEEheaavakKwaLpcw64pYcGgXgu7LD/crxpEg9nmm2/eqD7K+PsgLLDnKOuqfMW/" + "oeckIRD/rp1DKmnivTBb2ZMhDgglTWT63va2tyXnj7DBXRKM3nN5KIw6Uo+0Qb6F9IZjGR5aXqb1" + "3tQRFt7lZsAMFX9ahQm/cWRw3VRYDwkrzvsxY0iloBHG4XsXrDx4+WyoRBypqHxhhA6K9xkQxr+H" + "87LBFzMs4Zn4yOwVm1JiQHi3M9UQJ81t9nzIMyZOuiAsaJDSsMMIiEUOhsi3/3xq/Egv59pAKfUr" + "IRjScuBN2WPYdiUSN0254kkiOyXwg80N9cW73A3222+/gexwQ/1i/xYpcqBHXa4T0hfCDEfWawZh" + "pg+GPfwWjqQf44UvvHzqU5/KzsvyVDarnoJVSEc4VnlYMNMe2n9IZzj6ZRv5b3RCo0iKIYuXUIg7" + "HDHIDjnkkAHeS36JyICBhKZrNA+XNumVbZTySZE6Dwu8qLSy9u66A4yrM844IzuWGURXXXWVmgw8" + "cjQPNdosOv/444/P6pqcuQj4evdfNVzWCmvpJS70Mu8x06SVRQi7K8Kir7ZPxkljSG840gdRF/EW" + "QKcwO6ph0dXsT5/5Uwu34qZGWARcOFLeH/7whzM9S13VcKHuQT5qUjY7ySycX944QM/QJrR6hT4q" + "Ezya4nSGc8Lybt2Z7iB8766tphm9Gqd5pvRi1/WvDWERsApHyhSssYuqZu/jPi+US4qep48OcYUj" + "/XjT/TJCnKMe8SoO6YiP2OLUK2ad0dF4hMj+NzzPgFITLa+8AzGPHUB/hr4J4WhHjbBgIkyzcyg7" + "7PJjjjkmS6+0b2T4WppluHUeFjJMMKI9Q4iXxY99VCZ+eYSKB3Xy0EMPzSY667xs+yAsZD65pn3Q" + "H6Z6hfIcz2vjPMIr03Fa+OhZ6iR5xT7CTiojx7bffnsVbklYyDwyMYfOLtPJPE8djoV2LMNJ8byT" + "KxG6sunitE3i+dQRFjGI8ishGOxdyiibboZKiFuSZMwwyFFQ4ZlwxPCTAtkgB8Fca27KKGaUVAgv" + "HOkIupJRCQsMHRp0SFs4ophioeMJv4XjzTffHD/Sy7lGWFAudMTyD/c6vxYwW8pQZqz4dXudplMa" + "ARphIQ0h8Du5wrWQuiSNaZSvFAZ0oSw48k7dEh2/d0XhHVwiY9Fm5yCvHn744fix7NyvN1Vnt8oG" + "kilYyUiqCIv4WTlI6PKzprL8tMGenCHdf//9Cx5KIa0M0rWBTxk5Gt5LOfZFWNBm4nqGvvNrmtUk" + "sQxD1t0yt0rqSRwu5xgnDIKlMFshZ+SIh1leKQzsZLgQIHLJErq8zEjqirCQdYd0ddH2aecyj2Xe" + "Acz+SOObMow96CSGqdd95S81/vi5KsICozge1PMes2saOav1a3hBSbzRi1q7ZYNArV7hXi0Frw0Z" + "LmVVNgCGrKc9yXfKyr4vvdhH/RuVsICck55D1AltwETfKUXWZanntS+k0S832bRQxjnqtRygoxPL" + "PPbQfyxRknVH8zh58MEHh55DZ2gEhGavhDi0588888yhsMkHm4PGgn4qI/MIXxOJRyphAW5MwEkd" + "QT9HvkN+wtHvEzIUvbZshXf9Hh1Dz7J8RguX8PsmLFh+iY6KRSuTkFfquLRrqfPaZIOcrAL/EE44" + "MskkPYZDWvzeFuryHPowKWWEBemS5c671NOQhnCkDGRapO6GlKsTOUFe1cfXhTVNv+utcIw5kMwR" + "BVwmk05YSDYtzgcNTRrEGmOosc5+46U4qKFzZiBDAwnHMlZ76OWaG20ICzoCFADp0pQOaZSuUn6j" + "nqE8xB4tNcls/bNGWAQMmxyptxqp1Dph//tiyiBcYizJIC0N2mBOPofxKjHwm1DKx/JrOmPZScaK" + "HVJChoeylgO9PEB/wm/aTJusP7yTglUcNufTQFiwI73EDc+wMgEziYV06y57t+p+X4SF3PuH2d4q" + "gUiM8cAYlALRwP34ubKZlPAuBIMceENOxEK9i8PkHDK6TNCF2gCwK8Kir7bvN7cs5LMuvX6DssLz" + "4KINtstwKrvfV/7K4qu6X0ZYVC2VY0Ai6wszvLFQR+RACFtBftFKviOJM96RBv1ZZ501FD9EcJVo" + "kyba5Aph9EVY9FH/RiEssIPKBBJD1lNmuaVUERZSB1JnIKwkQSLD7PNa03V+w9baKOXSRU13QPDK" + "dqFNWoTI/EaXQ8/zvkZYyLJAp8tBYwiXI32jTAvXmsh2Gts3PF+mI/xmpVpw2T1t+S2eT1K0iT/N" + "Dgrv8eUf2QeSrz4JC8Y0ZbaJ5i1D+srqOP2HLBc5kSHrEWVdZU+CDZMeMlyNRC4jLKr0J16HMmz2" + "IoyFJT/yGb9ZfPxI4Zzxn3xe8+AqvDRLLvRWOMbMzRbCgo67SikCscyrXBZBJZQVUxo3ZUUlFSmb" + "JnYhkrAgfaS77E92FjI/XGsz5HgvyGclS9tFfmQYXREWzIBrTLeMr+m1HHhKJppZA4lbHcFFGmCa" + "5XuaopfrJTWSLeQJ1/w4TNpEPKOgLXViyVedaGn1XwAZeq0Oq6EX/I1pICwYfMe4cl7n4UEbo6zw" + "+PJfOOlk8NgXYQHBFucPw6xK8JCgvfEcrp4Qowz6YpHEL3UxpZPXZmziJWLMbMRp5bzK2CBNlJV8" + "RzPi4/SnnPfZ9hmYxGmmbcnBsEwj7tasTcZtGZy0WSv5TtV1n/mrirfsN20wUubdE4ch96UAn1jw" + "jIix5lwzoON3OAdfOSCB+I9Fbt6Ykl7el2lm9l+TvgiLPupfW8ICjDWvrBgP7LS4DDWPxTLCQpvl" + "xzNHeurG8c3EudyskcmIuD8vS4O05eQSB3S1nNioIoRCPNqAXRIWmhdCFVlA2Og1aTtQlppIOzuF" + "sEiZQaevjusPe77FQhplWy9rk/F7eATH4XLeF2FBmVb1sV/60peG0lI3kSLHE3zcIBY5wUAcKSKx" + "1EhnjbBgqVKV0D5k2HJPEspS1n9tTBTikXpj2223DT/N+qPeCseYbTmIpyDLZJI9LKpm2UJ+pGFC" + "Y4xFcwutUgDxu6xhjxVTipKM3y871wiLOJ6m57hPaoavxnKXMa9laW1zvyvCIuCwyy67JHXqqWmV" + "HakkLAgHAwDjHsJEKseyeDCGQprDEYNciubmFg/g4uelQcGyhVgkG55qPBMGs+MhnRwxqKWkYCXf" + "mQbCgjRL7yz0JIMTrS3JPHZ13RdhIQcnlC+D31E2mZPreHFTTRU5CIuJSLnfhfTAKIsDIyOuv10Q" + "FsTVV9tndi5OL+e4pTIbOJPSV/7a5EEjLM4999zaoCR5hh6MRQ4qtMFu/Hx8LnUu+/9IYXkFs5Xo" + "8lTPS/lVHZb6aSLbSh2RqoWh3euj/rUlLFLa+JVXXlloL+hrKXLgwbX/3HfhPdoZNpLWF8vwZuKa" + "/oVZbQZ0qV+ckjYDeiMWJimkbqFvqRNtplkSFgzG47Cxsctm/OP4NCI6/j2ctyEsWA5RJ7JuSC9Z" + "uWySPKbYx7R9OTjui7BgKWqVyHpBHqo8RAhLLrc6//zzh6KATMRrAoItleRDx8b1BHJCikZY1KWX" + "MJjMjcPW0iz1K8SLJtRdqWPRNXNFjLCoKOlR9rCAMKgT6eIkyRnpvklFZRYl5U/ODPJuF9IlYUEj" + "LRtgaUtCHvTrHPsWjbBgVgoXTfkHo81vO+20k7o2OSipJgPxuvy1GYSXhckAEC8IOkepVEm7puwp" + "L9nhMYMiBTfikP9wlLsYS7Y8pc2EeOTXgtiITEobrKaFsJAzpQFj2HwGw3ivQFr1KX0RFqxXDfmR" + "R4xdltrRTst0h5ZnWdeYeUnRozwj96eJN8WSdUzbrFZLj1y21xVhocWl3WvT9uXsVSgbMMCgpu3Q" + "7idB2uSvabo1wiKFAJBkvNzXRxK5/J5aV+VAJ2VjZC3fLD+BDGcvDuKXs4RlhJ80prsiLEhj1/Wv" + "LWGBB0SdMHAO7YOjnIzifVlW8fPx+aSQFXV5Dr+jl7HV8GRAL0jdKwk46WnZxFaV+lcSFnL/prpl" + "gCEPuPnHZcC5Jm0IC/quOmEZZBy/JDXl1yUkCVQVvvTe6IuwqPOWgPCK88h5HZkk064N/qvyHn6D" + "3KGuQA7LyQzSAZkiRSMsUjyM6NvjfGqEFbo2foZzPImlyKVx2OIpaZDhTOu13grHmJvZ4mHBFyPq" + "RLoGS8KizadMZaWPr7VPdtWlUf4+CmFBR8RMOAqybuMoTZnJtV8ybV1ca4RFmQeBjA/DQnaQAX9N" + "Acr3U65lB615WMhw2PsDthnCBeMTg0EaoCGd8VEjLAhbftYMskOKNELkMyzviePivMkGpdIg5H2p" + "uNtgNS2EBWSnJI4knlxj5GMY463F7HSX0hdhQRpZwqblJ75HHUafMPivctHGgI7fG/UcPIPIsCAA" + "U0QaHn0RFl22fbwHZH61a2bBMKhnYs+hLvOXUm7xMxphUVUPw7uQADFukrCQBFn8bNNzBlRVgk1A" + "nwfpzOCSeij1phbnOAiLrutfW8KCvq1O2CQxxg1MpaQSFtqEgAxrHNf0J+QTPLAJ2DxQev7FGIRz" + "SVjI5TN1dTbOq9x8WhIWcoAb6+44HHmu9RnyGa7bEBYp3iNyg3Pp1SM3M9c8TLX0co/NYkNZcOyL" + "sKjb30TacBqpJ/MgPRrrCAvGGXggsOcOE4vUlxS7SbPXJWGhtWmZXq7lppoaYcFzkE5xuUiPZJ6R" + "4wvtGZ6brWKERUXJjuJhkTL4qiMscIOOK/Co5wxyRhVJWNDIUMBlf6znZuDbdLCkbU5W1tBHzVP8" + "/iiERQhHzv5TbrKTDs82PUpjsoqwYH2fZHeb1KEywkJ6BhGmnEmTrntyLaG2gRezMqmirWeXm3Q1" + "wSrEOy2EBeklvykGYihzDILUJUIBj6pjn4QF8WJkhLSnHHGH1/a5kXo2JayqZ/hEJaJ5EaXqWJmm" + "rgmLvto+ruApZGfADwMxZQ+dDNAG//rKX4MkqBvqpXj91BEWKQZ1wLfuSFlpgiGPp0DbuMZBWJCP" + "LutfW8JCW98uMZaEhTYYSyUsKGO5uaCMbyavwQ0vM7wa6+qf9ru0heQESBOPVGkjS8JCDgLZBDFV" + "ZNvQ3mtDWGh7g8mwJWEhPSzkklhJaMjw4mtJEPVFWGhfKYrTIQkLzUs2fp7zVMICb17pqabVxbJ7" + "KYSF3ItFpjVcpxIW0vOOCd7Y4wSCXPa9ffStId2TeDTCoqJURiEsrr322oqQ//WTNFpRkLGMMtjU" + "GqJU5nFcqeeSsGBg2oeg1GUe6tbEpaSDZQfM3uIBo3l5dEFYkA5mzWT6u3DtTB2Ef/jDHx6KX6Yn" + "XKMEZUfAb2WEBfmTS0jwBgqikRFyfaW2oWzKesAQBy7SIf3h2AVhIXdsLqvffbo+S0O2alYIXPGc" + "kfUiYKId2aA07ggDpk2PbQkLNhCL01XlYs3StiZ6kIGBJA2kYRTH3eY8kAvoD/l+qgEh3w1hNi0D" + "7fm+2z7GILpYGk8Si3DNcykeh1petHt950+LU7uneViktKs6wiLg1tVRpp32kVp2pAFSVA7exkVY" + "kJeu6l9bwiLFtpOEBfpZitTzobw1IgCvm6aTPjK+Lq4haSUJENKtHekn5VIeSViwEXT8LrZIqsh3" + "pY0r424yOJfvamlqQ1ik6AhJWEhCgqVeMWZyjwstreEeXxyJ322CSQhDO8p6UddOZL/cFWHBxGac" + "v7pz7VPTKYQFbTJFUgkLvPNkWq+77ro8Cpkv6bWcPziLT4ywqCjccRMWkiFEIbAusO1firtqBRzZ" + "TzNFWBAZbm5xA5aETl1a5e/MfknDC2USS1eEBa5qcdo5x4gZVeTAVPOwqFpKhKHKIJw9EKjfbE4E" + "LhqBUEVYyB2e4xkkuf5SmzGh05b4NFnyo336SxJCEqsU/OW+MZNOWIT6hDELfgz+tQ5YYl22aV4I" + "L+XYlrCQeq2KsAjpYIDP0g9m1GQblnmjjrNJXxC8vOQzDBrb6lF0BKLV4djACPFrRwZdcZq6Iixm" + "ou2H/LCcADdZXIxp/3F+tHPNCAxhpR5nMn91aeqLsJBYQg61rauSKIIUlmRrXFaQE+hrcIa85YtE" + "yF577VUo33ESFqFcRq1/k0hYgD39scSbMjrxxBND1sdyZBLpbW97W6EexHWHesUnTNnbi+UA6DhE" + "TgJIwoKNYeNwyvpcLdNyYkgSFvLTmYceeqgWjHpP9jPaQ+MiLOgzY8xY+pIq0oafTYQFSzJjXOQ5" + "g3z0KV4mLMsMey5RJ+Nntb5KLgnpmrCg/Gj/cTpizxo5cSO9llPLf5qfM8KiovTGTVhI160mirwi" + "WyP9JJVdn2mSG9PRkOVnjJpkRiocwpOfguqKsMBQjBUP5yjIUUUOwiVhIb12QhowCqrcSvFOCM+G" + "Y9UXaZjZD8+FI99GRyTTXuYaKGeS6tY8xthp+Ma/cy6xYh+HOpHfzS6r39LoB/euRM68VXlYlMVJ" + "+TDIYd1m2WxqFSFVFm58XxIW1IMUkZ1yCmERhws5w87yEGPS0yfURbxOgvB8uB+OqcRCCKPsKOsB" + "OitFpGHVBWExU22/LH/UB3bXZ9AScI6PLA8ZRcadP5n2vggLue6+ySBLplFeSxd6yoc2xDpvNtos" + "E4z8uCzlZxbDe7I9dKkXQxxlx6b1b9IIC8o97MNE3ZL9F/g/2GDZZBlObe9LzyDSw6Aenad5q4Z4" + "5H5WkrCQX7Qj36kiCQNJWMiv5tAfpghkWFzfOddExp/yWdMuPCzkfi54g6SK1M+zibCQ+odyow4w" + "MVeFu2xr2tJZOX7og7BAD8f1DtsNnaDZ211MQKfWmUl5Tm+FY0zdbNl0s84dCoilAYbyj0Uy01Te" + "VLdAjA8aKXGgfLuSmSQspNs0DRnFHDr1pnmSiprwMHJi6YqwYDOcWPFwLl3V43hTz6VilYSFZlSk" + "DM54RqYXJVklcnaDgSe788fhUKfLymvzzTcvPMtShVTBiI/j0YwcOVOZgoOc2ZpUwgJMIaDoROuW" + "0jCLIMsK7NAPo4jmuVBlFIS45GyGRliwFwUEkzQAQxjxEV0rSRk54yTjxOU2VdARpEXbfFd6s6Ru" + "giU9lLogLPpu+/Qj7FwOWchgr0rATBKS1LlRpO/8NU1bX4SFXDIlvQCr0onOZtCGTtD0rpw1pp2k" + "2AfowVjf4qGniRwwdElYdF3/Jo2wkMQ0kzMx5pxD+qXoWK1sRr0nyS763Lq+hzhlXy0H11o+U8h0" + "bGFZnyVhwRelYgxT3ei1T6Zq+I2LsNA8TGOvQi2t3GNcIDGbLYSFHE9R7ocffngZFPl99EpcRzgf" + "F2GBzpY6lDHJOeecU0gjkz5zUUazIHpAbJIJC4z+MpGzyl0QFprSTN14Un72CCVVNwAty1t8fyYJ" + "C+Jl7Z5UJuStqRx77LFD4fA5IyldEBa4Tsr1j+QBA3dUqSMspFFBh5oiGsHCPhFVImeJGRSe7GdY" + "4/Lad999S4PYb7/9Cs8y6NQ2TZQBYMzIAWrsOheelwMmlnvUiSy3VMLi/vvvrws6+fc6Dwu5Qzg4" + "1gmGSlwunGtuj3XhxL9r+4hAYlSJRkLGhAUGsDSoUuqENEzRx7FIrw5c31M2P9O+Wx/PeMvlTyl1" + "GENb1rMuCIs+2740zMu8pmLMtYFIcMGNn0s97zN/qWmIn+uLsJBkFm015XOppE3uRYQLfxDNoEeH" + "1wntT+oOlgFpIo3trvRiH/Vv0gkL8MUjQGLfhGzVyqjtPamXJcFSFq70gpN7FVAOsj9PGWhqXpaS" + "sOBa4oeNVydybwzC0ETWS0mwt9URdXtYEK7ErMzrKU63HBeQr9lCWGiEdorNLb0awISv6kmZCQ8L" + "4pT2H5NocsKJtMxF0VvhGJGYJMJCrmevmunog7BgLaP8CgCdRpWrPkXHgE52Lqzl60JmmrB4/PHH" + "hxQzCiWlQwv5lYqG9/njixBSRiUsmP2QyoW45IyvjDf1uo6wkOvc8GKoE+2LLKS5bvBJXqWBKutr" + "lVfJDTfcMGRMpHhZMMANZRiO0lAgz7jshd85YlxUzU7JL4TwThlhIb037rjjjjqYk3+XHZY0DCX5" + "RlrqZrwZKMZYcM4szSiCfpJhVhFUxCU9WHg/JiwgEaQhhstwnUjyC2+qWOSGVcQL2VAnclke78UG" + "rzYIjAkNLXyMIYlbF4RFn20f/RWnGTfbOoHUiN+hXEeRPvPXJl1tByPSsJYTIbj9x7hxnlI/8AKS" + "78X9pDZ4C8v4qvLPhn4yXI0gJoy+9GIf9W8aCAsmmaQtR1l06blSVfbhN21ZXcqeGizzlHWHOiJF" + "kpHoiio7l35ckr7EIwkL0i1tlLI+PaRJ8xwkbE3GRViQFum5Ulcv2I9Gq0uzhbDQJg+0MovvlU0u" + "apNbchzRx5IQ0gbJG7cZ6m9sE1GGmvdcnK/Zeq63wjHmdpIIC8ngMlgsY+z6ICwoBgZiceXlHPKB" + "zzpqwkyqHKjxjjY4196vuzfThAXpOeGEE4YwIE8Mxqvc2sFIm6HgXT4LpUlbwgJ3cbwLZAdGXPx1" + "xYjWERbyE2EoOrnsJc43xrH0RAhpTpkdkzPb4V2OKe6X0lDhvSpDSO4xwfModAbPUrTBMXVJE5aL" + "xJ1CyEeZcSONJT5J1ZXUERaUZ0hfOMpdxGVatEFHmS6T71Zdy6UWpKeMCKFcQ3rjY0xYEJdss5RL" + "FfFFPZVlJ4kvDFe5fIM08Hm+MsEDJU4n5+RXihxI81zZXhZsjKoZjSkDUhmvvO6z7Wv7CVV5+9EP" + "yT5x1D0s+syfxDLlui/CgrilDqBOsQyjjJiEdNbqVbyMSfOUKFvaQRpoM/KLAqE9SJKF55G+9GIf" + "9W8aCAswveiii4b0ELqsjHxnFp1NUcOfNvgi3KYi2zP9e5WXGrar1MvUH+qplJtuumkoj9ixcf0N" + "7xDnrrvuOvQ8YUvCgne0z2PjQaHZDCyr0PoJwtZE2nty4qStjqjzsCAtmqcpeGu2PvaNph/I12wh" + "LLT+WlvaEcqRsuET5WAg/0455ZTwWH6cKcKCCMvqIOmsmxTKEzwLT/RWOMaMThJhoa3rZwZ5l112" + "yZYqsJ43iFTmXSwJCWHLz+JQaVFMJ510Urb5HGuw2DsAA1LOcPAsRnZZ5xbiSD2Og7CgY5FfDIkV" + "DJ0GM6wQBmzwxEaaVc9D+JR1tBphAdYoe+1P65DjtHFe5ZmTint4ro6wkGvdiJ86y2wnBmgQBr2H" + "HHKIalCE9GNE1AmuyuF5eUwZxMs9L0IYkFF0QBgQeNnQWUi3/vBs2d4U8ksM4XmIjJtvvjn7agu4" + "aB4x4dkywkIjBSlnDCk2OB1F5GBFelgQthY/BiSYgSntnSUb6CGWPoX8hGOZS3fTdNPmQpjhSDvB" + "4IK44A+DmcFq+F0eJWFBmuUzXIMDxAWGBoONBz3ZxoBKa4NgIIWNOrVwKTfqAQQnS0D4moz8kkl4" + "DxJbykMPPaSGS11An2BYEveRRx6pPkfYXRAWfbZ9Zjs1nLfddttskMBSH2Z9WNJDOjQSVHOzlVhW" + "XfeZv6p4y35rOxip87AgPsKWM8PUE3Bl1poZdvow2sARRxyhlo1GRmj2Aa7k8fI/+lsMffmFhdAG" + "OMZLTWJ8NL3UhV7so/5NC2EBvpo9U7Y0VpZxk8+ExmUpz7XPCdO3SDIZTx9tKW9cf2JbJMSjkRC0" + "AcgqdCzkBf1b1WBOIyyoz5JIIy307YSH7qIdYTuWDep5XpNxEhakR3pbBoyx+dkolz+5JCc8E46z" + "hbDQbEn6LOzQmOiFuMVuke0k4MGRvlrKTBIWciPaOG2yvcl0zuZrvRWOMceTRFjgjhdXFHkeN/Q+" + "CQu+4FClSGW64msaZcpmPKlFPg7CgrQxAJNxx/lMPcfgq3I11AiL1LC15+jQUtbgp+JfR1jQOVd1" + "ULyvDTy0tDMYTJGywWjqLsbamm0tPdo9jPUqqTK6tfBkOysjLOTGeHFY4DuKpBAW2l4PcRqqzqkD" + "4XOFo6STdxlYpdankCb5vCQsCBfSMTzf9FhmyBOuxLZJ2CwPKZMqAyMlji4Ii77bvrbWNyVvPMOg" + "dVTSvO/8lZVt2f0+CQvi1JaopeLNIBW8pMiNvOPw0H0aSRI/E85pw/EgIMTTp17suv5NE2GBDSf1" + "JmWheUHKgVhXhAWTHFoaSAf3pW0S6op21D5hjl2mEQva+2X3NMKCuglxXpb2srDk/VDH4+O4CQuI" + "H5Z9ybQ2uU6ZWIrzXHbedAxEWcXpxDavE7lHz/nnn194pUr/oNukfRfHH59r+9vNJGHBJEecnnAO" + "xnNZjLCoKf0ql/d4HWfTxkq0cv0zjalMIE9YxhAqbsqRmXWY6S5FkgZlA7ou44zDglFu2/HwqUMU" + "QZV0RVhQlgyOMGq7FGkUyK+EEBezBamKmXrEs8w0yKU3GA8pglu9rI+42jUR9rOQhpYMM76mDqTM" + "2EL2SczicOJzOjRm1+P6VVa/Md6qjPu6/T+qsJGDaq41wUskTmucl7LzPnRCk4EE5Jb0XNMICwyx" + "Ks+XsvzFa/Y1zLhHXW/SPoirbl8KwtVct7V0UmakIf6tC8KCNPTd9vHqi9Odcg5ZkfIlCtJfJ33n" + "ry7++Pe+CQviYuPtKgJawx+jvgrvKqNeCw8vGshnqWs0b6M+9SJ4dFn/pomwIO+nnXbaUNvTlobI" + "frQrwoI0pOq4UI+Y6cfTER0Q7nFkSYYm2GepkwwsJ5VLHcsIC+KiLaXaAgziJXmipXfchEVIE0Sk" + "bJ8x3pzT511zzTVDn52uWtoXwk85Nh0D9UFY0KZlmUgc5DWTXpr3JZ69scwkYUG8O+ywQ6HNkO6u" + "yKU4X9N0PnGEBWuH4gqFgikT+RWN1AFWWXhl9yEtNGUQD2akm1qKOz2de2peQ9qYdaETiN+T5wxK" + "UEJ9bMwi9wXQmMiQ1r6OrI9mkFyHA7hQbh/96EezZQUp6YH5l3jWXRMHg1cUJSQWrG/ZkpOUNFQ9" + "Q9nG6dFmWHgfgos1rPGz8pyw2KgokCraEgptDalMn7ahI8q9qZAO3JiriAA6XQbwKZ9TC/Ezc8P6" + "96pwWeYFZkg8mK2q31WDCYivtsIAPi6rqsEyacYbQdNPcRiUNWRfX/US8pUlO2XpAHs8dtBJsp5V" + "efJgYKUYILhMx0v06rBnAIbbclzWMV7hnPbchPSlPVa5Q5MXjCM5g9LloKLvtk8eU8gkyCltPXVd" + "2dT93nf+6uIPv2tf3knxIpH7MeC2XSW0GfS0HIiGOhqODPQgflPk6quvHhqQhXA40o6pk5CiQSAu" + "4meYvNCkL70Y4uqq/uGBEueHc00/trHtpG2qzR430fMh79pgXhJHxBXnq0vdQjrAnzDjOOQ5JNu5" + "556be1Xhgh8/o+ER8ki5YN9JWye8j87mS1m0Ndbzh/scy/ZPCmGj9+lPy/op4gwD+Jgo5HlNGAPE" + "8cvlqW11hPQ6LWtrcZqYnMHTj6U1gTxg/ES/jNdhsJkgx+M0Q553IU3bCWUVpyPFe0AuC9b2qKD+" + "gF9V3449Ak6PPPJIlnUmSOTzF1xwQQEW+dWrsmVxhZf8hdSbMlz5fLiWm1aDFcuX5rLMQ+Y9ECY1" + "CPgK7fxstvONwXG+3HLLuaWXXtrNO++8NW/287M3YpzfaMt5Iz07LrXUUu75z3++W2GFFdwyyywz" + "tnT1k9vyUH0H5PzaNedntJ1XPln5LL744u5FL3pR9vesZz2r/OU58IsfGDk/K+n8XhMZPtQT3yk7" + "b/y6hRdeuBMECN93jHlYXvE73zm6+eefP7/X9MQPSpxfq+f8LvZZmS6//PKOP9I+Srh+KYTzG7U6" + "b1C6pz3tac53XM53lG7RRRdtmsT8ed+JZOEttNBCWTiEudhii+W/z8QJOskbLJk+oDy84e2e8Yxn" + "uGc/+9lupZVWKpRPn+mhOyF+MKYOEP8LXvACt+aaa7r55puvddTU43vuuSerE369fVYHqGfLLrts" + "FvYodRkdQtjoUj8znelQdCl/bcuROkF4nshxnohzq622mlt11VU7a3MpQPbd9qljfvCS5RMdTNkv" + "ueSSWb/oJw+y85R0tn2m7/y1TVef7/kBkPOEX2aLeDI5s0Po8/kD+yaCzvCTJpn9QJv1+7e4l770" + "pZm+wL4ZVfrWi+Ouf6Pi0/f79G+0Ef9FH+dnZjuPjr6UesOfn43O6iB9Dfp+FF0fEkr9RIdiu9C3" + "YW+vtdZamR0Qnml7pB1hzxO237soq/d+Asw985nPbBvk1Ly3xhprOL/PSJ5eP7mS2UD5jVlygn4I" + "9ZOx0oILLpjZjy972csy22jSs+k9qpwnaPJkeq9l5wmp/HounhhhMRdL3fJsCHSIgF9q47wHQx6i" + "94BwfuYjv7YTQ8AQMAQMAUPAEJgZBBigQRwg3hvBeS+8mYnYYpkxBChXBuUvfvGL3Yorruje8IY3" + "uHnmmacyfshzJvRiYVAP4WkyWQi86U1vcv6rM3miOF9//fXz67l4YoTFXCx1y7Mh0BECdJh4PjDb" + "EoRZi2AshXt2NAQMAUPAEDAEDIF+EcD71u/vkM/G+iUjzruv9xuphT7jCEhPCbwm8OSrEr8HjPN7" + "I+SP4A2Kl5XJZCGAZxHexEHwYMazblwe/SEd4z4aYTHuErD4DYEpRQCXTb/3g/Nfy8lzgGGEgWRi" + "CBgChoAhYAgYAjOHABMI//Ef/+EuueSSLFKWzbFUdoEFFpi5RFhMM4IAS338Xg15XH4fJ8cygjIv" + "C793kltvvfWyZULhJb9Hk/NfvwqXdpwABFii+u///u/O70eUp8Z/ZtX5fUzy67l6YoTFXC15y7ch" + "0BABv/mg8xtWZe6H/lOtzn8dwvlNpgqh+I2J3Otf//rCPbswBAwBQ8AQMAQMgX4R8F9JyGZm8XJk" + "Vva8885zfoPLfiO10MeCgN9I00E4xMKEkd9s3bEfByQV+wqxv9v//M//OL/Ja4Gs4D2/8aXVjxjA" + "MZxffvnl7rLLLsv2zMLG9ptyZnurhKT4DV8zL5inP/3p4dacPRphMWeL3jJuCDRDwH+xIVsnWfaW" + "eVeUIWP3DQFDwBAwBAyB/hFg0sB/iSYboLLxpsnsRAByin0O5KRRyC0DXTZdLRP/5SHnPwtb9rPd" + "nyEEDjrooGyfmbLo/GdX3e67717285y6b4TFnCpuy6wh0B4BZm34+oomdI6su/u3f/s37We7ZwgY" + "AoaAIWAIGAKGgCHQEQJ84QRvCpb9NBH/iXPnP79dunykSVj27GgI8OWPbbbZRg3EfwY923hzlC/j" + "qQFP6U0jLKa04CzZhsBMI8AnHxdZZJGhaPlE6oUXXph9GmzoR7thCBgChoAhYAgYAoaAIdA5AnyO" + "+OSTT86+BBNvfq5FxGcy8aqo25xTe9fu9YPAVVdd5TbYYIOhwDfddNNsTxL5VZehB+fQDSMs5lBh" + "W1YNgVERuPnmm7N1j+xYvOSSS7pVVlnFbbjhhp18d33UtNn7hoAhYAgYAoaAIWAIzDUE2HD19ttv" + "z/Y7YC+Exx57LPuE6XLLLeeWXXZZt+666zpbIjR5tYKJwOuvv96xKSplhpfyOuus4/gKjEkRASMs" + "injYlSFgCBgChoAhYAgYAoaAIWAIGAKGgCFgCEwAAkZYTEAhWBIMAUPAEDAEDAFDwBAwBAwBQ8AQ" + "MAQMAUOgiIARFkU87MoQMAQMAUPAEDAEDAFDwBAwBAwBQ8AQMAQmAAEjLCagECwJhoAhYAgYAoaA" + "IWAIGAKGgCFgCBgChoAhUETACIsiHnZlCBgChoAhYAgYAoaAIWAIGAKGgCFgCBgCE4CAERYTUAiW" + "BEPAEDAEDAFDwBAwBAwBQ8AQMAQMAUPAECgiYIRFEQ+7MgQMAUPAEDAEDAFDwBAwBAwBQ8AQMAQM" + "gQlAwAiLCSgES4IhYAgYAoaAIWAIGAKGgCFgCBgChoAhYAgUETDCooiHXRkChoAhYAgYAoaAIWAI" + "GAKGgCFgCBgChsAEIGCExQQUgiXBEDAEDAFDwBAwBAwBQ8AQMAQMAUPAEDAEigjMasLi4osvdl/9" + "6lfzHG+xxRZuk002ya9n68n999/v9ttvvzx7r3zlK92ee+6ZX0/jyQUXXODOPPPMPOlbbbWV23jj" + "jfPraT6ZzXmb5nKpS/vhhx/ubr311vyxT3ziE27FFVfMr/s8mY1tvE+82oR90EEHubvvvjt/9bDD" + "DnPPfe5z82s76QeBadSHp512mrv00ktzQHbaaSe33nrr5dd2Mv0ImD6Y/jKcyzm455573AEHHJBD" + "sPrqq7vdd989v7YTQ2DiERjMYvEDiIEvgPyP67kgV111VZ5n8v+6171uorP9m9/8ZrDzzjsPPvKR" + "j5Sm8+Mf/3ghT4ccckjps9P2w2zO2yhl8dRTTw2OPfbYwatf/epRguntXdpVrF++9a1v9RaXDHja" + "2rhM/zRcU+/i8v3e9743Dcme+jROoz7ccccdC3Xl85///NSXg2WgiMBs1geebBu85CUvGTzyyCPF" + "TNvVrEHgm9/8ZkFHveUtb5k1eRs1I35iYgAe55xzzqhBZe9Puu3aSSbHEIgbQ5wzFqURFv8iayaV" + "sPjHP/4xOOWUUwZLLLFEpkg/8IEPlNaNaTRiSzMjfpjNeRNZTb687rrrBt4zKKsXz3nOc5Lfm8kH" + "jbCYSbRnPq7ZPECZeTTTY5xGfWiERXr5TuuTs1EfPPTQQ4PNNtssH8g+8MAD01o8lu4aBIywGAbo" + "j3/842DvvffO6/+Xv/zl4Yca3pkG27VhlibmcSMsJqYoukvItMy++uU6uaJgJtMIi38RTLPJe6RN" + "rf7pT39aqBdGWAyjOC1tfDjl03NnNg5QpgF9IyymoZTmXhpnoz544QtfWOhrjbCYvfXaCIvhspVE" + "86iExbTYrsNITMedWU1Y4E7OYCf8HXPMMdNRKiOmEtflkGeO733ve0cMsZ/X/brfQmdphIURFtS0" + "n/zkJ4V6QR2eRNl8880L7eymm26asWQaYdE/1LNxgNI/aqPHYITF6BhaCN0jMBv1gd+Tp9DXGmHR" + "fb2ZlBCNsBguie23375Q/0clLKbFdh1GYjruzGrCYjqKYO6m0giLf5X9NBrofdZaU/r16BphUY/R" + "qE/MxgHKqJjMxPvTqA/lTJ3tYTETNWVm45iN+sAIi5mtQ+OMzQiLYfSNsBjGZJLvGGExyaUzy9Nm" + "hMW/CngaDfQ+q6YRFvXoGmFRj9GoT8zGAcqomMzE+9OoD42wmImaMd44ZqM+MMJivHVqJmM3wmIY" + "bSMshjGZ5DtGWCSUzhNPPDH41a9+NXjyyScTnk57hC9j/OlPf0p7eExP/fOf/xz8+te/7i2dfRAW" + "jz/++ODPf/5zp4hR7r/97W87DTMOLNVAn7a8Ub9/8YtfDNjYiLqUKjNNWIy7Lf7ud78boGOayCQT" + "FpT7L3/5ywGb6nYpbetTVRr+/ve/Z2n9y1/+MvTYTA1QwKnrtk17owyo23/961+H8jbKjb77hWnU" + "h10RFn21ndTyJn5snSb6uips2hU2RF9CX0/b6UomQR+0zQt9CH1JExkHYcEXFLALmugl8tWlDdZX" + "vSRvbes7+etyjCHrQSphQTqa1iMZl7zuM2+jjM8mmbDALujDjpJlM03X85BYv+HhWMUPXJ3fWTVP" + "w1prreXe//7359dVJ74huL322it/ZJ555nFHHHGEW3TRRd3ll1/uzjvvvPy3d73rXW7DDTfMr7UT" + "vlXsG7bzn7lxd911l/v+97/v/vCHP+SP+vX07o1vfKNbf/313VZbbeUWX3zx/Leqk+9+97vu1FNP" + "zcL70Y9+lIfpNz1yq6yySva3xhpruE022cTNP//8VUHV/vbggw+6ww8/PH9u5ZVXdv6zofk1J/ff" + "f7878sgj83vbbbedI37fQJz/coejTG677bb895e//OVu7bXXdq997WvdlltumeGb/9jgxBtCzn++" + "1P3tb39zP/zhD90NN9yQv+07zyz/4Ybfvdctv/zy2eW+++7rDjzwwPCT8xtTZuXuB7fOb97pbrzx" + "Ruc/K5nj6r884t785jdn9QhM55133vzduhO/cY47++yz3a233ur8fiBZPeAd0kfdXG211dymm27q" + "XvGKV9QFlfT7bMib7zSytkbdpt2Anf9EWp5/ymOdddZx6623ntt2223zcs0f8Cef+9zn3B133OG8" + "Aer856Xin5wfqVNHYgAAQABJREFUEOTX7373u92b3vSm/LrpSVdt0e+R4+688848+o9+9KOO9hzE" + "G79ZXeeIvP71r3dbbLGF84aa+9rXvpa1M+psEOoXGNEO0S3LLbdc+GnoePXVV2c6KPzgv1jirrnm" + "mnA5dLzkkkvcxRdfXLi/wgoruD333LNwr+5C6o2tt946S/MPfvADd9xxx7kzzzwzb4OEhd5429ve" + "5nbZZRe1zMvi66I+lYWNzvjsZz/rvvGNb+Rtm2fR7fQ76Er0zmte85qs/Ydw0AVrrrlmuBzpiL76" + "0pe+5CjHe++9Nw+LOrDSSiu5d7zjHe6DH/ygW2SRRfLfqk6+853vOOo17Y86SVpj8Z8sdG94wxvc" + "xhtvnIU933zzxT/n57J8Z6pfyBPgT6ZRH+60007uhBNOyLPhl4Q4bwzn15xIbPtqO4VIay6wn9BF" + "X/nKV7L+OLZ1Vl99dee/1JTpMI4p4gc67sQTT3Q333xz1geEuh30/6qrrprXw7rwPv3pTzvsMWTp" + "pZd2+++/f3aOXYeNctFFF+W6hvDpW2if73znOx1pT5Uu9cFZZ52V2SEhbvo6bKYq8YNct9tuu7nQ" + "Tyy88MLu6KOPrnol+w07z6+5d+eee27BVgMLygs8dt11V7fMMssUwrriiivy/jWuszyEjQzWCGFQ" + "r5uIrOM77LBDZi/97Gc/c1/4whey9P74xz/Og0Tn+q+UZDqXviIW0kn6KO9QL3keu4u2A7bY+ynS" + "Zb3EtgZ7hH4CO1X2f5TBW9/61qxcy8ofHX3ZZZe5W265JdPXwV4CB/oe2sr73vc+t9RSS6VksfYZ" + "8PSf7syf4xxsPUmZtSdsccZhcfmsu+66Gc5+vy5HnlKlr7x1MT6jvR922GFZVrCJAu7c8JMUmf3F" + "+YILLug+85nPcForXdiunqBwp59+eqY/GHtRp4JQDow70GuMvxZaaKHw09w6TgK74jumwsYnvmEM" + "YCpT5OSTTy686w2+ASw50uSzpjCAe+yxRyEsXxMqr0nn17/+9cpkMmOx0UYbVYYTx8O3sEfdvC9l" + "9lWyrXxe9MILL8w/MRqnSZ6DsVdIlfku+5FyleGVXfN5oCDarJtXNknpBX8Y/RThyyWUa1ma4vue" + "8MnrWkrYZc9Mc94oz5NOOikZs4DfwQcfPDSL54nAJNw/+clPlkFZeb/rtlj3WVPiC/nl6AfDA0/m" + "5J9rjX/TztFtZZLSxsO7tGst/G9/+9vhkeSj1BvHH3/84NBDD1XDl3Hus88+Q2UuI+6yPsmw6Rd2" + "33332rTS/tHBfXhYeGNp4A3Y2jSAnTfOB2eccYbMRuH6vvvuG3jyNCm8UB7eIB7wniayfGeqX4jT" + "Mo36MMXDQmLbdduJMUw596Rdcr3xRnJlH8psIHWFOhvqWdXxPe95TzZ7WJXOuJ0QLt5CbCBeFW74" + "zZOOtX1zH/ogpR7IPP/+978fypN8Jr6mX/Fk5tA7Ie/y6AnAgj3tJ36S3vUD2jjapHNZx/0AbOAH" + "Xkn2gSd7sjg8OTHwZERtGjfYYIPKOklgfdRLT+TkacNe9wP9/FpizzUeS7HgWRJ/SlN7J9yj3nti" + "PX699bksG8rXE9wD+oMQX9mRr8lQjnXSV966HJ9h95TlU95P9TQb1Xa99tprB56MSEoX9c9P9tYV" + "xaz8fSKWhPz85z8fKqjURioHDZAUQVIJC88oJne0skJzzSBEEzrE+BvX2rtl91KUgxYn91IGM1J5" + "+VnQoTIoSxv3Meo9a16WhNL7XREWVWnTfvMMZaHTlglEIWKUae9W3aPTfOyxx2Rwja6lgV4Vn/bb" + "uPKGWyX519KUcs/PuBRwGlXpFwITF320Ral7vLdEIVZJWGCAp5JhAb8yQjSljZMYSL0QVjiShuuv" + "v76Q1tQLqTea5ocvAaEDNOm6PsVxEHbqYCfgJI9tSdqQDgylpniRBj+DGoIoHLWylWkuu4Z01lyy" + "ZfnOVL8QZ2wa9WHKQFVi27QuVLWdGL+6c9qCn6Ee0gtldSXcR3+h06TQnhn8hOdSj+S/TL8RR0xY" + "8Cz9XGrYPOc9b2VS8+u+9EFKPcgT8b8nTQgLPpuYOrCJsfJerXm0M0lYeA+LRjoPu7dJXYK4KZO+" + "6mVMWEAoyE/DxrhjH8UCQdCm/LynzMB7JcdBNT6X+idOZ8o5bbDK5u8rb12PzyaNsDjggAMa6bVQ" + "Vt7LvHEdmPYXJoKwAETvilYoNBjWOqEih8ILR++Slr+WSlhoHhAoIu8uPfBuzgM+dUPlKDN4WQel" + "iXfrG0ofbCZhEaZfIjKg88B4DOkPRwZDbSVlMFOnvJhdpPOlQ9DSRzpJe1OB8Wb2g44sNkgID8y5" + "H/4eeuihPPg6I5ZZRp6hY5YzowFT74KdhydPpKER3sGwO+qoowbe5asUC+ruKDKtefNudUP1Ftyo" + "M3hNUb/B7kMf+pBqtNDRQyQE4TPElL3UBYQZ6gRH79oYXkk+9tEWmxIWoU6FI/mnfmGMlBkxkDia" + "pLTxSy+9dKh8MDpGGXjX6Q2/9GPgl4UM/JKeUm8Gv2RPy9Kg6/oUR7LffvsNYUE5QCh7F99Ml/nl" + "EuozobxGwY21qGAfwgpHdCt1mn6G+l/mLSEJbNbtauFhTGMAQQZ+8YtfHJBvWU9D3OwhJKWufPvq" + "F+J0TKM+lP2H9pWQOmxHaTsxfnXn0i4K9YH6z28nn3zygFl5rX5B6kvxSzWG6jVhYldR/6jX2Ehl" + "dkTcz8dhS/sgpJMjaaOf8EspKge4ZWvxSVccXjgfVR+k1IM4j5ynEhbYTmWkPuWCXsXbkf425Cc+" + "hjrJZGDoT+PfOQfT8Bs2T1Opq+NB32EjYO/J+OU1fSSeiX5pygBvBvk711I3hjT3VS9jwkJLT3wP" + "GygIhIOWB3DAJsbj6lOf+tQA76M4jHBOOxpF6sqG9saY52Mf+9jg7W9/u5oG7BVN+sxb1+Mzv5wn" + "r+NSJ9G/hfqPPk6VtrYrtmwo3/iIPchYBrsAHVc2pimbzEhN97Q9NzGEhTZbhGtYleAaHheyNO5l" + "x8y1FGZF4zA4p8Jqs0+86/e2UF26tY125OwzClRzMaKxy46OdMBYtpGUwUyZ8kKhypli0kAnJ3FC" + "0ZbhlJLuUTbdDGmBjWfWQQqEUHgmHFHCmtDhhWfCkdkcDX+MC424ajOIDmkpM9AnOW94pASswhEl" + "W7YBGm6R2qweg2opuM2HMDlSz0aVPtqiHAjKdiM9LEKeMLb9Ou8CWUP+yLdmxN1+++1D2a9r41p7" + "Jd5RXQnL9Abp1pay+b1M1IHKo48+WshTn/UJAyVgH46kVyMgwE0bqPGe9nwhExUXWt3nntbHaUST" + "39OkELrs20gfA80y0fKFESSlrHxnsl+YRn0o++8wOIzxLcN21LYTx1F37vc3GWoL1HfSJoU2qRFo" + "cb/o92AZCo/8+D1VZHDZZtjaYLqM8C8jLFjWRdpiQddqAxsGgVL61Acp9UCmJ5WwgIAM+isc6XPj" + "SboQNvfkzD/lEk8Q8KwcsD3wwAMhiFbHqjpOXxALNrPsQ0O+OEIkS288yjN+hnNtiWif9bKMsIA0" + "YvklGLC8HP1K2QZhUCvTDpEH+SyFJXtyqQbtFOK7rZSVDXVA87hkqQt1Jk4zaZBlQnr6yluf4zPS" + "Df5x/hg3jCJNbFdWFkh8SYums0gTfUqcVs55X7MhRsnDJL87MYQFg3ZpKLKfQJkw8JdsZcxm8p40" + "6jTCAmYzrgR0AKSlSjD643c495tMFV5h92r5DAx5mRCn7GCky3zZu/J+3WCG58uUl9+ARgaXX2sd" + "pt+UNP+96cmohAUGiqY8Qzpk2VIeUqhHstOko6j60gjvSMOLd6rSIuONrzUDfdLzxnpTWb+r6g75" + "5WshUkHj+SClidKX72rXfbVFWW9SCYsLLrhAS2Z2D6NO4oq3ipSqNq6x9uDuNzSVwTS+LtMbVYau" + "LE/yJ921+6xPmh54+OGHS/OuDepIc1vCAmxkmZKmKtGMk9j4lX1f7PJdFq5mVMpny8q3qm133S9M" + "oz5MGaiWYTtq25FlWHXNshJZFxnAlwkeCtIuie0o+Rt6pm6/KG3PG21piEZYMNtYJpAYsl1AuEjp" + "Ux+k1AOZnhTCAptDkgsMnFnaUiZaXyL7KBlmVV0siye+X1bHGfxqUrb/A2RFmUjyV/Nw7rNeaoTF" + "tjUe4ZqHnZbuOM/YS4xH4vaK3dlWtLJhzFXVXvGWjOPnXHq09Jk32Va7Gp8FDMdJWMgxBNj6jdND" + "0tQj+/rJ8sArZq7I8AhujDkH+LgwWD9bJszmxc9yLpmmFMJCKoSqZQNxWiS5AqMbC41Ypq+uM8Cg" + "ZLYBdtbvjqzO8MdxlJ1XDWbCO5ryquokeA92XuZbMzRCHHXHUQkLvwN5ZRTsLSLLQK7B1QZ3knzS" + "ImF2QGLhdy3XHq29pxnok5432VYxIlJE7hOC+7oUOcDFCB5F+mqLbQgL3K7rBB0Q11tcNKWUtXF5" + "n3DAL54VlWE1udb0RspgWRoeDCxi6as+YeiT/xjPqkFPSBMuy/E7nLclLDSioG7fG43A918uypKn" + "7flUpy94Ee9AmSdJzmvlO9P9wjTqw5SBqoZtF20n1Nm6I4S67LP8l43qXsvckuN6E9ouno3xfc7L" + "ZgfjSBhky4GyXOvP85KwIO3abHQc9jHHHFNIk/Qi6lsfpNSDOL2cpxAWGvmQYm/I5QXSzV2WQ52N" + "KtMur7U6zuauVSL1M2mqmjBiuWFc76Rndd/1UhIW1Es2hK0SuQSJPJYtV4rD0TwM5Cae8fNV51rZ" + "1I13aC9SZxBOLH3mra/xWUj/OAkLSarhuZ0ikrCjLVQRlylhTsszE0VYaDNbGGeasO47VloaW5lC" + "WBA2nSBeE7hzSdJDi5t7ck2RbMQ8I126UMx0Mm1n4gkzReSghYGVFE15VW2oE96XG1+df/754afG" + "x1EIC7CtE75pHdcRzmUe5U7p0sCpikMOalh/2EakgT4teQNfBsK4m7OfTIrI3bHJu5SuCQvC76Mt" + "tiEsmLGoE6m3tEGN1sZh52V9xzDSXIbr0lD2e1u9wTp1mTb5pYo+6pP/PNhQvNoSMplfCACZ3raE" + "hdxEDpf2FEE/st8RRAUDFvAJgiFJ38gMZSppTN8m8yQJ3Lbl22W/MI36MGWg2hbblLYT6kXVkZ3o" + "ZfmX2VdxOCzzg1DE6we9E/pQOfuKrkldIir7fgZFUiRhkTK7fOWVVxbyKPvSvvVBSj2Q+UwhLNAD" + "cdkxaEYH1An6gf1I8D7mXA6sKbM43D4IiypvNtIvbem6cpazzLKM+66XkrAoW9IUl41cWoUdlCqy" + "jCjHNqLpnyrvihCH1O3Shuk7b32Nz8jfuAgLdGjc7jhPnVSijcp3mYyYCzJRhAWAS+XF7JQUOkXJ" + "+tEZS5GGP9dtBXdDDFYGuLKBUnkYtEmRs4ahkpF2XMhY8pJiMMhw6661wYx8R1Necn2jfIdruRmP" + "VF7aO2X3pNGCu2qZSCMWsiBFZD2RgyQ588sGT3hPpPzJ+sXeJ21kNueNmRI8XVgbCLayPLSOuw/C" + "oo+22Iaw0NaKyjrDRqVBV3CkjkqRbTx+Pj5vO8iW8YVrqTcwZlJFzqRBEDeVpvUJHRvjgfGXKnIG" + "pC2WMt/MEM6U4MlBXUFXScMTXCRBL8uXZ2a6X5hGfZgyUJXYznTbkUt3gqdE27r44Q9/uNC2Uvtk" + "4qNexu2SczmYloQFm/LWCW00DlfmsW99kFIPZB5SCAs5qwr2XYgcDHdNWNDf14n8ElHdxI9cki0J" + "i77rpSQssC3qhHoY10s8G1JsTJ6RhDefim0jUv+k9oXsnxSnXe6VNAl5azM+A8NxERbSSyi1LEK5" + "S8xTvK3Cu9N8nDjCgl2O48ahzXjLDToxLDW2WQ4oUwgLOk1YetbWszMxrorS4IzTF841wgLGLOVd" + "3J5IG2vDtHw0rWByMJPiYQGGKSI3nBwXYZHSSZAfib8kLGRnEMqzzVHDOQVTaaBPY95wSWMwTvvF" + "IwBiSw74NExnirDooy22ISxSZvflxmLajJNs4xq23NN29U+pk2XPSKNHbgZZ9h735QCkao+eruqT" + "dBFv0kblRq1tCAu86WTZ3HjjjVUwtfqNWXgIoIMPPjgjBuk3JTko08F1HWExjn5hGvVhykB1ptpO" + "WQWSs/RVS27Lwojvy8mlgw46KP658pz9vGR9lO1C6ouqPc1CZGxQHIcr62/f+iClHoS0hmMKYSHt" + "lLIvLYUwU499ExaSTNDS1XQSTHrJyDj6rpeSsKjqx8iv1gfEdbTpeco4RsNZ6h/GHSki972JCYuZ" + "zluX4zPyPi7CQnoaa0viqspGtpmu9EFVnJPw28QRFrj/yAYsXWXkoJlNnDRpQlgwkJWz7TIdVdca" + "YUGacIdDoVa9G/8Gc5bq4qvlmXtyMKMZ6VJ5yXWAZWFL7MdFWKQaR3WEhfw9Loum5ymzCRqu0kCf" + "przRgTDz1RbHmSIswL3rttiGsJB7Bmj1QRIWo3hYUIe7/PSV1BvU3VTZfPPNC3qQwbWUruvTPvvs" + "U4gzda8V0iUNtTaEhbZ/StUGlhKPumu+KS+NlyZ6q46wGEe/MI36MGWg2nfbqasrEMlx3WBCZhSR" + "s3xy0/O6sOX7kpCQhIXcJ0wLXxIWxBFL3/ogpR7E6eE8hbCQNuQZZ5whg2l13TdhkeJFJPVX3Wxx" + "HWEh61XX9VISFnIjU1kQmgt/3A6bnjeZJIjTIvVP6iBZ9oMxYTFTeetrfDYuwkKONZt4p1Gm0osI" + "vTMXZOIIC0CX7m8xo4hBKxt42Rq5VMKCQbcMs+oaI07+XkZYkB/clTDOU2acQ7gMWqu+KkK4ZdKG" + "sIDBT5FJISyYLUoROZCWHhYB766OKWmSz0gDfVryBpGYMosbsMXokuUxk4QFuHfZFtsQFiltWhIW" + "TTws0DGyTLgu+9ysrIt119LoGYWwkMRcH/WJDYxD/ePIJnSpIt9tQ1hoG8C13TRNplsaLXE+5Tl1" + "QG7AxzN1hMU4+oVp1IcpA9U+246sG9q1TGPK5rNaOOGeJBTigUx4puooB351hIW27FeGLwkL6WEh" + "23TX+kBirH3eVqaZzRdle5XPSNux6ktT8t2q674Ji5RBcdeERd/1Utbbui/lyWVKsqybXoNXG5H6" + "J1W3VxEWM5G3Psdn4yIsJHFa95UZWd7sgxXXGyMsJEIzeC13xkVZh6USrP2KC6pq1/0UwkIO7uOw" + "OYchpjLgSsi3xfnUECLdzqoIiwAdeUC5MSOtkR4y7iaDgRAHR5mnFA+LVOU12wgLycZT1hgDbf5Y" + "qtRG+jLQ+8wbmwZJYyeuv5ATEI98Ix2PIWaaET5nGT8304RFKJ8u2uKkERYYUuyJc+mllxYwBm+8" + "G7oQafQ0GfTIPRRiV9q+6hProeP6xueCU4U9V+J32xAWmstsF5+XpV3FaYvPISfIJ0vL2CeINd+k" + "QxsYzSXCok99mDJQ7avtpNZn+TlR+vJRRA40tc8vV4UviVXwiUUOPLsgLPrWB7IepHw15dFHHx1q" + "yzEOnNOe4zbOV+S6ENmHd72HRYpdKevRqB4WMryu62VTwkIrX/b0amNj8k7KPlha3ZD6J6VsCKeK" + "sOg7b3IsE7cBzkcdn42LsGDLgTgv2ueXtTIM9+TkAxvrzgWZSA8LNvmSijQYi3KgX7UkIYWwkPFQ" + "iXDPwcirmg2VjHebZRzM9qKAcM2UnXeozNKgTKmUspEbYeFy5SA9LOQnJMuWF6Xg3vaZvgiLPvMm" + "O7HQebD/S9UnyaRBp32ys49NN+vKpk1bnCTCAj3GBl1BYOyDDgnHLjZ7lEbPZpttFqKsPUrvmtgw" + "7as+4Q4c8s9RzrhWJVqWb+iDqt7RfpMD5RRym3DYxwN9JfuAMjdcBmO0nTLBEzHGgnP5aT1ZvqlG" + "bZdE9jTqQ6nXtJl1iW1XbaesvOX9s846q1D+EAKpwsCEpUzxBqx8EjWuT9rXjMrC15b+sodXLH0Q" + "Fn3rA1kPmOiqEzzLYhw5lyJdyJmhTRGIefRFmYedtH9nA2HRd71sSlhQBrJ8+dLJTIvUP6m6XfbN" + "sSdV33mT9RMcuxyfjYuwwPaJ6wQTfE1Efu41Rc80CX9Snx3WjBOS0gMOOKBQoDBIks1jkB9/6k0m" + "vY6w0Ay/um/OEweGZFzZONcICzp3DEgURfgUmExjuMZzQ87oES7ESVMxwuL/EJODJElYMGCOy7LJ" + "rBODXAYxlG1syP1f7GlnfRnofeZN4go5QruoEzlTpG0w2gdh0UdblANauZaVT0bGdYvzKhI0YNdm" + "SYgkJTFQJQnKdfB0CXE1PUqjJ7WjZRmExCL2NOirPrHHg4xXEgAaBhhiMk1tCQv5VanU2VGeC2mn" + "7MKXAZidC/fDMcUAlp8D5F10WCyyfFON2mkgLPrUh3KgmkJYdNV24vKrOse7M9QXjtSplH4LnRXr" + "Egg4PkF/4oknFsJLcf8P6dPqotzbpQ/Com99IImFlAkQzSMu4BSOeAnEZZdqp6Bj4/fkRotyQDgb" + "CIu+62VTwoIylB7ZKZ43oexZVgiZN+pSwra6vYqw6DNvfY/PSPu4CAv0Z9wuOQ/e+6Hcy46aXdnV" + "njZlcU7K/YklLH784x8XCpROMjbgKGBtM7oY2DrCQjP85Hfp4/DCufzWN2mRn+iTg9D99tsvvF56" + "ZGZaVuLU2bg40GklLCBsykTi2dU+D3KJEfhT91JEumW13XV92vKmdSTUuTrRNhdjLZ6UrgkLiW9X" + "bXGSCQswpROT+iTV0JVlEq6l0UP4KZvh7b///oW0YCgH6bM+aZ/ATiGl5ZeoyGdbwkLO+DFQTSGu" + "pIcUy0AQaUBKsirgKo+yDMhT7JXD87J8ZxNh0aeub0NYdNF2ZBlXXWv7f5199tlVr2S/MVgirfEf" + "E0VXXHFF4R6/p7qrS7d97DspfRAWfesDuba8zkYlz5D2MbacS5EzsjyT8sUp+VUU2Z4lYXH//ffL" + "qBtdt9Efsi7Ennda5HWbbvZdL9sQFnJfPvqAlA24aWeSOE8hwTTc2pQN4cj+Jvaw4Pe+8tbn+Ix0" + "I5KwOOWUU/71Q8v/qbarRjqk2CUkS372Hl1QNyHeMjsT99qwZpygJMLYx4o8Zvm5f9NNN1Wmto6w" + "kAVP+HWCkpHuOKQFd8tYjj322ELa6ZDpLKsEhi3OL+e33npr1Svqb9NCWEgX1arBlBx0dkVYPPjg" + "g0OY04HWiWbEpSocGfa05Y2Bm6ynMMZ1InepJwzNoNM8qerCrvq9r7Y46YQFmEhjEMylrqrCTv4m" + "jR7Cq/uShDZQij1r+q5P0uBCz8ulEHE+IRM0Hd+WsNAwO++88+Ioh87vvvvuoTYWPFJkmabsTyIN" + "qdB+aWuxyLTKAU78bHw+DR4Wfer6toTFqG0nLoOUc7mPDIOvOvJMtp/QT9OGpE2W4mUBqRHqXzhi" + "q0npg7AgDpmfLvWB3FuGsNlXqExofxJDMJGieczVLcFhUITdGTDmKL275O9Bx8j4U6/b6A+pz0Yl" + "LPqul20IC23jSMYfdSIJJ8owlRSUYbcpG8KQ7UUSFn3lrc/xWcBGekTJ9hGeSz02sV2Z4IzbJnoA" + "W6lKtLqdOmFRFe60/DasGSco5aeffnqhQOPCTXGnrCMs8F6Iw+RcW9oRIKED4JNC8h2uJTOn7Q6v" + "7fYfwuaoDehSPD7iMDifFsJCzmKyvrwsv30N6sFL1hPKkwFVGcGE8S9Zb95p67I3bXnTPCXiASiY" + "xoJ7vXRpDW1I86rR1jfLTxvH4ded99UWp4Gw0PJOx/iLX/yiDjb1d2n0hHJkM1XNvZx45L5DvBMv" + "zeq7PkFsh3SGIwNxrb1CSO+6665Dz/NeW8ICIKVRjv648cYbVYxZsiIJk3jvDbnDOOVZNdvKQF0a" + "2QEHOaMqy3c2ERaA3Zeub0tYUA6jtB21AlXc1NoCRnvZcj6+3BHqSjjG7sfaLCh7cpUt1WWwpfWd" + "EHRS+iIsNAy60gfa8o5A8Mj8MSsqlwoEjOWzXMsBHM/KwWN4DxIKezOEF45ST0g9E2+EHMJqcmyj" + "P6RuHJWwIL191kupS+u+EkJ6sIG0fpAxTplo4xPqS1tpUzbEVUdY9JU3Lf9djc8ChtK7qe0nY0N4" + "TWxXbe8aCGW5NC6Ejb6QNmeVDgjvzabjRBMWDF6DopVHdlmtE2mccB3LY489NhQ+xh9KOx6sYlDT" + "MUg2Ok7TkUceGQedndMJxs9wToWkIRI3nQouuex+Ldc586zmLj8UiXJjWggLbR0rRNQuu+ySdbax" + "EdPnoJ56Jl0jwZ+OiZlQXNYZyGD4H3HEEeqMSNWAXSmiwq1pzJvWFlgjHruY82UCOhi+5CPbQbjW" + "ltFoX1bAyGWzJYywNl9j6aMtys5jkvawiCsY6+kD3uHY5HN+cVjS6AnhcaSc+Z1ZApZVYYxp7Urz" + "juqzPpF+jYQgbQy+HnrooYy8QC9rRmXI4yiEhWacEC6fuyZcdBAGCenRBnSkLQibp4Y0hSN6E1do" + "jMcgDE7Amj4tPCeP0ktRlu9sIyz60vWjEBaUyShtJ5R36lHbKwtygIEiG7OCEXtnyYEK6UTnxa7s" + "2DCSWOA5BsIsN6HvhICDqJD2WKiLfDVNExluF18JCfH0pQ/ouzSdB2nB0jk8GG644YYBfX5Vuwzp" + "jI+QSnKzdzCkPLH50Lv8cS49aXhO26hT6xdJK/iwiW9TaaM/+iAs+qyXbQgLcJRLWUL9B290N544" + "EH18mlfO/Idn29g+oQzblA3vSj2gkWR95K3v8Rl5kx5R4EybYPKYcUgZ8cq7mjS1XeVX84gfvYDN" + "hnc9uhhsGZNq+oJ+g7o+V2SiCQsKAbY+NNb4SGWuE9lBSsKC9+VGXHEcdDya8Rg/E861z9JggKa+" + "H8IJRzqmthvk0WGFcDhiZEhpq7xQrnHYVV9pkXHKazZ8i8OS58cdd1z+Sp+DeiLRZkZkesquGfyh" + "qNrKNOYNIqIMD+q8ZrRpz6OEY3IwYFg280QYbQbbfbTFaSEs6NC0gXibtiv1hlamVfdwGddmc/uu" + "T7hSytnEqnRqv41CWFCvZX+kxaHdO+igg0KzyI7oGm1AEt6l79CMm/B7fJSf/JPlO9sICwDsQ9eP" + "SljEZVJ2XtZ2CpUj4aKtLkSva3YXyyNT65vMG3aT5plFNvokLPrUBywNlflsel1WjNqsc0rYbHat" + "2ShV9i9l2lTa6I8+CAvS3Ve9bEtYkKa2fQBlPOqXINqUDWlOISz6yltV/Rx1fEaaq+wOMIcsaCpN" + "bFcmw5lsSGnD8hn6+arlZk3TPQ3PTzxhARstC0ojBzSwpXLQCAsGS3LgIeOT18yya4yi9umom2++" + "uXFnTgVm1q+tTAthQf4OPPDAofINeMf7G/Q9qCct9957b+VAIKQrPjJ41gZghJcq05q3qs4kxiic" + "86lNXOakcavNGmgbZ4VwmI1vI123Rak3JtXDAqyo2wG/cKQcmnZ40uihLDQyJMQRHzF8NHIqlGWf" + "9Yk4mOmt8vaRaZVL9EYlLEgDn7GW9T+OV57vscce6gwK3l5NyHCeZbAjN66GxIlFlu9sJCzIb9e6" + "vg1h0WXbicsw5ZyZ+C233HJIJ8j6F65JKwPAMoEEkWuyw7tlR/r+MrKCePokLAi/L32APSAH4WUY" + "cB/iWJYF6SsTvDSakK+Ui7b8jfDxwqqaXJB73JSlKdxvoz8kVl0sCQnp6aNejkJYkC70cBPdTR1p" + "u9FmwIFjm7LhvVTCgme7zlvf4zPCr7IJ2uz31dR2xYtDLk2p0hf8xiexsaXnmkw8YUGB0FnGBYjR" + "lyK4GsbvlTV6mGd2EK9SIih13OTC+iJcb+XzZenCk4DPtNYZqhAVbBAYu1ym5FM+Ize1gl2XIj/v" + "pbnmy3e4ZtAZY1qWZ+3dsnsYLho2cbolsaEtwdHCly6UuLxWCQYUa0VlnYvzzDlKDjKtC5nmvOHm" + "WmU8Ua6QOpAFQWQd2m233cJPhSNf3ikrhypDtxCIuOiyLcpPtMpPS2pf/Ulx35NfM9DwSWnjIusD" + "beaPAXET0Ywe9BXhaG2YtgKhwUxGvFyhLM4+6xNxoutZqlI2q4FOh0CknPiUdtzu22yArOUTkogB" + "ShlexEndqtvIlrq89957F9IYp5dz8ok+w7UUYe8Q+Uw8mJmEfmEa9aFcYiD3tAL7vtsOcTQV9qgo" + "awvUE+roZz/72SSbhPaNu3hVeIQJuVNFfoQ8SCJULl8Kz8VHScwyuKySvvQB/RNYyMFt3PaYeAt7" + "M8llOlVp5jcGWuinKrIB2we9WydV5B39TBNpoz+wD2JcGPRWiVxeV7evQ9f1Us6gs4SjqTDYZHmr" + "HEPEOHDOpN0ok5dxutqUDe9LL3d0RpV0nbe+x2f0jZIwDOVQtlytKv/81sZ2xX6s8pwkTZB7TPCl" + "2FJ1aZzG3+ch0R4IE4+AN7ydn7nK/vzGim7BBRd0vvN1L3vZy9wznvGMkTHylcz5AbPzezNkcRAf" + "4T772c92K620kvMdzMhxTGsAYHPXXXc5r5wc58stt5xbeuml3bzzzju2LPkBp/MGfpYub9RnaVph" + "hRUcf0suueTY0tVFxF3mjfLyMzWONkP78Yyxe+lLX5rVacpxVCFcP8hziyyySNZell122axtjhKu" + "tcV26PnZA+dn3fOXOb/88suza7oSv2bdeSLP+S8eZfpsxRVXbKzX+q5PJJY40MN+r41MJ6Nr1lpr" + "Lbf88svneZuJEz+DmemXe+65J6vbtJsXv/jF7ulPf3py9H6mOGt35MUT6m6ppZbK+i1P9rmFF144" + "OZy5/GCX+rAMx5loO2Vx190n/37g6vzgy/nlEu5FL3pRpsOf97znufnmm6/u9aHfvZdBFh59On0n" + "Opu2hT21+OKLDz0/7ht96gM/IHKe7MywxZ7xJINbbbXV3DLLLNNJtv0AMdNlYI0EHdI0fO91k5XZ" + "Qgst5BZddNEsnYsttlgnaZyUQCaxXlJ+6H/6I9KHffn85z8/+5t2/LvMW9/jM7D33kuZvpt//vmz" + "+v/MZz5zpKrbxnb1kyVZf0579mRVNtZAD2MXNG3TIyV+Al82wmICC8WSZAgYAobAJCJQNeiaxPRa" + "mgyBSUHA2s6klISlwxAwBAwBQ2DaEDDCYtpKzNJrCBgChsCYELBB15iAt2inHgFrO1NfhJYBQ8AQ" + "MAQMgTEhYITFmIC3aA0BQ8AQmDYEbNA1bSVm6Z0UBKztTEpJWDoMAUPAEDAEpg0BIyymrcQsvYaA" + "IWAIjAkBG3SNCXiLduoRsLYz9UVoGTAEDAFDwBAYEwJGWIwJeIvWEDAEDIFpQ8AGXdNWYpbeSUHA" + "2s6klISlwxAwBAwBQ2DaEDDCYtpKzNJrCBgChsCYELBB15iAt2inHgFrO1NfhJYBQ8AQMAQMgTEh" + "YITFmIC3aA0BQ8AQmDYErrzySvfmN785T/ZGG23kLrvssvzaTgwBQ0BHwNqOjovdNQQMAUPAEDAE" + "6hAwwqIOIfvdEDAEDAFDIEOAb4Q//vjjORp8J36JJZbIr+3EEDAEdASs7ei42F1DwBAwBAwBQ6AO" + "ASMs6hCy3w0BQ8AQMAQMAUPAEDAEDAFDwBAwBAwBQ2DGETDCYsYhtwgNAUPAEDAEDAFDwBAwBAwB" + "Q8AQMAQMAUOgDgEjLOoQst8NAUPAEDAEDAFDwBAwBAwBQ8AQMAQMAUNgxhEwwmLGIbcIDQFDwBAw" + "BAwBQ8AQMAQMAUPAEDAEDAFDoA4BIyzqELLfDQFDwBAwBAwBQ8AQMAQMAUPAEDAEDAFDYMYRMMJi" + "xiG3CA0BQ8AQMAQMAUPAEDAEDAFDwBAwBAwBQ6AOASMs6hCy3w0BQ8AQMAQMAUPAEDAEDAFDwBAw" + "BAwBQ2DGETDCYsYhtwgNAUPAEDAEDAFDwBAwBAwBQ8AQMAQMAUOgDgEjLOoQst8NAUPAEDAEDAFD" + "wBAwBAwBQ8AQMAQMAUNgxhEwwmLGIbcIDQFDwBAwBAwBQ8AQMAQMAUPAEDAEDAFDoA4BIyzqELLf" + "e0Xgqaeeco899pj75z//6Z773Oe6+eefv9f4LHBDwBAwBAwBQ8AQMAQMAUPAEDAEDIHpQGBqCYu/" + "//3vbvvtt3d/+9vfMqQXXnhh94UvfMHNM88804H8DKbyySefdDvuuKP7xz/+kRTrvPPOmxEHYLrU" + "Uku55ZZbzm200UbuhS98YdL7VQ9BTpx++unu4osvdvfff7975JFHCo8Tx0orreTWWWcdt9NOO7ln" + "PvOZhd/LLi677DJ36qmnlv08dJ+8Lb744u4lL3mJW3vttd0rX/nKiSZLzjnnHHfuuefm+dh2223d" + "hhtumF/PlZPDDz/c3XrrrXl2P/GJT7gVV1wxv56Uk9///vdu5513ztsc7egzn/nMpCTP0jEDCFgf" + "NQMgz/Io7r77bnfQQQfluaSvQq9Mq9Dn77fffnny6Xf33HPP/NpO+kPgggsucGeeeWYewVZbbeU2" + "3njj/NpODIG2CDC22G677fLx2IILLpiNx+abb762Qdp7hsAwAoMJlUsvvXTgB5MDP6BVU/inP/1p" + "4HNT+PONRn12rt/81a9+VcBJ4pZ6/cY3vnHgSYHBH//4x8aQfu973xtsttlmjdPhB6SD3/zmN7Xx" + "HXbYYY3Dlvn+4Ac/OPjFL35RG9c4HvBGXSF/n/rUp8aRjLHH+brXva6Aw7e+9a2xp0lLwE9/+tNC" + "OpdYYgntMbs3xQhYHzXFhTclSaeOxf3U29/+9ilJuZ7Mq666qpAf9LlJNwjU6aOPf/zjBewPOeSQ" + "biK2UOY8An/9618LdQud9Ze//GXO42IAdIuA6za40UN76KGHCgPbBx54QA3UCAsVFvVmV4RFMJy8" + "F8SgrFxkAvxSj8Gxxx47pMxCWClHBns/+tGPZNCF6y4IC9JCXNdee20h7Em4MMLiX6VghMUk1Ma5" + "nQbro+Z2+c9k7o2wmEm0pzOuVH1khMV0lu80pNoIi2kopelP48QRFgyG40Fs2cDYCIv0ytc1YUH5" + "+P0mBr/73e8qE0EZvfe97y2UZ1y2nHuX0Iygete73jV4+ctfXvrsc57znMFPfvKT0vi6IixIE6TF" + "fffdVxrXOH4wwuJfqBthMY7aZ3HGCFgfFaNh530iYIRFn+jOjrBT9ZERFrOjvCcxF0ZYTGKpzL40" + "TdweFssvv3xhXwNPWKh7J7Avw2KLLebHl/8nrKNi/wWTIgK//vWvs70o4rve68GtscYa8a38nA0w" + "2RvEEw7OkwTutNNOczfccEP+ezjZdddd3dFHHx0uh44f+tCH3PHHHz90f91113X77LNPti+G3HOE" + "tf/HHXec8+6K7g9/+EPhXd8xuxtvvHEoLzzE3gbxWlhPcDjWbEohb3/+85+zv4cfftjddNNN7pRT" + "TpGPuU033dSdf/75Q/fHdeNjH/uY86RMHr1fEuL++7//O7+eKyevf/3r3Xe+8508u35JiFt//fXz" + "60k5YW8WdFkQT4I56rbJ9CNgfdT0l+G05IC9meJ9BvySEHfhhRdOS/KH0nnzzTe7t73tbfl9v8zU" + "fe1rX8uv7aQ5Aqn6aN9993UHHnhgHgE21l577ZVf24kh0BYB9mt6wQtekO9hQTg/+9nPJnpfuLZ5" + "tffGiMCkcTDM3Hs48j/zsBi9hDQPi+uvv75RwCeccEJeJnH5lHlZXHTRRerzfvPIpHgfffTRzIsj" + "jotzT3So70sPCzw3UuUb3/hG5lUh4/IbnqUG0ftz5mHxL4jNw6L3qmYR1CBgfVQNQPZzZwjMNg+L" + "zoCxgHIEUvWReVjkkNmJIWAITCECE7ckJFX52pKQ9NrWBWFBbLvtttsQCfHVr351KCG//OUvVQLg" + "61//+tCzVTdYlsHyjJhI4NrPVA+9NgphQWBXXnllIR7i9F+dGYpnXDeMsPgX8kZYjKsGWrwBAeuj" + "AhJ27BsBIyz6Rnj6w0/VR0ZYTH9ZWw4MgbmMwKwnLPzSkYFfEjFg88euhDB/+9vfdhVc7+F0RVh4" + "F6+hQb3/RNlQ+o866qih5/zSkaHnUm6ceOKJQ2GxiaeUUQkLdjSOiRHOIQkmRVIIC0g8v4ym8yQ/" + "9dRTg5///OfZniWcdyV81efxxx8f+CU6yUGOg7AAV0i4Jl8h6uorIX1hD+CETb66LFPCnTb9SJqb" + "SOoAIZVU76OPapKf1Gf5OlTKF5tSwxvnc9R57II2Qt9PmXUp6G2wlXbKpBMWTzzxRO1eVl3iFIeF" + "dyfxdyW012ms36n6KJWwaNonp+A/2/oE2il2dRN7CzsHbGUbT8Gv7BnqLF+2Qzd3GW5ZfDNxH/3a" + "pB32Zcf0lVfSS5mx70eqoOumacyZmq+mz03EHhZXXHGF80sF/BjROb/0IDuGf34zRrf00ktnl3yz" + "e6eddsrOvQJU97BgT4Szzz7bXXPNNe673/2u+8EPfhCCcv4zqW7rrbd222yzjXve856X36878YOP" + "LMxbb73V+c9zurvuuit7xXcUbq211nKrrbZatufBK17xirqgxvK7toeFXxLiXvva1zZOD2XhBzn5" + "e/5ToNn3lvMb/uRVr3qVu+222/Jb7Cfx4IMPukUXXTS/l3pCOS+zzDKF/SxYA3vxxRcXgpB7WFBX" + "KK8mwp4ecX3ZY489CvtGNAmr62fL9rBgP4fzzjvP+S+bZHWTeP3mpW699dZzr3nNa5zf9NSxf0Kq" + "sBaRvT9uv/1255fEuDvuuCOv7yEM6g37kBA2cTQR9iD50pe+5K6++mp377335q/SllZaaSX3jne8" + "w1GnFllkkfy3+KTJHha+M3f77bdfthdLHMbOO+/sVl555ezW/fff74488sj8Z/TDOuusk9UD9lLh" + "u/XxXipgS/3bZZddCntU5AH870mbPSy6xN4PdApr3T/5yU+6ZzzjGe7OO+90J598crZunDQGoTwp" + "S/YD2WSTTZzcWyY8px2nXT9qeZL3Jq2PQrfFfSV1lrpbJ55Mdt5zLX/s//2//+doe5qwfxT7+6Bj" + "6POC3kefoAPo7/h797vf7Z72tKdpQYz1Hn0C/Q7COv+99957qF2Tl7e+9a3Oew+W9of0+ewlccst" + "t2Q6NrQbdAFtZtVVV3Xve9/71L2VygCgraO3TzrppKyfCtjy/AYbbOB23HFH9573vCeLt24PCz9Y" + "cfRV3vjLoltyySXdwQcfXBZ1fv+SSy4p9KPER9xVAp5f/vKX3bnnnlvo48GRPpd+h72t6LM14X3K" + "JQh6GH0cC9h85CMfcRwRdP4WW2zhvIGf6S3qJHsXBaH+Uv/pv7faaiu33HLLhZ8qj0Hf+kmRQhmw" + "VxZxhja18MILZ2kOdenpT3+6O/TQQyvD7vvHNvqobA8L9inznrLZ/mDgGvo7yvTNb36ze//735/1" + "CU32h5vmPkHWP9oEbQN7gv3cvvjFL+a2FuUMTuzF8uEPfzjDKy579CZ6mn1a4jaO/txoo42ydptq" + "F3tyLtMZ/ot5mT6mDwi6KKSDOksb3HbbbVX7RNo7vLfCCisU9n+L0x/OiUfqlDXXXDOz1XjGkyVZ" + "u/eD8eyVBRZYINvfbr755gtBZMdPf/rT7p577snOl112WUedRG/5JeTu85//fDZuC/WPh1796lc7" + "9sKj/S+00ELZe/zzxE9WDtgysR2JTl577bWzcMvGeH3qS4nvDjvskI0P2c/De2xnuvPHP/5xng/G" + "RptttlmmA0l7LLRx6s7ll1+et0mep8+lr6eMm9hpcdhTe96U4ejjeb8R0NDstgd06N5b3vKWPHqY" + "RfkMrJxXLEP35XNcn3766XlYVScsefAKKSlMP/AZeGVXFdxYfuvKw4LE+wZTwMIbVoU8+QFu4Xew" + "9kqq8EzTC99BDLwBM/BE1AAvD01G9bCAoZb1xCsLLaqx3JMeFt7oG1DfZJrltSfpBn6QmpRmb6xU" + "fqlFhs017S1llsEbRQPfSdemlzCpY2eccYaa5lQPC/SDNyKG4iO9MbP9zW9+s/CM3yR24I3Rwj0t" + "39xjP5WyWY2mHhZdYy9n03ynPvAGR1K+wJiZoBSZDfoxJZ+T1keBe1wvt9xyy5RsDLwBWHivbC8j" + "WX/iuOQ5/aMfRCbFP5MPsY9RSCt60G8cnV+H+/GRfjIW9IQnOSrfCe+js9gLKUV++MMfDuSXHUI4" + "8dEbuwO/wWYhfr/p5lAUTXVNCMBvuFgImz60TNCnnkguPB+nVZ77gYjquXXVVVcVwkDXSJG2nR8w" + "D/zAL/uimIxHu/aDGBnk0HVqGdBnsZ9WXJeo7+OWNvpItmm/6ebAT/wk2bd+cJ3NCqfke9r7BFn/" + "aId4Y0ndqdW93XffPfPExC7Aq1h7Jr7nybaB3/i9ElZm5D2xmVROcdj099I+IW/owvg5ztEzZcKY" + "xpM2Q+9gOwVBV8ow8VqWEtuA5J2wGUPId+U1tlzwMPAD+SQsyvRAn/pS2pOMM/0kaFJ6zzrrrAwu" + "7GlPRtRiQpngqTGXZCKWhLRRvlKpUMFTjIC4IeBuWSY0DozA+PmUcyrRY489VhbsWO53RViAicRA" + "Ls/QBnsYG33LqISFnzEayptnsvtOdnL4krCQ5VB3jRFRJQy+68Io+93PalUFPfj2t7+dpLBl+JSJ" + "lBTCAt0AuSnDoz3T+cciO5hUcjKE/YEPfGAoTMJv0in2gb00ThnohDSnHDHOpLET4zab9GOcr7Lz" + "Seuj+iQsIJhT6oh8ZpL2/KEc40EmhEKVfUC/HQu6f/XVV2+Mg/cuGPgvbMVBFc69Z1krXRiwHgdh" + "gS5rgwWTDFLaEBYMcprq5ar9srz3baPw5CTNbCEsQp1KPXovPLWvC2U8W/oEObbwHk6NJnK8p8Bg" + "//33T9YdEAjxJErAkyODfo0sSC0zTSczgJbvU8fLlmEwOSaf9x6/cTKz9Mtn6ggL4sR2lO+VXWO/" + "SXut7Nlwn33wpDSxzeJ3UwhemT7Iria6i7LRbNeQH3mERJ5LMhGEBTMTFCx/skD8kpD8t8997nN5" + "2UilIt+DvfMuWgPvEp41Cq3S0GDKPCLKWD/vijNgjwbSQmUhHhk3aZ4k6YqwwONA5pXNKmORuIF7" + "1cAnfneU87aEBQPYI444Yihf/rOmoySn83erCAswZhYK8oiZrZjFjsurjIC57rrrhvLPe37pwwBc" + "mT31yyOyPT3KjH7v5qbm2btBqgqbdkN7J1zSDd5xWsM5CjyWOsKCtbLMBoX3wxF8JFlBuLKDCc+H" + "o1/6MfDLQgZ83YbZk3A/PlJ/pKR2in1hLwmLOL2cgxEDCr+sRy0fnoFoKhPZzkP406gfy/IY35+0" + "PqovwoLZvlCW4Yh+Qf9g/BIv/Z9shzzLc233hYix7uo8JixCXsqOp556ah4thIM2C4m94JcvDPDC" + "8p+VLvXmlCR+CBivJTCSaSAu2iLv0a40myK8M9OEBfv2aJ5qpIcBBLqP2V/vtj2UL55h8BZLG8Ii" + "5D0c6YPQM5BDZUQKadaEGcmyMsBepAzQ+Vr5h/h5f9zSRh/V9Qn0wTxDXSzzJvDLOUuzPlv6hLqx" + "BeVPv0k9SdExPO/d/jNcy2yzY445RsVV2rWhDjL2gFhGb6GPaX9avaataGMc9FcIKxy1wa//DPHQ" + "c+RBkrJtPCxCvPGRwTr1T7Ph4ufCebBjsFXDvfiojcVSbTNZIG0IizgtnAe7F6zpT+Tv8pryw3b1" + "WyGU6iRpI8t0z6briSAsYkBlZ93ks6ahsP0ay6FGylICreOlQUrRGEjYZW3AxxcrUF4h7nDEbWlS" + "pAvCwu9pMJRH8spmjLHImVzNwIqf7+pcKnaUNwNt+YebGBt54q6HYvTrxobyRR2cNC+ZMsICg+3h" + "hx8uwAhBJPGgrDAwNZGDD7ArU4J0VNpSFJS5JhiWoU2EI/e0ZSRygzmel94bMq0spQjCplbMhoR4" + "whFDSuu0ea+MsKAz0Vw1/bpRdUCB23AsqZ2izE9X2JcZp3TsctYBbD7xiU8M4YZe02S26Uctj1X3" + "JqGP6ouwOOiggwr1AIMQElATdGtoY+HI8r1JkbLBBHoQF2javt/7IRucxV+eYtAa8hOO22+/vbrB" + "I21J9iG0YYhaKdqgHgJEGv8sT9RsCtKi9aepukamJ8UApzwDBuFIn+PXasvgsnuS0JaTQqMQFuBK" + "3y11OcsNNeMfm0UK5RjyEY6UgZwNZgAGgRGeiY+kY5IkVR+V9QkMEqlDUrCj43xzrtU/3ptNfUIV" + "YcGy5Hjig3OIM4lTuMa1X3ouaCQAZSBF82im7ZUt18TO1+wtzZOcNqSNh9CJQbDRZHum7muTU6MS" + "Fiy9i3UwaWCiKOAojxBq0nMbnDWiMS4vwu1TX1bZk9iOsUDuS/svzieeLTLtkOXxM5z7/cniYGf1" + "+awjLHC5LBM6NlnYktlksCcrEQZJ1ZcMeEcaI7wjK1tZuvq+35awoBNHKcDuSdy4xtiTIo1EZtFn" + "QrQBupbmunsoQjmgm4n018WhERZgTedaJprhLY047csvfjO4siDz+8wYxFhqhgxkY/wM5xiHVcKM" + "nHwn7shk2wyEBXWVNMh3MTqrvu5R1sGUEaWkXdMjkrBJ6RT7xF4zTjF2pLEfygIdphk74fdwnI36" + "MeQt9Zg6QCgzfEfto0hnX4SFdD/2G05WwsLsT9zmJMFY+XLPP8q+iHQygKgSzSOMQW6VQDDQtmIc" + "sAdi0do6A50yKWuPmp5N0TVaPHWEBWmQdR1M5eA+DhujPMaB86CjeW4UwsJvBh1HVTjX4pV7Z2n9" + "Ef1qlWhE7mwiLCAkq+xU+mtZnhKv2dYnlOltWZ8CDjxPnZA4MXlSJniqxM/TzqSwp0H8DOd+80v5" + "WOEaXSTJu7Iv9D300END6SYdhIFoHjNhn4VCpP5iFMICL4gyG02bgAJryBxNmFSWmGGvxdKXviSO" + "MnuS/ZM0KdtXCbKiTKSdVtc/lYUzjfdnFWFBQdYJsytxhcaFPhY8I+LfOde8MOJ3OIctk0rL73wr" + "HxvLtUZYwJziNaL9YXxJpScxQbFps+QSAzmQ6wuArggLvC6kgusrzU3C1QiLqnW6hI1hKcsR8ikW" + "uakbz5cNauP3cAOO64TmgqsRJnWeK8w2yjrErEYQjbCgs9SWlECoYUxVidbBUAfqRBpyMPuxpHSK" + "fWKvERZlGyyGdGuzZHxOK5bZqB/j/KWcy0FcGbmlGb5d9FGksS/CQnoLxEslNGz8F0QyrybaA4Zx" + "1TIi7f3/z955QFtSVHu/JjEkCQZQPnmiAoaHDCr4FDFh5AEiT3EBygNBERBcCAqCAsOQBiQ9kgMD" + "DEgUZECCIEGCpAEGycmRJBkVFZg8c7769VB9q/fZ3V2nT590p/Za93Z1n+oKu6t27frXrl2dfCYB" + "C+SKXO2U+bOF1JdrfGvZB+Q73DMp998jzLjrCMs++bu0ynJx3VWbYHcTsNBAgBCdRjo+x3TeUVXA" + "omjy59Jm4uPzWO6zZ3HK/z2kPdCH5RjKe/1EofJIGxNwxlxELFj5PCMMT3wabmOCJre1rQU+D+Qk" + "Ej5JCwA/PpbJkq/oMT5JnS9k7OB9OceZMGGCn2wmLMcRykS+OGOV5QPAyKOqgAV9q0i+SmCHMml+" + "OfxySSsLOSaF6GZ+ei5cBvAST9MnjzvuOJeEepXyhf5ctEDO9mT/22i6t5rRMHg4rAALzUmf/EZS" + "IZErvjQuvzGw4h5K7Evy32WfWD+QBlj45awSpmNqJCebZSsYWhpVntUFWDhehJ4iU6WsVd6Rgxf7" + "CEMIXyuuTlwBqCSxwgJIw8qvtg1CxueePbR+ulp5pPMgfECEkD06rIEHc4AKlGbfJF0CFpRDKqqU" + "C+UshLQBhtXQMmJ1wq8/Yd8yJ3RQ7BTvpXIKQFlGDJKyTtL8dDjKxzK+yN9DJwia4lvHGEV5pKKZ" + "t91Lll3uTZcgFmCdbAPskXarbjK9fr6XgEXZpIO6SOATU+VQku3CX1WTk3hpgbo1+OUAAEAASURB" + "VJGXBxYh/vfoJmCBDPbzhp9lADD1oN4sBAF2EfZBoqqABebhZSStISTwLK2HcKQbQnIMHS6ABeBk" + "GTH2+m2AsBwfh9uYoMlt5G0RydOEysZb+pHkqxxryQ/+YzWAnqNtxdDKJMtSpguFOL6krUigys+7" + "KmBRBIKQvtQztfbnl4Ow9H9xySWXZKKE6maZl+xNVcBCbtmW6coxuWxskH7PQvqxzHNQ74cVYBHS" + "oUHnfEGBSatPcuWU37GeCPmTA2a3tkP45dfCdQIWKC15/g3IWyqJmiMfrYztPpOABUoFxzppf3wn" + "Js+UTZry+m2jn/ZjS8AC4C2E5Kp5VWWLifUTTzzRwCwXRVCi2BqwJ5FjkOF2SQIW/vdy4VYQZwlY" + "aIBOXpll/fyjwaoOilpeVXgvAQsG8RByPHRXqZwOR/kYwhc/jpyYtmJhUccYRVk6BVhgteW+vbzi" + "/4TJm+bLyedPv4TlWBQCnku5xgpfyNhPHAnQ+qC33AsOKBtCHO/sf4duAhZy1Zjtde1SVcBCAmta" + "OQDWfF7JxSi5mMJkKIQ4AtVPt+oYGpJXlTih8kiOCaG6meSbD8xT3uE2JmiARZnMk369QiyC/DZF" + "WAMsQtoDCw1Yc+BzhPmK/F5loCuAomxDsmwPPPBAYVGqAhZl1hKaE+i87SOugHIBq5eARYiskA5D" + "yxa6pTyKgIX78j24yo7TijL4yiuvlJZYOhKSgIVUOmTHbeWeyVU/ULuABfX4yU9+0rj55ptLqyM7" + "HytW3SAJWKCshhKr5UxUtW+rOU8LTbfOeBKwKPLW7eermR6iXOcRyD9+LpgQcdwmzt8QiBpv/GcS" + "sGCS7f9OeNq0aXnZBj8PASzI67777gtKUwIWrezBlx6//cG3CmBRF++puFROy1YyHLOksiMBi+Eo" + "H13dQ6+9HqMoZ6cAC5T1kD4GDzD1xxxcmjKH8rHT8SRg4fdPLW9NZkkZ1so9wLgj+R4T9xBiy43/" + "bjcBC9nXtdOQQurgx6kKWCBPy0g6pPNXKrVJ6MMPP1yWZPI746X/DUImIUEJ1xQpVB7JMSEEwKOI" + "EpiXgIVsJz6vWg33g86stZUyPbAMLNM+tRxrywALtvgC3LEdl0UjZIEEQjV+lwEWlI1tE9q7PCO/" + "MqoKWJT5S8OHkl8uufVWK5e0ZuslYBECJvAd/TqWWZOh2/rxQ/LQ+DSIz4aVhQUKRxmVARZSOPsN" + "o9VwvwxsGmBBp0AJyPtjkK6iiEov3CECpuybhfzeDmBB+rQdfB7Ibxwi7EPK124cCVhonp+1PECj" + "ZZ00nyx8a1YEpZIv3827l4AFA7yMW+YwSiu/fBYymSJfLGek932ZFvcSsECpC6Utt9wyU0eseRy1" + "AljUzXvKIJXTon2srsxcpRIlAYvhKB/9+oeEQycImuJbxxhFGTsFWJA2K3ZyH7Tsy/49bYZVobKV" + "L9LuJklZ5jt/1Mqh+Yzw69lq2IGfbKeR75at2LryyTJ1E7CQQDXWHu1SVcAiRJZLwMK3sNAcJfvO" + "nMvq5X+/ftHrXJlD5ZEcEzgRKISkzJeAhfzd51Wr4X7grSa3/W2pGs8kYMECXxnJsTYPsMACAv22" + "Kp9DdVi5pZ1vF6q/VwUsALyLSAIWIQuR/QRYhFjsSsCizE9QBCyKWkyXfwsVvppQCVGYygCLVgVs" + "Wfwus0/NTgMsQkws1cRKHkrBDX/K9nCVJJmYyuEsEnNkfBpo37ldwIIyMJmQiHWIwCkrfx2/S8Di" + "uuuuC05WtlFpfYBCLa0F5Dv+PX1UbqWRgIWcsPM+7bBdygMsZHnIL0QhqxOw8POT9c9TxDrBe3gs" + "lVP2o4eQVKIkYOG3gzrCIWXqtzi9HqPgRycBC9JHxrIlTutXed8dU9wiB2qk202SgMX06dMLs5fK" + "cV49Q587cIEJh3ynzNmhK6h816XpfucaKmv8dwjjlNIvF2OoT3IsLDqlw3+vKFwVsNDGfJmPBCx8" + "CwvN51DeBFGmy73PpzxZrr3XjWeh8qjqmCAnyhKw8HlTR7gbPCvKo8rcQuq9dQEWAJtyTC7iMSCj" + "/F4hgAWAjAQoXT5loAK8rApYlFltS5ncS8CiTF7CB6lP4jenjCJgUcahod+HlYVFyKBWBljIPayY" + "UjNQV/nD024/UDcBC8wsnaBzV3jeDk2aNCmTJgJcAi51ABaUUTqd6xflRAIWoW1LKrx8E/90F1au" + "5DYe9924ogxxhCkr9JjvOWVF7nWXgAXgj58O4bJ9kCFtRAMsUFQ52UTb1gPAVURygNljjz2Komd+" + "k/n5Juchk4hO8Z5CVlVOpXIkAYvhKB8zHzXgJnSCUEXxJfuyMYo4ErBg61YIye8n5aiWBr5rTjrp" + "pCaHlLJ/c68539XS7MazVgELTu2QdeJbVBn7ecfxFr1EpovjtBBC3vrv1glYSN8DErCQzusYh9ul" + "XgEW2njEXvAQkvpTv+gEruyh8qjqmCAnwE4HcPlLmTLoOnMVud0JwIKxV35bXxYAMOBn5rDDDmug" + "j7ltK9I5ZAhgIfVePx++f9E2YtrB4gBYlMlL+CD1SbZLlVEELMo4NPR7BCyE003psGXixIlD3BrQ" + "kBxwEUZOmepEleTKTAjKWFQObfVfruTVBVho20JCzLiLyl/HbxKw4Ji8EJLmYww+PsnJEW2DOJj/" + "AnbkkZwwScCC96QiE+rkjL2aKEU+sOLKIQELFAVHDz74YEa5py5lW0PkAAM4E0pSkfNN+UIAi07y" + "vqpyWgZYDEf5GPq9XTypRLbiZ6kOUJ1yyP7Hdwkh2WZbHQdQTG+66aYEEJNy3im5+F3oB2oVsNA8" + "94cCC2X1lW0mdHuFnOCHABZ8hxCSTjUlYCEVdHwahRB8pE9oFgyyPshzSVUmjKRRZGHB73IFORT0" + "l8e7RsDiz7AzpeE2JlRpf50ALLbffvsmfYZFEqxri469BDByspirPN43/XBvBKQO5L/rwmWA+CAD" + "FtQxhMrkJWlIXkbAIoSz4XHCvlR4em3HlAN7t5VBafZT1lH9Cv/zn/9sYMIEMsqKb79QtwELOblG" + "ILDiVIWkR1zS8k09XZp1ARZy1VxO8F1+3b5KnoZ6bJde5qWCKAdFJiFyVV2rK0CeG8y4AgxIkkcE" + "hq7Q+RY1KId+XSVgIfelsy3DLxfhIv8NcoBBqQ0hrU/5FiQhgEUned8pwGI4yseQ7+3H6fUYRVku" + "vPDCTDsPOQWG8Un2DQ2wePHFFxtM1MuskxjjsLyQaZ5wwgk+u3oWbhWwoKAAr359mASHEn2eU5mQ" + "DZI4uchPd/z48TKKeo9zZf89DbDQLENCgDFZVwlYHHvssZm8Q3Uh5KBfZn9s6CVgARjtl4sxNYRk" + "G4+ARRawGG5jQr8AFhJcBhhiMaeMpGVUUTtHVsl80IE0PYpjivNokACLTslLeCP1yQhY5LWYas/7" + "HrCYMWOGWrMqQoWE5KqmPCVEKggMcCFH0ZG2dPaCqX0/kDa50hTVusoKv3zFgDAT4aIVey1v4mur" + "eNqxqnUAFkzUZbnlBF8rZzeeScACpanM8oOVLrkHHaeoPsnByvds78eTYQnsaHsL2cfp85OBMESR" + "lis2mDw6KgMsGDjlShplyDP/lQMMcW+44QaXXe6VCYdfNyaxPoUAFp3kfacAi+EoH/3vFhKWgEW3" + "xyjKyBG6fvvDmqmM5DYu3vfHAVackSsuXfp4CMnJeOhKfEja7cSpAljIVTRkSYjDR/aAy/7sW2fK" + "FVj4XOb0UZPfGmAhT7Hg+6GUF5G2VVACFliMubbgrsi1Mjr++OMz7/lKey8BCxzDunq4K+BcEWnf" + "td8Bizx5VHVMkO1abgkZbmNClbmF7N/t+rCQznZpr/SdMkKmuLbtrnvuuWfua3LOwjvo1+iWEtDk" + "t7y50CABFp2SlzBZ6pO+7Mv7CHFLSB5nmp/3HWAhzcj9VUu/+FWECu+XARbs13Ud3V01JcEvC2E6" + "uYvvrkcccYSM1pP7bgMWVJKJr+ODu/Jt8dYdQghAiRSTjrb1gPTaBSxQ4LSJbr+sFkrAAl6UlQ2r" + "Fsd7d/VNnDUT6JNPPrn08+DLwqXnrtqESQpv4pYdY6X5QPFlQBlgQeG1s7uZvNCmJGllZAJWRJqy" + "L1cxygCLTvO+qnLqT1j5XtLaZjjKx6Jvrf3W6zGKMtGPXd9zV9pyHmENoW2t8wELzZotz8LRz0eC" + "l2VyyX+3k+EqgAWnZzl+uqu/7SyvvHKSzrs+b7UJiA9oaOlKUIo0NV1E88+w//77a0mmz+Q+d9KW" + "gAVbOqQ8YK97EaGXyf7hW9b1ErBgG6msj3+SiFYvTn5y7cBd+w2wkPz2x0u/TlXHhDLAYriNCVXm" + "FnUDFtLZJG2PLa9lpPmiyGvjZ555ZlPbPuCAA9IsON7etXl3RdZrC2WDBFh0Sl7COKlPRsAibU61" + "BPoOsJArwr4jO7/GVYQK75cBFsTRJttMSLQJD/GZhEuhTgfXTEOJ323qBWDB95ErkfAEPvFN+T2P" + "ODdZs6xAUcgbjKsAFkwaUSR5Ny+/shWYvDrU/VwDLOBnnpke+8ilcqaZ9MpJDANS0YoiWzBkuu67" + "anWW6DHff9q0aVrUxGeF7P98F59CAAviS+sOyqhZj8gBhnj8odBr27poD3JFmfhy1akMsKCMneR9" + "VeVUflsJWFDuTstHTgPCUZj7Y/tDP5Fso70Yo7RVIuQtp85IwoxYO7KOdutPqnlPykHqWiSrNfAS" + "Hxf9QFUAC8YErX9z5HMe4ZvHyQ131YB1KQuJm+fLghNNNJ1CAywol7YamrelB1DaldO/SsCCdOVE" + "jPhTpkzhpybCeo7tmn6ahH2rjF4CFhT4qKOOaiof46K0dsFHgFYX6oOM7CcKlUdVxwTZDuVYBy86" + "PSZ0k99V5hayn7RrYaFZSshFEZ8nyC25hcv1Q2lFznsagMqCndxyogF2vsWrK8MgARaUuVPyUuqT" + "EbBwLaSea98BFnxg19HclQFl9913T856d9WuIlR4NwSwIG1tso0ChIJGZ2dS94S1xjjyyCPVCVyR" + "cHF16Na1F4AFdUMZlhMg9015zlGlxxxzTINVLfaJ4qtAEyTuHemvwOefBCx4h4E27y+vXC4vrq3s" + "X/bL0olwHmBBORkcEZTsUcfSRxtkiEe7lQTP/ToTxvcEx2n5RLp5Cpx7n0FTEum43/0rZWQVgb7G" + "pBjFXSpGxJeOOkMBCyZucvJFetTDJznA+GXcaKONEr5iUYEpJJMWTS5oR4aGABad5H1V5VT2Cw2w" + "6LR8lCuGmK32E/XDGAU/UET99koY+Tl16tTE/wQWE8hVyU//HQlYoIz6vxOmzTNJZbxjdQpnuADH" + "2moekydNDvTi+1UBLCindFTs+IEewhF/L7zwQoOtAqxASseULq7m0FE7WpP46DZ8B/hK3tqk2qWb" + "B1jwfVwcd0WeMoYBXPAH8IcDbPe7vGqABRMYTY7S9gAfkI38EZaWNqQvtwcRz88XeS6pqm5X5nST" + "fEhbG2eQe2xF5HvynbU4rtzE7ScKlUdVxwTJCw2w6PSY0E1+V2l/dQMW1FeT2/gL8U/sQB6z1Q9d" + "xbVPeZVb03lH66vasc/McyQgRvp33XVX5pMMGmDRKXkp9ckIWGSaSds3fQdYSAc+fufzB4oqQgVu" + "hQAWxLvyyitzBYBfJi2Mgo1Q6BfqFWBB/VHq5ICn8azsWZ4lgeOxBliUpVn0O8db9oviTR2LAIui" + "erjfGFA1YmItJ6nuHZ5ryqr7XV61AY88tdUX+a52j+MnSaGABe/hTVumyyTGt5SSA4yMX3bPBECu" + "SpB3CGDRSd5XVU5lW9AAC+rXSfkoFbV+Ayz6ZYxiklvWPuXv8vtKwIJxS9uKJ9PJu/e3nNFOeklV" + "AQvKXFVmwRe2h+QR41ge70Ke5wEW6EPy25alJ+NrgAX10CxIytLmd9qR1IN6DVhQH8BnbftnSJ2I" + "A9/6iULlUdUxQepvGmABPzo5JnST31XmFp0ALDSfQ66N8k20xRP3u3+lvfo6j7agVbSFDLDTT48w" + "YzR8cjRogEWn5KXUJyNg4VpIPde+AyxQ4os6onMmVUWowLJQwIK4jz32mIpEys7r36NcaxMY0usV" + "9RKwoM6sLFVVgpmgSqVa42NdgAWKTBk4ouXf6WcSsMAqRU7s/HbowgxWDHxFpDlXc+9rV1ZxUVpY" + "ifJ/50jYPMKfhlSQ/XdlmLQ0B52tABaUBSejMm3fQ78cYOCpZhIu0+CeUz58RcCvewhgQfxO8b6q" + "ciq/UR5gQdk7JR9lu+43wKKfxiise7S2qT3DEss/gYc4mmxlxVxO9rX0/Ge0G/pSP5GsQx6gmldm" + "JupysubXWQuX+aUgr9A+D08lWJAHWJCuBtBqZeQZQKv0g5IHWJA2FjXaSmte+qzqondI6gfAgjJh" + "ci+dO2t1YSuVBAaRT/1EofKo6pgg+0AeYAFPOjUmdJPfVeYWnQAsqHMRGKW112233bbxyiuvNOla" + "zuJL842hbQWR/NYAXN83xqABFtSvE/JS6pMRsJAtqb37vgMsqE6R0HMKFnsMZYfVJjiSPfKYR84s" + "LiL2sSOMpBIt88Yk6/bbby9Kqme/IcBkeVtV3uooPNsDNDNiWTbumRSXTbT9MuXt39PSds9QCLEg" + "QLliYHBty0+3X8IHWGdIrtxcQb1feumlQqWLrR0oMyGEZ3Emhn4eMowZIebmrp9JszomCEWEKfXW" + "W2/dNJj6+QBsFTmXksBX2Youky+pcJGfU6a1AQYzSAATOXl35QTQoG0WWeBQVxefK2XIo07w/qCD" + "Dsrkj5l5CEle0caKqBPyUU40+w2wgB/9NEbdeOONhab+TDSdLJWO1vLGAVbGAW7LLKxoL/QV30y5" + "qL108ze5vRBrv1aJsZOtcLJf+H2bMMo7wHwo0eeLttgBzLI9hG0ifl5lfYFtf5x0kie7WAxirKTf" + "MvH00+Z5ETEpYSW2aEGJ9uLampaWnPwjzyVV1e3kaRVYSRYR4xhbfABq4Kv7xsh3/OdgMQDJSR7t" + "qt8oRB5VHROkDHj66acLq9+JMaEww5p/rNL+8Lvk9yW5FUoromtv7j1kjUacWlYEFtLXab/+Ng2A" + "C5cuV9cX5IIPv+WNAX5Z6PuaVdJDDz2URGO88PMjrPlCk4tBOEgvImndgd+vMpInPRXJo7rlJWOx" + "zwe5HUcrO9/Of0dug5bvyG3W/SiPZJnruh9BQpZZfUl2smGsIDZjx441Sy+9tLEDpVlmmWV6VlYr" + "yIwd5I3tpMZOeMwqq6xiVlttteTvzW9+c8/KNWgZW+Fm7ITO2NVbYy1mjPVGbkaNGpV839VXX928" + "613vMmPGjBm0avWsvFZBMFYZN3bAMksttZT56Ec/aizAlvC01ULZCaqxe9WTP74L7fsDH/iAefe7" + "310pvbz8+e70o0cffdSssMIK5n3ve59ZY401zPLLL5/3SkeeW4XVWBQ8TZvw1VdfndwjGu2AZiwQ" + "aaxPDGMVN0P75NoJ6hbvO1F20qxbPi633HLGTtiMXeU01rFlp4rdVrr9NEYhV+0pO8b6rkjaK+PT" + "+9///uSvnUrSLq2SlIzF9APGYqtsJ3J63LhxZuTIke0kPzDv2glFIq/gsbWiTGQjYxV/VfUS2g/p" + "IWfsyq758Ic/bNZZZx2z5JJLtsUXZBdynLbAOPu2t70tkeHrrrtuLXIcXlBuZDjk5Pfb3/72tsrd" + "jy9fccUVZpNNNkmLZkEWc9VVV6X3/RToJ3kEX+oeE/qJ190si10cMXbxyVgH/0m/tj50kj6Hboac" + "j9QeBzotL9srXXzbcaCvAQtXyHiNHIgciBzoBAeKAItO5BfTDOMAihkgGWRNmc2ECRPCXoyxIgci" + "ByIHFA7Y1Vpz3HHHJWA+4PjHPvaxZJFEiZp5ZLddmZ/97Gfps34GUNNCxkDkQORA5MAw40AELIbZ" + "B43ViRyIHAjnQAQswnnVrZhYDFn/IMZuSUiytPtvjTWt7Fb2MZ/IgciBYcgBaSlhfVgYu8WxsKZY" + "LWHZi5WRI3talNlqq63cbbxGDkQORA5EDnSBAxGw6AKTYxaRA5ED/cmBCFj013ex+17N//zP/xgm" + "FxBbD5555pm4Ray/PlMsTeTAwHHAng5i3vve92bKbY+5TrbhZB6+cYMZvvVxZiZPnpz5mS020Qw/" + "w5J4EzkQORA50HEORMCi4yyOGUQORA70KwciYNFfX8Y69zLWuZdhcoGvkIsvvthYJ5z9VchYmsiB" + "yIGB4wAABP6S8IvjyDosNPbUKGMd9SV+PniO34Vbb73VWGecxjpldlGTq3Uabc4555zMs3gTORA5" + "EDkQOdB5DkTAovM8jjlEDkQO9CkHImDRfx/Geto29jSnZMKA481IkQORA5EDdXBAbguRaQJg+ICG" + "/zvWXjifXXHFFf3HMRw5EDkQORA50AUORMCiC0yOWUQORA70JwciYNGf3yWWKnIgciByoBMcmDhx" + "otlnn31aStoep5iAqJwIEylyIHIgciByoPsciIBF93kec4wciBzoEw5cd9115gtf+EJamn4+si4t" + "ZAxEDkQORA5EDlTmwJ/+9CcDcHHBBRcUpsH2tB133NHsvPPOZoklliiMG3+MHIgciByIHOgcByJg" + "0TnexpQjByIH+pwDCxYsMC+//HJaymWWWcZgFhwpciByIHIgcmB4cwAHmo8++qh5+umnk7+ZM2ea" + "d7zjHYlTzTXXXNOMGzdueDMg1i5yIHIgcmBAOBABiwH5ULGYkQORA5EDkQORA5EDkQORA5EDkQOR" + "A5EDkQOLEwciYLE4fe1Y18iByIHIgciByIHIgciByIHIgciByIHIgciBAeFABCwG5EPFYkYORA5E" + "DkQORA5EDkQORA5EDkQORA5EDkQOLE4ciIDF4vS1Y10jByIHIgciByIHIgciByIHIgciByIHIgci" + "BwaEAxGwGJAPFYsZORA5EDkQORA5EDkQORA5EDkQORA5EDkQObA4cSACFovT1451jRyIHIgciByI" + "HIgciByIHIgciByIHIgciBwYEA5EwGJAPlQsZuRA5EDkQORA5EDkQORA5EDkQORA5EDkQOTA4sSB" + "CFgsTl871jVyIHIgciByIHIgciByIHIgciByIHIgciByYEA4EAGLAflQsZiRA5EDkQORA5EDkQOR" + "A5EDkQORA5EDkQORA4sTByJgsTh97VjXyIHIgciByIHIgciByIHIgciByIHIgciByIEB4UAELAbk" + "Q8ViRg5EDkQORA5EDkQORA5EDkQORA5EDkQORA4sThzoK8DioosuMlOnTk35v+2225ovfelL6X0M" + "VOPA5Zdfbs4777z05a222spssskm6X0MRA5EDkQODBoH7rhjvvm//5tXW7HXXnuk2XvvsWl6l18+" + "z8rN+en9VluNtnJzTHofA/3FgRkzFpjLLptvHnhgoXn+eWNmzTLmve815v3vH2n4tl/4whgzcmRv" + "y3zqqXPM9dcvTAqx5JLGnHrqUmbEiN6WaRBznzt3rtlxxx3NvHmL+v+yyy5rTj755Fqqsvfes80z" + "zzRqSYtExo9fwqyxxqg0vShXUlb0fQAZcsMN88w11yxI2sQLLzTMm988wnzgAyPM+9430nz+86PN" + "qqt2Vqg8+ugCK88WyYxWGfahD402b3lLbwQMcznmdI6+853vWBn8BXc7sNcFCxaY7373uwYZBC2x" + "xBJWjp9qRo0a6uMDW7l+L3ijj2jvvfdmlEj/fvGLX/RR6fqzKP/4xz8au+66a+NHP/pRbgEPPPDA" + "lKfwl/vFnR5++OHGF7/4xYYVqIs7K2L9a+KAVZ4bJ5xwQmO99darKcWYTBEHzj57jpVrr9f296lP" + "vZ7J7sADZ2XS5j5S/3HgL39Z0Nh005mZb6W1i49//PXG7bfP61kFpk2b11TGBQt6VpyBzvjf//63" + "5eWQrki4LnrnO+uTKbTDP/xhbqZoUa5k2NGXN/PnNxqTJ89uvOlN5W1hwoRZjddfX9ixeoTINk3e" + "8ey00+Z0rFxlCe+zzz6ZPnr00UeXvTIQv8+Zg96RlT2zZ8/uWtkXZz2zPilfw+eKgEU4Ey3K1zjz" + "zDOtQH1T0nm233773JcjYDHEmtdee62x7777pgLnV7/61dCPMRQ5UJEDt9xyS2PttddO2tVKK61U" + "MZX4WisciIBFK9wannGvvXau7XPlkwo/zumnd1+Jf/XVhY33vKe5nBGwqNYuI2BRjW/xrXIOzLK4" + "dKsgwZprvt749787A1qstFKz3PDlWVE4Ahbl37vVGL0ELBZ3PTMCFq221j6Jb7d4pJNu0L4IWIR9" + "mJ122inDtwhYhPEtxsrnwF//+tdMm4qART6v6vwlAhZ1cnPw0rr55maLBZR3VkU32mhmY8MNZ+au" + "kN59d3ctLXbaSbcAiYBFtXYXAYtqfItvFXOA/pgHVnzkI683NttsZgNwQgMJttxyZnHiFX594YUF" + "al5a/tqzCFhUYHrJK70CLKKe2Wj0lQ+LQw45xPz85z+38+9F9Mtf/tLYCaa7jVePA+ecc4759re/" + "nT6xgIU57bTT0ns/cOKJJ5oJEyakj/bbbz9jt5Gk94tTgH2vkydPTqtsAQuzzTbbpPcxEDnQKgee" + "euops9pqq6WvWcDCvPjii+l9DHSGA+ecM9fKwCEfE+Ry221LVM7srW8dYVZffWgf6oknzrFyc0Ga" + "3n77jbJyc8jHRfpDDHSdA+wtX2utmebxx7NZH3XUKLPzzmPNUkstej7fNo9DD51tDjgguwf8Pe8x" + "5s9/XrorPi0uu2ye+epXdV8rCxZ0pwxZLg3+3auvvmqWW265TEXsPCNzX/Vm1VVnWn8FQ2/vuutI" + "861vjR560GJo3LjRaXvk1ShXWmRgF6OfccZc853vZMeUj3/cmEmTxppx44bGhvvvX2C23HKOeeih" + "bOHOO2+0fV59DMqmZsx1182zfh+GZMc732nMppuG+6T4znfGmPXWq952ZXlaubeWzOawww5LX7Fb" + "Qozdup7eD2pgvh1U3v3ud6c+LKjHs88+a0aP7iyfo55pGV0CJsWf+5QDZ599dmZVt8jCok+r0JNi" + "fe9738vwLVpY9OQzDKtMn3zyyUybihYW3fm80sKClfVIiwcH2DcuVxQvuCB/q8eZZzb7O+mGlcXz" + "zy/ItfKg/NHColp77aaFxUkndW9/ejVuxLfq4MDf/rawqa9+8pOvN/71L32rB34rpLXF5pvXa2Vx" + "9NGzM3Jujz0Gx4/ScPVhUUdbq5JG1DP7zMJiUBG3XpS7FQuLXpSvX/OMFhb9+mUGt1wR+e7Nt5MW" + "Fm96kzH//vfSvSlMzLVrHOBgiLe8Zaaxi+wpjR8/0lpR2GM3cojF93XXnWnuvnsowsSJozKnwgz9" + "Uk+IPDfddJa54gobyKFoYZHDmJLH3bSwOOmkRVY7JUWKPw84B046aY75wQ+GLOoYTx5/fCmD5V0e" + "XXHFPHty1JAFBPFmzVracAJQHfS//zvLnHXWkPw4++zR1tqnPguOOsqYl8ZwtbDIq2+nn0c903K4" + "CtKzOL/zr3/9q/HKK6/UygJ7PE7DmpA38P4aSt22sMDJ50svvWRXhDrj1rwTfNV4WaeFxcyZMxv/" + "/Oc/tWzafkba8NuanwWltXDhwsbf/va3Bu/VSa+//npHvjt8q7us1JtTc9hj2CrBP/hd5f1BQL6R" + "LX//+99bZUsS/+WXX25JNlXKpMJL/W5hYZtU4+WXF1reVahcD1/5xz8W2j7UegGoJ/XtNP3+91lH" + "m1jWhDi8O+qo7Grl1lvXKytlvVmZ961A1luvee97h4ZTWZT0vh05lyZSEJhlPRYiL+oivO8jl6Vu" + "tDhbWLCyTx8dJEI2/P3v1cr8z38utLpC52vLKUJ+f0VelBGHQ8iTRB58MExnK0ub36UFR51ph+Qf" + "Egedif4oqS4Li07pH8gU5l3Mv7pNzKOoF/IylAZBzwytS9V4feXD4vLLL7erEVekQNU3v/lN87nP" + "fS69J3DQQQeZ5557Ln128MEH29WWt6T3WuDRRx81xx57bPrTuHHjmnxjsC+J/VVcoU9/+tNmq622" + "MnbyY379618beyKH+cMf/pCm8U67mWz99dc3H/3oRxNfEqusskr6W1nAdhRz5ZVXmtNPP91Mnz7d" + "7pcc2jC55ppr2jOeP2B22GEHi9xuYs9oH0J3rbKRlJHzf++55x5z++23p1lRHuI7At1cddVVk9ur" + "r77aXHzxxe4ns/nmm5svfelL6b0WsB3KnHvuuUmd77vvPrsyNbQ09clPftJ8+MMfNh/5yEfM1ltv" + "bcaOzd/X3U2+avXgme3o5vDDD09+po35/LZHUCbfkB85T/n//u//knjaP+v0xvzmN78x9957r7nz" + "zjvt/sVFGxjh/X/9138lPNlss83s3uq1tNfTZ3z7Sy+9NLnn+1I2e9pLwuNJkyaZ3/72t8YqaWn8" + "99gN1/gowTrkbW97W/rctc1TTjnFWO/B6XPif+hDHzJ77bVX0kbTH0RgxowZ5qijjkqf4suDNs23" + "Pumkk8wFF1xgVzGHljE/+MEPmo033tjstttuadtKXy4JwK+rrrrK/OlPf0p4574BaX7sYx8z66yz" + "jl05+JZdzXhrYUrw/Pjjj0/j7Lzzzkl/4dmFF16Y9gn6Ef5v6EdyrzMv//GPfzS33nqreeSRR8yD" + "Dz6YlClN1AZ4/zOf+YzZaKON7P7zr6pnbOMb5oEHHjB24MmcN046vu+db3zjG/a89s8nydN2+MaO" + "4HeIDxX2f/75z392r5mf/exnhnbn0xFHHGGeeOKJ5BF9HxkgvyXt7Ctf+YrZY489zMfZnCvIDiRJ" + "27ztttuSd5Exrg0Qf91117V7YtdLZOOYMWPE29297bSFxdVXz7Nyc2g/8+abj7Zys7jOTz210Bx3" + "3Fzb1hdm9jdvuOEIs8EGI2xbGm3lzWjz8MMLkniOY9tsM8b2vewe2AsvnGvl79CK37bbjrHfLBvH" + "ve+uWB/sscdsO44tWpVbcskR5phjsst9Dz20wPahRWfI897OOy9h+9Ao+2yO7UMLbB9alJrtArYd" + "j7J9aAnbh4bGIZeXnUSY886ba9vJQvvXSN9jZXL99UdYeTjCjt+jSnnm0gu9fv/7s8wppyyqH+9M" + "mDDS7Ldfto5aWpyE+eSTC82b3zwi+Vt66eY6ae9Vecb3/eAH56SvwpM77hhr+Tz0jB87bWFRh5xz" + "lUCHQpeCVl55ZTN+/PgkjH6BfnTZZZelsgI5s8EGGyTy4mtf+1qiKySRA/4hcxiHb7755sxYzViB" + "PEe2op9IuY7sqoOkD4u6LSxalSuopL/97Vxz8skLrO7RsLrBolrih+XTnx5h+9pIO4aMTVb1jzhi" + "jh0DFvlrWX75EWbixGy/sIekWb1gtl2oXJQGfeGQQ7JxNB5iSXD55UOycIstRpsNN8zKQj/vVVcd" + "YcefJa1cmG91iXlWl2gkFlH0g698ZYSVUfmy7M4751v5Od/qCg07LjdSfyL281tdYYTVFfApskSh" + "5YNWh6JnTz+90LzrXbMzUV5/fSkTIiMeeWSB1Q+MlSkjzQorjEjCmYQq3ti1IrPsstZZj0fz5i1t" + "fSV4D3oQtIuLydzFOv5P9CenH9Dn6es/+MEPEn24ioVFXfqHnHegn2+33XbJ3BHfiGeccUZGtqAz" + "o9/svvvuiX5TxFbmYcRjHgehBx1zzDGqjuinM23atIRvN9xwg3nsscfSn9DjmPOhZyLflnIOmN6I" + "UUXPTBMfboGqSEcn3gs51tQ2LERt+mcnMKVFsRPEND7vfvnLX256h5VkP13buBuk7Y4q9H/TwlOm" + "TGlKU3tw/fXXN9jjrqUhn9mJQcMCGmkyIIIyTt49x984avVYU6soNCwYEZQX/LHgicuq6dotvjZl" + "7D248cYbg+oCL1mB0ohTWaxADkrHggCFlhHW6WkmHWvq1cCXRt63dM9pN3ZynRTvL3/5S4P24X7L" + "u1rnqrlWMddcc03mfSvIGxMnTsw8y0vXOsfN5ZXPP6wd7MAVlCb1+/3vf++/3hS2YE4mrfPPP79h" + "gc3MM7/Me+65ZyYNO+m3nr43y43vv+vCVlFu8J6kz372s0HpWMdT6avydB8L+KW/FQXkt7aAQlN0" + "X1ZZwKVhFf/C8mGR49MLL7xgPaRvWviO4wnlsYOu/3rXw522sDjwwKyfBO7zCEOoPffMxvdX6/zw" + "5MmzG5demrUS0PbJy5MlTjmlfMUPSwM/L8KS7OQnE+f88+fYPqSfYsH71EvS9Onzmlb/ZL7ufrvt" + "ZubuA5fphtyvvXZ2JfSPf+wvExasUzhRwNWf63nnzWmwMu4/I9wpC4s65Zz7Jlaht+VfpHshq1lZ" + "LZK9Li5XxiE7iXBJqVcswL74xS+mefjv+2FkD/XznxGui975zuy30/pmO3m1IlfuuWe+ehyubEdY" + "Bzz33AKrrw6VXfPp89e/Zk+d0OJoddtnn6xsO/zwZlnk541lwO2366f4uLLjM8In+s2++2bzcXHl" + "laM+sbSqi6RM5IShXtMdd2T5hz+NXpNdxAzSg+0BAI1WLSzq1D/kvAM5hW4ZosOjAxVZTqPTStmD" + "JVge2QXThi875bv+PXIVndanKnqm//5wCtcn5WvgSj8BFjSwkMbtN7bf/e53hVywq8BNDd1/XwtT" + "BjdZ6gZgYU8TabmMlPvQQw9V6y4FRyf4qmbsPWwHsEBwManUvk3Rsw033LDx/PPPe6UYCkrAwq52" + "B6dv0dikPSDYivL3fzvLboLUSAIWrbZ3HL3SJvPIWi8EA19+eS16nWumJwELa+1TyIebbropLZ61" + "rimM65dBhuE7A5VPVQaSbgEWtA8J7vp1on36hOxq9fuTXihQ6+dVV7hfAAt0FY60k0p10b00I9Ym" + "Rd0CLFCEi8p6001DfRw897DDslsdit51vzEJnDZtKJ2qbYAu6NJ0V19XZE7MJA9ACEebt9wyL2i7" + "SNXyaO/JyZ3betItwKJuOefq6CvdyIoy2evLG8JMYPKII/sAhuU7efearMpLu9Xn/QJY0O+knHBt" + "Xrsyifefa2BEtwALyvKe92TL45dNAgKPPDK/CeTz4+eFd999ltUVWv3CzfEPPTQLlCDjfOJ40Rtu" + "mNtgzJk6dU5jxoz5HQMbXb4cSerXG4ebyL8LL5zT+PnPZyVHrAKMAjZPnDi7ceWVc+1EOwsCubTq" + "uForz+D+qfVb3s+juvUPOe/QylP0jAVbtoto1ApgwdxDk1VFefPb1KlT06yr6Jnpy8MsEAGLNz5o" + "WQNH+bem2w0mU3nWBzSsPLLbSdTOTlqsAoNIWpNu1aKD1VJ8PLDviZUKuzWgCbFjgsJz98eqvaNQ" + "C4trr702t4x2u0zDbhNoMLmWq72u8/mdzOXdab66fIqu1kw+5QsTT1dertTF8cxudWhKxpqfZuK7" + "d2kLCGBrrtWwZlwNmS7x7NabpvR4IAELl6a7slJOOwtdvaIOu+yyS8Mec6sKR9rGa9YWVJIELFz+" + "7go/7LaQxkUXXZS0Uffcvx555JEy2eSefYG0Wz8uYcpCG8aa4xe/+EVjiy22aIpDvBNOOEFNVwIW" + "Mn3/nm9Cn4HwO6MNHPAakO7UU09N+uABBxzQ+NSnPqWWCb8xPlFG2g7f2c+XsGtTXOlXjroFWMjy" + "yHsfxMJaR/7OPbwBRIY31vw7sUzT4tltJ656Xb32C2Cx//5ZZdcpmXiMR5FE+d1ss2JAo5eAhSuv" + "dmXy5lsBYCmgxdtoo5m2H82ybWV240c/mqVOPpjEvPZaewo1e7j9/FnVhQADWLmWkzYXlxXfX/0q" + "Czh2orEyyXR5cqU8zt9ANwCLTsg5xycfsJByANmKHEQ/KLKSQI+RhFWjJnNJE1CchR7kUJ7e5coi" + "06163w+AxYsv6qfL0I5/+MNZdnyc3dhtt1mFVk69BCz8PqCFzzprqC8COEhfDa7v7LrrLKsrzLa6" + "wmyrK+gyFF60SxJwvuyyRSgI1lsbb6znC38BC7Bs6QRRd593AMuybfq/O5797nc1IDiiQnlzA+Y8" + "6AbowltuuaWqY7n+mQdYdEL/KJt3oHcy5wIosW4G1MUdu/1ZcGHRbShgge8dTedEL0UvZD6FDpln" + "8ev0qip6plrwYfAwAhZvfMS8Bk6DO/nkk5vMGTHzYfLlOqO73n///U3NgrS11U46sNyCgNkkHcil" + "565MGnxqxelmCGCBOZZWHyaWGlm/CU1l5H27ny0TvZN8zWQUeNOK000EhuO/u7KqhOWAJJwOaQCD" + "P1l17+QBFmxVsuc5u2jJ1fo8UL8L5YHfrKb5xARdswjxtwi5+HmABenecccdLlp6pSwaMGN9yqRx" + "XAAh63jmrvBec1iLBZFcXaPfIfAl5QEWlAvAj60SkydPTrY22D3X6euyD1CmKVOmpL/LgGY+CDCk" + "EbLA1ZEr/MujXgAWtAfrM6XB97Z+TRKQzneSpQEu8E6znmF7nRyEmWxIOZZX/zqfS8AChY0Jbat/" + "L72kT6JDTLf//OfsBNopjZhDS8LEN29C3Q+ABcrwEUfMtn1onu1Ds20fmtk49tihyQBggyw/95pp" + "NpYYgDVSoT7ggObtJZJPRffXXZfdzvLFL85MLCq0CY/Mm3smGA5AKMqnym+vvLKwaUJxzTVDk4du" + "ABadlHN5gAULLtKEmnGf8cyXi4Q1fQJQXMZDpqCT+ISMsf6emuK6d/247YTlpHCvvWa1LFMeemi+" + "lYl6KULkyve+1zxJZgLrWxOROivuABhaW+8XwAILIyye6AvwEuezvpNcAAdZfupPf5KEvP3gB7OW" + "G9QzT4bL9/PupZUZ1hQ//anOV1lW8qd+dZN0AirzLbrfcceZbYPDrj7oAVI/o89p+hPggxaX+HmA" + "RSf0j7x5B+WwvgNd1dJr3nY0zWo+FLBgUdPJJnflmZwjUQjptoD4LED61Iqe6b83nMIRsHjja+Y1" + "cCZIecQEzjVEd/UnSe49zT8Bg3QRyUknA7hPdQMWrNC7Orirb07v5+3CTIJdXHdlJcSnTvLVzyc0" + "HApYaKs+COIir768I/nIO3LipwEWTLjzTnHAEsHx179qfgzgAzyXAJm0DiBeHmDBoJNHUmhSHmnq" + "qyHL8L2IsACRK2jwUlIeYOF8e8j47l5ae2AxVEYa6KK9I3nST4DFtttuqxU5faatnGhtJX3BBrT9" + "42XyzH+/rrAGWBQpcXm/bb+9vl85ZGKxww7NE4tnn81fcctbOe0HwKLMAz1gg+ThY4/NL/yc+MeQ" + "7zz+eD5/ChOzP8q95lhYMGGQeRTdY6r+6qvNk6GyvMt+32abbFvAXN2nbgAWnZRzGmCBRUUeAWLI" + "8rCaKEnGYRyQ2+/8d1i88cdBF/bjtBOWgEVRWyr67S9/0dt5mVzhPZnu3ntn25Ksn0yT9/sBsNh2" + "W122uvIDNMj+C1hRRACn0kfMLrsUv1OUHr/5/jfgHVtW5Dcou580aQjcLcuv7Hd22pblJ/km4wNw" + "1UHWmXlTf9OsqV1eLIbIPk0f1QCLTukfefMOFozySANmtEWqEMBCsxrBsriItEVgf2GpFT2zKJ9B" + "/i0CFm98Pa2B2xMCSr+tRAd/+tOfNr3z9a9/PdPhGZDLViTtyRyZd+jwvk+EugELOcHFWiCENBTR" + "d0DTSb6GlE/GCQUsNEF61113yeSa7gEd5Aq09Z6eiacBFs78KxPxjRucGzqlzF0l+irf++EPf5h5" + "B6sdSRpgETKRR/C6cnBlcPKJbRX+74Axmimw/w5hbduUdAypARY4Ci0iVur88hAOcRj58MMPN72n" + "HYHVykDSTQsL2iGO8YpI7kNHnoWQXMll+0i3qS7AAqeQGslJAPc+oVRKpZFtEWV03HHNK4q9Biyw" + "hiiif/2r2WHk8ccXv+PS+9SnsoDCT35SziP3rryee24zAOIr6qzesgUHYIM94Kw0+r+7cDtlkGXi" + "Xm6VweIDgMKnTgMWnZZzErBAvmgWc36dpd8uOQHQwM+iRSLSZmIh9RVkel1UF2CBnwONyuQK/cq1" + "U67ImDKrINqWtH7iPUnd8mERWm4JgsJ7ZE0Z/eEPWUsr8pNOPMvS8H8v++ZM/qdMmdO4+OI5jYMP" + "ntUEcLjv9cwzOkjl5xUSxqeHS9O/svUOENiB4ljc3HvvfLsdS5dzdTgk5gACX39irC+bv7Dl1H+H" + "sAZYdEr/0OYdlNttEc77Bho4I62eQwALbbHLn79p+aNbyrmDPZUwjdqKnpm+NMwC9Un5GhjTT043" + "6WAhq4ZScZcTvpkzESSLPGu7K+bZIUTaWGxglsTA7nvZrhOwoEO6srmrtu1BK7OGJDLRc6QJjjr4" + "6tJv9RoKWBx33HEZnkhFqyhffFo4PnLFQsInCVigfBURJmR+eoTLeChP+5Dtkvw0wEIKZ61c+EeR" + "5aF9OpJ78jglJJTklhNOuvBJAyyKTqlx7zLAotCTnmbm5+L5V43vtGdJrQwk3QQsysAHeCK/Y9kp" + "La7ujz/+eNO7vnxy8Tp57TVgIf0VoFiGOD2zQ0LT5KLXgAWOKovo7ruzvhmoa8jEgjTZry6V7qK8" + "in47+eTsZM5PF6ACEEnSnXcywc2CJrxHneqgp55q9jdw113NaXcasKAunZRzErDQLOAkP6+77rqM" + "nMDi0Cf2cfsyCPC7bFLB+5ij++8RrovKJq9+mysKVwUs5Or+QQeFAXwnnpjtG70GLJhEl5H07cMp" + "IaEkv5O2DS80LQk8u++KJQfbeyQBFGD14uK569e/Xl5nmZZ2/5vfZGUm6QO+avLNvY+TYVcOd0Xu" + "zQpnqUsqvTKmy0l0me7Jy0y+pS4nAYtO6h/avKMMCKXc1FeCocgan0IAC+nHR55W56fnh5nXcYAB" + "QAUW/MwfHbWiZ7p3htu1PilfA2f6DbDIM7n3q0on9AdOafbDxN//nbDcm+mnFxquE7DAqaJfRgRN" + "KyTNv3yLAk1w1MHXVsrnxw0FLKQVASgz1hMhfxLEwsGOTxKwKLOW4F3/+xCWE3k/fcIS4Q0BLEC7" + "Q4ltD36ZfBBOtgf2DIbwjThS0HOMlk8aYCH3T/vxWw2Dgl9//fXWgd+Bqhd8bf9hKwNJNwELuT1L" + "8gLfI/43JIy8CvlWWL7Id31HvzKvTtxrgAUO0lr9Q9HXqGwlVE4Q2HMcSnJFrNeARRnQgmd6pwRz" + "ZbLw978vDPqTq6G8W5VwwOeXw4XLLEQ0XyM48WuXrH7b+Oxns6ubrMBq1A3AQstXe1ZFzknAAn8S" + "ZXTnnXdm5IS0xpNOrcu2Drr8nnnmmUy6yKK6SE6EmfS1KlPw/5K34l8mV+TkWfMRo9UV0NH1B669" + "BizKtrFQB+l75vTT5wTJFGQP/mv8+mJ9VZUkz0kXi5UyyxbNgguLh3bp5ZcX2oWVuYnDUfh41FGz" + "c32i+Hlpvk/wSVSVOL1HjvPoOyG08847Z96VgEUn9Q9t3qEtNmn1kFbjEmwIASykfswcq11qRc9s" + "N69+fb8+KV9DDfsNsKCzlhFOpPwOLVcdbr755szvxA1ZQSjLt07AghVwvw7yyMOysnBusf++f3KE" + "Jjjq4GtZmfJ+DwUs5MTZr1+rYel/RAIWGpggyy/zLLOEkCtXWh7SwiIEOHHlksqrcwqLua4sazv3" + "AAc+ScAC9L8KMbkGZDnkkEMagFFY0MiVBK3cgwRYuG+Sxx/NB41W59BnAD3dJAlYaAp6O+Upm1js" + "t192hc0dXxmS5557Zt/tJWARwjesF/zJQbth6TwwhGfEkdYalAMnfJw0UEbyezERdYSpN+mE/LHq" + "6k44PvLILF8ArfJWQXsBWNQp56TML9oP7viKE3JffkhrQrmlVsp7l468auOMjFP1XgIWWt+smjbv" + "FckVrY08/HDYBJhJvN8vtX7dzS0hnBhURPQTv7zthuFrVZLfnLJccEE5AKL5JGJ7mKOqcsW93+oV" + "kEzysew7FOWhOZ73t3wXvYtu5fd9CVh0Uv+Q845W9MSf//znmXJLvbgMsNBk07Rp04pYFfRbBCys" + "vAjiVJci9Rtgoe1Xl6yQgIW0sLj44oszjV8O2DK90Ps6AQtpTcCWhlZI+ktg1cSRFBwIsDr46tJv" + "9RoKWEiE1Be8rYalsJSAxQEHHFBaDZlnmW+CKoAF5QoljrDyy8TgBGlbhPx4rYblYCEBCwkGlZWf" + "c7ElwNZKmQYJsMAnSBFpzoBb4YWMWwaQFJWlym+9BizkalYrjt/kPnVtUrTTTtkVxFNOKZ4AwEO8" + "70uFVfJWOq7Ex0QZ4ZhUptvOPXu0qxATAJkvq48hpO0Ld8es5lluyLzcPRNLuaLNb1hy5JE2GV1Q" + "z5b3piw7IeckYHHDDTc05SsfSMBCWljINDlqMJTkGB36Xlk8OXnV+mZZGkW/FwEWTz7Z7HDTP1Gj" + "KF1+c+2Ta68BCyyrikhzLuqXv9Xwt79d3WJKnjxC3lhPhZB0tgsw6qiKXHHvVr3KE084ZroqsUXU" + "H+fpc6Ek9QsJWMjf/XyqhH39Q847kDOhxKmQfv5yAbcMsMDpvP8+YSzC2qUIWCwmgAV71v0GxHFb" + "kmQDJ36IJYQELKSFhTQBr8s5XZ2AhUQUy04WkLzDZMrnbxlgUQdfZRlC70MBC78+dYT98knAwk32" + "/TgyLMvQb4DFwQcfnBRZmgDLcrd6D7jgkwQsNK/zfnw/LIG1orIAMnFWt4wzSIDF9OnT/eo3heV2" + "NlnXVu+lQtKUYc0Peg1YSHPgVhRDjgv1FXJtUlQFsNCcY0q2S8CCfeRlhIm7X952w/iVqELXXtvs" + "bA/T6RDCKkKW2/mxqDKxYEuJTO/LX55pj/PU/+TWEd7FrN3F58jVOqhTck6CC1iPlpEELOSCjTwZ" + "CqA9lOS7oe+VxeslYIE/FNmm2B4QSv67dQIW8ojPww9vBgnlSRvTpxf3cWSAX952w8ioqiQn+Tjv" + "DSV8jPhl9313VJErofnmxZPjBjKmKl1++eW2bkM++OTiW1G68l2pH3RS/5DzuW4CFto2Guk8vohv" + "eb9FwKLRGAFzbIPsC7InbBi7LzIti3VWaH784x+n9wTe+973GuvwLX1mB0Sz1lprpfdawDowMXby" + "kf5kAQtz1VVXpfcErHMTs8wyy2Se2Ym1GTlyZOaZvJk0aZKxe7XSxxawMCeeeGJ6f+uttxrrGyC9" + "tx3e2KNq0vuqgXPOOcfY1ef09e23397Y1Yn03g9MmDDB2FX89JE1uzT7779/em8dexq7ZSC9t5NA" + "c8kll6T3ZQF7ooix3nXTaKRNHlCn+Jpm1mLA+pMwkydPTt+yKK+xe9bSexd43/veZ+xJEu7WWBDG" + "2FNj0vtWAqNGjTIbb7xx+gr8sad2pPfWyY6xR4Om91pgxIgRmccWsDArrrhi5pl/Y4E0Q1t0xPe1" + "A4S7Ta72JBRjt76kz+wRdeaoo45K74sCG2ywgbEmfWkUi24ba5lj7N5os8oqq6TPCcDj5ZdfPvMs" + "9MYi+sYONml0u43D0D4dhbZV64Q0l8f0yfXXX9+ss8465kMf+lAiT/7zP/8zabuy3BawMMsuu6zL" + "Prlas2uz2mqrpc8o84svvpje+4Hzzz/fbLXVVukj+s6vf/3r9D4vINuj9QOT4QvvjRs3ztjThdIk" + "LGBhrFKf3suAlIv8bgEhGS343jrUM6uvvnpw/HYjnnPOXCsD56fJ2M9oZevS6X27gQkTZlu5uTBN" + "5sADR1q5uWR6f8QRc8zeey9I7zfffISZOnWp9L4osOees21/HEr7pJNG2XFkbOaVnXeeZSZNGhqe" + "f/nLUVYOZeNkXrA3zz+/0Pa/2ZnHjUaWJ5deOs/2oXlpnM02G2HlfXG5d9tttjnhhKHy0iX32WdM" + "mkargU9/erRZYYWsTAtJY8aMBWaNNeZkov7ud2OsbA4ry8orzzQvvTT0+rXXjjGf//wYy+c5lv9D" + "33Iohh56/fWlzNZbz7b9Zej76DFbeyq/VWv4zT1nAABAAElEQVRvG9NJOfeJT3zCWN9JaZEsYJHR" + "bdIfvMADDzyQyFT3yAIWxlrhuVvz3//93+bKK69M7ym/tbRN74sCK6+8sv2WQx+zLlV21VVnmmee" + "GcpZ65tDv7YeKpIr8604GzNmZibRe+4Za2X7qMwz7ca6gDJvfeus9CdNHj7zzEKz6qpD8kGLkybg" + "BWT/P/zwUWavvbKyaNy4mXb8GXpp+vQl7PgzeuiBCGmy6le/Gm11hdblAkmvtNIIOybm5yeyz9xu" + "t90sc+aZQ32ZYXP69KzczLzg3UyZMtdsv/3QOIS6f/PNi96tIleWXrpa/V2Rfvzj2VaPG5LVm246" + "wlx6abF8d+/Kq93K0KRnWMeUBp22jM444wzzne98J42G/unPMzqpf8h5h10oNtaJZVqWogBzJeZM" + "jphn2VNP3K2xFuJm7Nhs27fbZNJn8GfMmOx4hBxEp2yHWtEz28mnr9/NQ3N68bzKlpCi4yBdHTAz" + "tB8h/eumhYXmWAb0L4Q4g3fGjBnqmeR1WljgJNPnj/TkXVZWudLBUWaOJNJJPoNgYSH31nLqRl0k" + "LSzwClxG/vch3AkLC47fDSVpjuscrWqen9mrWBdVsbDI26bC6S2g1nn09NNPZ/oFfNeOZ20F+ZYW" + "V2UnebiySX5rjmux3vLbSZmFhbY/NWS7litTr6+9trCYOjW7PQHfBqGEN3l/VS7EwiLkGFFt24Ms" + "UxULC7mFBauAXhBm2j7fCIfwhbLi50K+e//9i+y+ca43bdq8oD9nlSFPOJBpV7lvh6edlnOdsLCQ" + "J2rhqC+E2EfvyzrCdVEvLSyog9yecPnlYRZEOHv021yIhQXxQ0hue6jDwsIeUpUpL2W55ZZiq4yQ" + "slaJw7YyybvQLSGHHJK1sPAtparIFcr/2GPzE16445ndMaYhdZPWcCHOT/PS1U4DC93egD8av49K" + "C4tO6h/avCOvjvI5FuZ+uX/yk59kopRtCSGydDwfevoaco0T99q15M0UeBjd1Cfla2BKCGAhG0LI" + "ZMiuXmcaYDcBC20CZ9G2IG7Z1de03JzcwdnGjuoELB588ME0H9dRX3vtNZdV4VUTDHYVOX1H+30Q" + "AAtr7ZPhCd8ilDi1gq0ROMbkmCRJ/QpYhAJV2gkRfpvGgaVrR1zZNhVKmNMxkOWZ0FUBLLS9kiFy" + "gzh+PQhrJ5K0AljIc741WSR5RZ6yHHUAFoAvMl1MuEOJb/7QQw+pg2toGu3E6zVgIScIKLw4YSsj" + "jpnDA72vIGuAxa67ZhXhspMwyPfKK5u3TMjyVAEs2Hbhl5fJEBOOEKK++Htgz3pVZ5t+Pji29Muy" + "5ZZh4Il2UgiOCqsSExO/HO2GtQlmK2XrtJzrBGBhLQ0tD4cWk0JPqrLWj5n3SKMu6jVgIcHM0Akn" + "MsRvg1p7eu655i0nIX5U2CLhp10HYMH3kumyhSKUcCAKeJh3GktoOsTjJBa/foQffLBZd9PS3G67" + "rBzAoXI7hFzl2/nlOe64cL7I9svJK1WJYzX9/kmYo4pDSJ62IQGLTuof2rzDWr2GFLshF36sFX3m" + "vRDAgq3KPt9kGpkEvRviuffYfsP2Pket6JnuneF2rU/K18CZEMBCNiYmAGUkLQC0SYLWwOuaWMsy" + "77bbbmVFtp7G5zWdWuBPsiRgwUkHeSSRTu590up+xBFH+FFywwgh18Hc1T/BQku7Lr7mFqrgB+nD" + "4kxrB6gRR3G6+rgraHMISd8HdjtI5rV+BSyoZ4gjtfHjx2d4I4/BlQMVQEjIyj2Do7QkkJYtVQAL" + "u10qU95QR52ynvCHIz8ltTKQcDKJa09cpRM6mTb30gcP79UBWJC25Lf0wUMcjTgj3K8Hg2uZk08t" + "nXae9RqwwImiVCzZ611G8jhUFFMNsJAniQBglBGTG1/RJSypCmDBip9MN8SLPnnL+gLWlB2jKsvs" + "38sTS0gv5JQQuYrqnxLipx8aZmLBZC/079VXmx2i2mE+fT8UAMorX6flXCcAC83nkSbbZJ332muv" + "jPxBFtVFcsKn9c128ipyukm6v/hFFnig35UBoXbobAJBNcBCniRC2oAYRYSVgOz7dQEW0nID65KQ" + "vqzVNwTQzaun5hB30qRykACHqBJ8bud4VVc+jtH1eR7qh0ICy6TRrtWKdFJut0a7YuZeOTbZ1w8I" + "S8CClzulf2jzDrvNI7e87gfAGFlu9DufQgALrDL8dNCBQ+Y90rL7sMMOS7NuRc9MXxpmgfqkfA2M" + "CQEs5HGTTD6KGoLdH5lpODSibgMW1mdBUxn8Sb3GOrlawoTAX62XK7VFFgBlgAX5M6n2Oxj5lW07" + "ACElnv+enAxqgqPoezlelDkzdfFavcoTUfKQzyeeeCJTL+ooHUBqeWtmbhL86WfA4rOf/axWrfQZ" + "bcL/3oTptz5dcMEFTXG0wcp/hzBbiWTaUnmtAljIAZcTTspIDg6uXGzxkiS3fdEn8kiz2uB42Tyi" + "z8uJAmWRfOF9CYyWbQnhHQAKVzd3veOOO/ipkOTASp3p692kXgMW1BUl2VcsCWNJkEcvvdSs4PKO" + "NimSE3MmIC+8kJ82Ew8JoJC2pCqABRNraaqOoo6TzyJiki4V+o02CrOIyEv38cebV4mPOKJ4cgHf" + "JW8mTCgHgPLKUOW5NikKWd0OzavTck7KoTqcbmKBCuDtZA9XTT/zeSDlrXvXj9NOuNeABX1KttUy" + "sFJuS6Dfk4Yk+jG/+X/771/cD/bZpxkErQuwAPT0y0L46KOL+zJ1klvUeO+222zl2qAddsiCBMgt" + "wJoikkclU45WTnXJS1ur3/XXF28NwpINENbnJ9sU25Uxci5Cf/MXT7U6SEf8vKPpgJ3SP7R5R8ic" + "hvmLkydcWeyWFAJYoNP56RDmxMgievjhh5ve8S2Xpdwr0jOL8hnk3wYOsJDbO2gIeZNOOhUfVTYc" + "bUDUGnhdE2t8UUgkkY6hTX5oTI888khTmeXeTumBF+/beROGEMBCyxPzzLz9agAusnPD5ylTpmT6" + "Qyf5msko8EaCYvLYTD8ZyTfqx/sILI2Y5MrvzDtye0M/AxaUlz7mg2OurpjUAWgQx/9jz51PKKFa" + "vHPPPdePlgnL47NIn60lkqoAFvIUHGQCW0/yCLBKTv5dffEpI+mVV17J8IO49CeNsNBwabkrCru2" + "BYv9jHKPt3unLsDi5ZdfbpKRyJIisOOAAw5oqgNtRqObbrqpse+++6Z/9KkQuaqlJZ/1A2DBhFxO" + "Lrhna4YkVrrk5N0plxpgoW3v8PdH++mzx1maV7u0/XiEqwAWvMcxhS5NdwV8yANRUKBZGXRx3VXj" + "Dem3Qnjid+m564UX6nKZSQfldPHcdcaMMJPvVspVFLfTgEWn5VwnAAv4dcwxx9hvkx1TsKDAylRS" + "3hjE+3VRrwEL6iGtgWiz9H05GaaPcZyya9P+VQMsSFuTE2xv0+jkk5sBWfKoC7CwqoLVFZrLX2Sl" + "oG3foE7tEqea+PwjvOGGMxuvvKKDFtKHEfEBPeogzRcRY8fTT+uANTJOk4llIEdIWVmYlHotOgtW" + "lhqxdV32Z+41wKJT+oc276AMWIdoC7FYAO++++5N5cbnmKQQwIJ3JIAMD60TU5lcco/PCrkTQJ6o" + "1IqeqWYyDB7WJ+VrYIacTOIUTxKTI60zoDDfddddDfZg29MPGmyR0OLxrNuABXVgIi/LQwNmSwLI" + "mnO2Yk9JaYqnTbC0lVrMjthuAmpJmo7kxJt7jTQwiLxPOeWURDghBOwpBA3OO9aAIISBnIxogkPG" + "0crSKQsLTKzkd8Bqx3ovTnjHtgRHlF2u/vAuk1nQUpycIeiY4B555JEqT6T1AWn3O2BBHfmWoMQI" + "d7bCADZovMhzGEo7kXzmHksg+ucLL7zQgNf0V2n14t4DlJNUBbC46KKLmspCX6EcgCuOADGoj9a2" + "XZk06wMUa/e7u9K3ARvoi7IemmwCnJk6dWrSz+655x674n5Sk+MmlzbXugAL6u7vm/TzAJggHwBX" + "/GjceOONSbvw4xDW5JPjqZQ9xA/ZHuTeL7r2A2BB+U44QVfqUaI5Yo4/eWyeVIw1wIL5mpw88R4T" + "lxtumNt44IH5jdtvn2flySz7DbIra376kodVAQvS0fw2kPfkybPt2DA/8VHx/PMLGqycrrlmc5ng" + "SbsrfpTjmWearSzchAF/GZiVY3HCpELjIWb53aZOAxadlnOdAiyQn1JZR06gpwFkMwYxxjJ5kBMn" + "XxbV9T1le9H6Zjt5lW0JIW3aigZu0teYmGJxQV/U4ri+nwdYTJnSbNVAOviPALjgD/CPybpLS17r" + "AiyoK3JDps899eMYYwBRqypYXWF+Um8tbqhjUvIror32arYmwWoBnrGdhrUqgA2OsJblgN9lW3eK" + "8pa/aVYz5HnMMbMTKz7GB/zyAO7INku8do55lWVBN/H7mgsfd9xxiQ6HjsCchIVV95u8aoAF+XRC" + "/9DmHa48AAFYqONUHcCELbcbbrhhU7mZF/j6oeNJKGChLQJThkMOOSTxcUcZWfjF558m16Sjzlb1" + "TFfe4XQdOMAC5jOZco0v5CobQy8AC1astU4RUv7rr7++qc1pjvj8tPzzzOWkIQ+wQOgwkfPTCQ0j" + "BJiEStIERy8BC80fgF9HJto+aVuK/PhFYXxZaKtEgwBYFNXL/UZ7BmjLI9nu3HshV/+kGT/9KoAF" + "3wBrobx8abtFIIX/nj0C2C9OGpaORv13aAc+AQL4v4eEZfnqBCzgT1XZRNm1srj6am1guAEWYF5s" + "SZAKbCv3p56q96N206UMktoBLFjhK5okFdUZAKNOhZ5JSlF+eb8BmoTsk5d8a/e+04BFp+VcpwAL" + "+MpqrZRxIXLRj9Pu93Hvy8lfLwALysLWJ7kNK69Na8/zAAvaIb9p7+Q9k/HrBCyoqwRx8sqhPQ89" + "JYh8ygjeaFZhWr7yGXK1TgLY1SzDZL7aPe2GbXB10k477dSy3uL3zzzAohP6hzbv8MtSFmZxLs9n" + "XShgAe81/acsb34/+OCD1U/Xip6pJjDgDwcSsAAZY5IR8uFpeKzi+oNhLwAL2gkdkxXckHIThzIX" + "mdFLD9t+uqxaO5Kdhvs8YtVbWrr46WphjsPEXEkjTXD0ErBA2BQBXpoTVzySF014NZ4wSc2bzPcr" + "YIEDSG0rh1Y/HLzByzICJZaAoZae/0w62vTzqAJY8D4rdK2Ug7iUXaL/2p5G0sdaw6+DH9Yca7Yi" + "B3DgJMuhgQRyG0vRtg7K7BOAqmaa7ddDhpFPRf43SF/KHtIYboCF4yMOz6RiL5VJJvs33TSvIU8C" + "yHNgCR4oj6mTafr3pLP11tmVUVc+d20HsCANHGZ+73vZPPwyaGEU6Kee0s2ZXbmqXFmBLeO5Xx74" + "/tpr9SryoeXuNGBBOTop5zoJWFB2xtlQvQ4rT2mVQRp1UL8AFtSFLSCaqb/fpgmzFQEfDv5zAMI8" + "uu66cLAPSwu2s/lp1w1YUE62erQKhrbjaDOPN2yzkTLUr7sMI38oeyeIrR7yFBKZv7xni02dwLCr" + "F9YGB1irS6kHaPf0Tem4Pg+wIP269Q9t3oH1uVZW+Yyy523Xp6ytABbER2f1558yP3nPdri8OVKr" + "eib5DycaSMCCD8C+KvZsambqrgHQQN0xhP5khSNnJM2yUsq95655jcZ/V3bKPfbYw/9ZDTOR0Pw/" + "uHy5AjhI3wdaYoAWWmfwQRm5zaRoQujywLyrbJLOHi3M3TWzKZdON/nq8iy7Isy23nrrpu8N3+GV" + "RghUBK48Vtf/ZoQBQ26//XYtifSZBJqOOuqo9Le8gPzGtP8iOu200zL145hWSdIxECZwTCYRmDI/" + "V08ADaxUir65zAcwi60Rfh906flX2vxTTz0lX8/cS4uXImezmRftDbIAfwp+njKMhRHfmTYCaVvQ" + "8volJ4DktQ/ajyS2WBRZNjBwwmuIrWN+WTUwQqLvrRxR6sqGGSMOePO+P2XgN7brYZFVRsgav9yE" + "AW7rIMyWfWUNZbdOQin30w9RjrFAOOusOXY/7CzrLHXRKiYmxXjD57QMfE1AEoQoUnhpOpgkr712" + "/qroZpvNtH5TFrUxqeBKnkjfGJheVyHSwVrB55EMA1QAoijNv0qW6jso9ZyoUgRc4HyO71LHdhS1" + "EAEPMWuX/LFzgNqpU3JOgtna9jhZGXn8KKBqETFWIDPy5A/yGafOkK+fEL8ukluZTjutHJhvJe9W" + "5QptFmCO97bYYmgbCBPTffedlfrLufPOLKhA3ywinAMjl/L6DcDNscfOTvquPBKY55KkLGALR6uE" + "vwh8cpQBF2yJ6QQA6pcXmVy2lY9yFDlZ9tNrJzxtGlaixbLWybhOyBS/7H/84x+bnPS7MZ5+iE5G" + "P5b65Yknnugno4br0j80wAIdbMqUKbmgKGAp29/9beFaIdFfXH3dtWwRButz5hx5co10mLM9+OCD" + "WpaZZ63qmZmXB/xmBOW3zOoLspMqYyeMaVmsUmx+/OMfp/d5gZdeesnYfd/GDo5mueWWMxbEMHY1" + "wCy99NJ5r/TFcwuIGGt2ZGwjNXb/fFJuO+Ex733ve82SSy4ZXEY7eTQPPfSQsR3JEF5llVXMyiuv" + "bEaOHBmcRl5EymhXbZL07WTSvPnNbzb/8R//YdZYYw3z9re/Pe+1gXhuLSCM9cJrRo0aZUaPHp3w" + "f8UVVywtuwVhjJ3IJjyxk9eE36uttprhD/4MClm01liQIi0u4auvvjq5RyxYHx3Ggi/GOoQ0Vpib" + "1VdfPbmmL1QI2IHMPProo8b6WDHwH569613vSv6WWWaZCim2/op1cJS0afqedSpr3vrWtxqrCBv6" + "Xiv9Li9n63zV2AHKLLXUUmaFFVYw73jHO8wSSyyRFz3pt/ADGQav6b/vf//7k7/cl7rwg11lSL4T" + "cnXs2LHpt6L/jxkzpgslGL5ZfPSjM83ddw/V77bblrBj1uihBzkhi6OZe+9dYO6/f4GV78bKrJHm" + "wx8eZWVx+7I+J8vSx/PnG/PkkwtsW1lorwttfxph+/NI215GJuWqYRgqLQMRKMcdd8w3Tz210Paj" + "hh0Ljfl//2+klVkjbf8eFZTGcIrUaTnXSV4xxqLTIKORpe973/uMBWRNyPjcyXL1c9pXXDHPbLLJ" + "vLSIX/7yCHPVVUul93kBZgBPPLHQjj/zjd1Sb972thHm3e8eadZdd7TVjfLe6vxzO+e1usIiuWIt" + "zRJ5glzhb5llRnS+AG/k8NxzC8306QtsO7QCxdJSSy2Sb2uvPcosv3z3ykHe1jejmTFjgf1baOz6" + "C48SGfuf/zkqkXPJgy79s5buyZyLPop+Q/9Eb0GfroPa0T8s6GDbSFafZC7j5kTWea+xDjANdVh1" + "1VUTvRYdsK6yF9WfeiHb0IPRD5FtzKeWX375oteafmtVz2xKYAAf9BVg8YMf/MBY3wspG+0+dmNX" + "XdP7GIgciByojwNFgEV9ucSUIgeGLwf222+2mTvXWIVjhAX0RprPfGaMGVGiwwI6LLvsrAxTnnhi" + "yUQhzzyMN5EDkQOLHQcAJI87bq4F0EcmcuVjH2MxpRyQPPTQ2eZnP1s0qYZpO+wwwpx6ajlgsdgx" + "OFZ42HOgDLAY9gwYphXsK8Bim222MWeffXbKasLf+ta30vsYiByIHKiPAxGwqI+XMaXFkwPSUuLu" + "u5ewFg/FlhKTJ88xO+64IGWYNQi0Fnb9bQ2YFjYGIgciBzrKAWkpsfnmI8zUqcXAgzWutaDGTGON" + "jVM699zRZqut8i370ogxEDkwzDgQAYth9kHfqE5fARZshcC8yJHdr26+8pWvuNt4jRyIHKiRAxGw" + "qJGZManFkgM77DDLnH760K7KrbceYUH3pXKtLO67b4HZYIM51rR3iF277jrSHH98+BbAoTdjKHIg" + "cmC4ceDxxxfabcGzM9UqAkLZ+rTTTrPM5MlDcoiXn312Sbu9sNwyI5NRvIkcGAYciIDFMPiIShV6" + "CljgGwH/E+z1ts5QjD0yMFNE6zTOrLXWWpln8SZyIHKgHg5EwKIePsZUFl8OnHjiHLttcchaAk5s" + "vPEIs+++Y+ye3tHW14f1pmXnEeyDPuOMudZH08IMWEH8e+8da9gPHSlyIHIgcgAAYoUVZmbkxJve" + "ZMz48aPMNtsskfiYgEvWzYe59dZ5VqbMN9dckwUrAE7POafYKiNyOnJguHIgAhbD88v2FLCwp3iY" + "E044QeUsDvBwShIpciByoDMciIBFZ/gaU118ODBnjjGf//xMc8step2ZaPjWFDLW0UePMj/60Vj5" + "ON5HDkQOLMYckNtCJCuK5MpKKxnzyCNLWQelJc50ZKLxPnJgmHAgAhbD5EOKavQUsBg3bpy57777" + "RJEW3f7yl7+0Zm47qb/Fh5EDkQPtcyACFu3zMKYQOYD3+PXWm21PnGmNFyecMMrsssvY3O0jraUW" + "Y0cORA4MJw5MnDjH7LNP1nqrrH72cDxz/vlLJidplMWNv0cODFcORMBieH7ZngEWc+zSVN4RgpMm" + "TTLf//73hyfHY60iB/qEA9ddd535whe+kJbGngNtj0G7Kr2PgciByIEwDsyc2bDbGueaCRMWZBzf" + "aW9vs80Ia1UxptQ5p/ZufBY5EDmw+HDgT3+abyZOnGcuuCC75UNywJ7IaB35jjI77zzWHjEpf433" + "kQOLFwc4FnnppbOOrP1jTRcvbgyf2vYMsGjYjb033HBD4mST82THjh1r1llnneTvnbhNjxQ5EDnQ" + "UQ4gwF9++eU0D86tfhO2ppEiByIHKnGAI07vv3++PfVjoXnqqYZ5/nmOMDWJ87t3vGOE+eQnR5vl" + "loum2pWYG1+KHFhMOfDsswvtFukF5umnG/ZvoZk50xjkySqrjLDHn44y48ZFHziLadOI1c7hwN/+" + "9jczf/785Ncx1pnUW97ylpyY8fGgcKBngMWgMCiWM3IgciByIHIgciByIHIgciByIHIgciByIHIg" + "cqD7HIiARfd5HnOMHIgciByIHIgciByIHIgciByIHIgciByIHIgcKOFABCxKGBR/jhyIHIgciByI" + "HIgciByIHIgciByIHIgciByIHOg+ByJg0X2exxwjByIHIgciByIHIgciByIHIgciByIHIgciByIH" + "SjgQAYsSBsWfIwciByIHIgciByIHIgciByIHIgciByIHIgciB7rPgQhYdJ/nMcfIgciByIHIgciB" + "yIHIgciByIHIgciByIHIgciBEg5EwKKEQfHnyIHIgciByIHIgciByIHIgciByIHIgciByIHIge5z" + "IAIW3ed5zDFyIHIgciByIHIgciByIHIgciByIHIgciByIHKghAMRsChhUPw5ciByIHIgciByIHIg" + "ciByIHIgciByIHIgciByoPsciIBF93kec4wciByIHIgciByIHIgciByIHIgciByIHIgciBwo4UAE" + "LEoYFH+OHIgciByIHBgsDixcuNC89NJL5rXXXjOrrLKKWXrppQerArG0kQORA5EDkQORA5EDkQOR" + "AwkHImCxGDWEyy+/3Jx33nlpjbfaaiuzySabpPeDFrjrrrvMMccckxb7M5/5jNlxxx3T+1YDdafX" + "av4x/vDkwBFHHGHuvffetHIHHnigWX311dP7VgPz58833/ve98zcuXOTV5dccklz6qmnmhEjRrSa" + "VNvxx48fb/785z8HpTNy5EgzevRos8QSS5gVV1zRrLTSSmb99dc3H//4x4PeL4o0c+ZMc/HFF5tf" + "//rX5sEHHzSPP/54Jjp5rbXWWmbttdc2u+yyi1ljjTUyv+fdXHXVVeass87K+7npOd9i2WWXNWuu" + "uab5xCc+keRHneumfmoDdddt0NJbsGCB+e53v5v2R9o3/XHUqFFdqUonxvW6ZVZXGBEziRyIHIgc" + "iBwYvhxoRMrlwLx58xonnHBCY7311suNM0g/2IlSw7bk9I/7QSYLvqR1oV5bb711W9WpO722ChNf" + "bvzjH/9o7Lrrro0f/ehHA82NT33qU5l2+oc//KGwPldeeWXDTngbzzzzjBrv9ddfz6RH27eTJjVu" + "px9aAKCpLL6MCQlT1yOPPLLx7LPPtlzc5557rrHbbrs13vSmN7VUju22267x2GOPleZ3+OGHt5Su" + "Vt8ddtih8eKLL5bm1UqEfmoDrZS7G3G7LTfmzJnT1EZmz57djaomeXRiXG9VZnWtsjGjyIHIgciB" + "yIHFkgNmsax1QKVvueWWhlPG7epcwBv9H6UTik0va103wFB3er3kzSDnzeT7zDPPTCeh22+//SBX" + "pxGq/D/11FONr3/96+nk5y9/+Yta736arDoZqU3UqzwDrAml66+/voFsrpKPe2fq1KmF2dUBWJAX" + "gMrNN99cmFcrP/ZTG2il3J2M2yu5EQGLTn7VmHbkQORA5EDkQORAoxEBC6UV/PWvf80owRGwUJjU" + "B4/qBhjqTq8PWDSQRZDfYXEBLN7znvdk5M7iCFgwubdbs0rb7cSJEzO8cgCEu2K1sfHGGze++c1v" + "JhZyRRYYv//973PzqwuwcKCF3T6Tm1crP0TAoplbvZIbEbBo/hbxSeRA5EDkQORA5ECdHIg+LKwm" + "KcmudJrVVlstfcz+Z2vSm94PauDEE080EyZMSIu/3377GWtyn94PWuD88883+OFwZLeEmHPOOcfd" + "tnytO72WCxBfSDjAN/z2t7+dcsMCFua0005L7wctQBu120DSYrPn3G4zS+9dYNVVVzV2G4i7NRaw" + "MBbESO9dAH8NyyyzjLtNruyjx0dEt2ncuHHmvvvuS7PlW+FfI4/wu2HN5Y3d/mHwD3HBBRc0RcXP" + "xPTp0xN/F00/2gf4qdhyyy2bfnrnO99p9t9//6TtLLXUUpnf8fnwm9/8xhxwwAHGbgXJ/MbNbbfd" + "pvrSYC//3nvvncZnLPjtb3+b3rsATj5nzZqV/D399NPmjjvuMNZKyP2cXjfbbDNzySWXpPdVA/3U" + "BqrWoe73eiU3aFvvfve7Ux8W1Iv23QnfJRrPGNNp146sJWXSD9x9lWuozKqSdnwnciByIHIgciBy" + "oGUO1Il+DJe0nnzyyczq3XCxsBgu38fVQ66oRR8WjjODfT377LMz/W/QLSxCv4adcGfqPYgWFlgk" + "tEK33357uvXHDl5p/a+77jo1GbbNaNYSP/3pTxv4HCoj4ljQIM3H5Wkdf6qvSgsLtsCEEpYbWlkf" + "fvjh0CRy40ULi2bWLK5yY7ht9Wz+svFJ5EDkQORA5MDizoG4JURpARGwUJjSh48iYNGHH6WGIi2u" + "E4/FEbCguViLhSYAYaeddmpqSdaKofHZz362Ka5dXW6KW/SAyf4nP/nJpnTwWySpHcCCtABeHCji" + "rvYECZlNy/cRsGhm2eIqNyJg0dwW4pPIgciByIHIgeHFgYECLFBYX3rppeT0APaNdor6HbDAudjf" + "/va3Bkprt8madCce70NWM0PL9sorryT1sWbOoa8k8foVsOC7cCrAa6+91qDN9ivRjuhPXPuJFteJ" + "R92ABf3p73//e0fboHS62aqFBe2OPoLPCTeh5wqgIOnOO+/MxCHepptuKqMF3T/wwANNaW2++eZN" + "77YLWHBahF8vwnaLSVM+rT4IBSw61Qb++c9/NlqV1yF1ZFx54YUXGv/617+CLGb8NOuQG8hsThnp" + "FdltRY2XX365pez7GbDgOzK+10XICvhTp/5RV9liOpEDkQORA5EDneNAX/uw+OMf/2huvfVW88gj" + "j5gHH3zQWIXV6ntDZJVc85nPfMZstNFG5qtf/Wrb557j48EqssYOiOaiiy4aysiG7Ipfev+Nb3wj" + "2VvO/mafDj30ULPiiiv6j3LDZ5xxhpk2bVr6O3tGP/3pTyf3xx57rHn00UeT8AorrGAOO+ywZG/0" + "6aefbvi7++670/fYU/3hD3/YfP/73y/lwdVXX20uvvji9F2roJsvfelL6b0WsIqBsZ77k3zZV+7v" + "sYf/H/jAB4w9ts9ssskmZsSIEVoSmWfU65prrjHWLNo89NBDyV71V199NY1Dfewqqvnc5z6X7EVf" + "dtll099koG6fE1XSs8pYwlPaKPW59957MzyyJuFm/fXXNxtssIHZdtttDX4KJD3xxBOmU23Jzws/" + "B+eee27iTwG/A347shPEpB195CMfMfgCGTt2rP9qU5h6Tpo0KX1OHbfZZpv0Pi9w9NFHG+t4MP35" + "Zz/7mcH3gFVEjT2+NNkHfs899xi7VSCNw++0L0f77ruvykf3u7weddRRZsaMGeljykl58wh/NePH" + "j8/8zP3KK6+ceebfIKvgrSMnk7i3RyMn8sv99pOf/CT1TXHttdemssbnJ3Hpny5P/DrsvPPOSRJ2" + "oqj6sKD/4afhpptuSuSm/33pq9T7f//3f81//Md/uKK0fZU+LOwE3+y1114tp4sMQbY54ptb58fu" + "Nrn++Mc/NnxLn2grlKEK0aauuOKK9FX6qp0sm6WXXjp9Jn1Y8B1o+63QRz/60Uxfgz/wqR3qdhtg" + "7MXnyJ/+9KdkHHbjwAc/+EHzsY99zKyzzjrmW9/6lnnrW98aXC18P+AP5P7770/GA8ZeZKhPdquO" + "QTZZ56lJPv5vhNuVG/iJwdcI/Ze8LYCbZEFbIO+11lor+WPMX2655WT2yT1l2H333Q1jJTRmzBhz" + "zDHHNOkj/rhOv3YyhnGZMlx22WXGjYXkz5ix7rrrmq997WsGuZxHrfqwoGzSj8uXv/zlJB+XR5HM" + "Ig7fDnnNFUJ3QYexC0mJjxnq4/vtoT8jc+kL+CdaZZVVkvdC/uFT7Ljjjkvan98+Ntxww4RH6H6k" + "i05BPEdlct7Fi9fIgciByIHIgQHgQOewkOop40ld22ds2dm0WuWeWcWp0a4Hds3c2KXvXy2AkKxM" + "+88IW4U7qNKsDliFJFMXjuhzxH5qlzbxqJdcyXS/+1dWKe2A7pJpura6EkOZ8N/h55EXtk4EGxbQ" + "aMrTPWBFzirqQWm5PKj77373O5dE07WXFhZ8w8mTJzd9R1f2vOshhxzStOKNlYOMX1dbckzjSEWr" + "9DblI/PlnrZmJ4LuVfValfe0Ez9P6+wwSR9++s+LwprpvlrINx5axTWTtp34F0VvWOAhE5+yWDCr" + "8B2Zh2/2X3Ss6UEHHdSUl1b3L37xi2n+2uo6q8JbbLFFUFrUry6ScqmKhQVlwU+JX29OTPGJ9iFl" + "EWNEO0Tbs0BJIrfxK6FZGrVrYcGKvV8vwhaYaqfYybvdagNYMlqAsKkOsk7c832KTlzxK20nsw3G" + "bC2dvGe0bzuh95NJVtrz4svnUm5Yp9PB+TMW2Ul4Jm93E3pKiD+uwyv6LKfYyHJq99Y5dsOCAy7L" + "zLWVcR3rHpk+eoN1EppJs0hmEVG2v+222y7RPaQ8kHm5+ylTpmTy026o75577tlUXpeGf2UsvvTS" + "SzNxTzrpJC3Z+CxyIHIgciByYAA50HdbQqwH/cyg4w9KZWGL4jfa2SrSCmDBt5YTBN4PISbhfl0o" + "t6+M+IoN8fjdj18URrGyHurVYrSi2Bx//PHBebryOHBFZv744483TTbcOyHXPBCm6qRZls/dh6aH" + "mbdd3WmZP66u/mTW5d2ptkT6dgWuUlmtxZArXtM1lFfyxV4AFhdeeGGm/vSnIkL5dt/KXTWfCi4N" + "DYD0JwBFyn9dgIU8EtWVO+9qraZc8du6yglKVcBCtgu5PYPJpqzLKaec0lbZQ15uF7CYOnVqU7mt" + "NVZI1oVx5IQR3tTdBihnKMjpfxtrbdBg62Ae/fznP2/iif9+UdiuzmeSrQp0WmuHSmXQZHcVwIKx" + "UvOjUlT3ffbZJ1N3dxM6rmt8BzR6/vnnXVLptUhmEUm2P3QW6lRUfvlb0WIEY6w9Cail9GT+EbBI" + "P2cMRA5EDkQODDwH+gqwYK+jHHQY5FCKmXShLNjjDRsHWCdrckB1gyH7WKuSNYNs7Ljjjg2UZZee" + "u/Lc/Vkz7iQLDVzBi30ZcZqFS5crCodPErDw46LYW7PoZCULUEHjA6s37B2VFKrYsPrl5+nCKK+s" + "ePANrKm0avXBao3M25qbNqVHGVntQan41a9+1WBynLfaZI9JlFVJ7qtOmtXE7MPQ9OQkxvGH1VoU" + "4bPOOqthtz40dtllF7U9M7HwASrK06m2RFt15fOvfEtr0pvwf4899mjICaOLy4RLo1BeyXdlPs7C" + "gtVtVhHpY7L901Zc3+Ma0sf8fJErrj7umjdppBzk5+K5axHIISfTlN8n2UfpX45YkXZ1c3m5K3LI" + "/Wa3q7lXmiYLLr67UtYf/vCHiZxkgqfJVOoo22CaQQuBOgALu8Wiid/WXD5TCtneqKvdJpiJ04kb" + "2depbwgxkT7yyCOb6tWuVYjLW04Y3bd313bbAIADstyl5660G/rpL3/5y8YvfvGLJtDexWMs1Uj2" + "FRd/4403bsBrrBgYExgb8gAYAHBHVeQGgL7L113pI+SJjkFbQ37Lfktc4uEXxqcqgIXL111Jl/6O" + "LMaayj2XVzm2Uo6QcR2dSaZFW87zlyHr7sss8ixrf3w7rM4Ar/JAr6IFHns8cVN5KT88mjhxYgMr" + "1zIr3AhY8KUiRQ5EDkQODA8O9BVgIQdeBqgi00Ht2DgmRO1SqNNNlDo5uWEiX0Ta5EluZZETNqdo" + "sMorV65QjLWtFihfkiR/uZeEIqIpiihwOLzyiQmPtkLsr0Jp4AeTsDxLGEyz5SSI+kslkXLISUw3" + "jjVla4v7Hu6KQpan+OEcVW4X4D25wt2JtoTzOtk+yZvJhkasWLs6uSvvSzPsdnifB1j45anDeZ6f" + "HmEJmvkAgB9Xmzw7XvgTJf8duXLJRNWnMuXfxWWS6fLi2sqxpu49wD8JRGDtoVmP3XXXXS7rylfZ" + "V5l0tkJMwLStAZdcckkmGeSPq6O7SnmUeaGmGwlYMLFkUi3/GKdOPvnkhvUPkACBWp34vtpqdpWi" + "Fk0Y62gDAA6Oz+4KcMz4JYnxS9YXPrHVTZLsC8SzvlZktOQemQg47/J31zxLg1C5cfDBB2fSRDbk" + "ORDlO7t83RXA3qd2AQsWARhXfOL7SplF/prsLhvXNQs75HCRc1H5nUIBC74n/UDKIHQqbSyy/kv8" + "aidh2pPjtbvyLkcgSwJ80tLlvQhYSG7F+8iByIHIgcHlQF8BFnJFhxXgMtIUq7J3yn4PBSxI56c/" + "/WlmcEVxKyIUWzcIc0UxkKQBFkUm6bzPar6fLmGpkJQpNqSDsivTueCCC/gpl6TFiF8nVuP89Jjc" + "S9BFJoz/BP8dwtrkqheAhdxeQNmsAzpZhcw9+9ilUsXERlLdbUlrE9Yho8w2c6+tgGrgV1Xe9wqw" + "QNH321Te6RJFpuJMXjSSkzVpvVGm/Ls02wUsbrjhBpdU01XKNHiBhVa7VAWwYDIDiAIYwATH/y6E" + "aSNywmMdlWbiwatukAQsZFlD76mTBKbbKX8eYFFHGwBokN8lz8rN1QEZJ1fSkT8+8c0lv6wTaD+K" + "Gv7617+eeS+v74YCFnI7H6fPFJHcIia3pbQDWGBRkUeAGFIn0ix0isZ1fCZJnrMVRQIksgxlMiuv" + "/XFEcR5pYDDyVhKWirLM/hY7GZ8TuWR75f0IWEhOxfvIgciByIHB5UDfABasBstBynqyLuUsK/Ly" + "vbIJcVmiUrlnsplH2vF4RQ4LpSIAgCFJAyyKBmzef/rpp5v4IIGGIsXGlUEqhyihZSuZ9sSJprzd" + "SqJUYkOdSUoFRFPEq06aXV3lNSQ9Ju9+e8N6IoQkqMOql6S625K0lGHLTQhpFiHsKfYphFd+fBfu" + "FWAh+zTfUNaJMtrTPTLf1//W2267ratGesXqwo/DBEOS7PNytdLFbwewCGmHsg1idt0uScCCfsuE" + "SPtDrsk6+rxzYW3FXZaddtQNqguwAHynDdZF2oSxrjYgtw/wzbStCLIumjUdFmaOpFNExlUJTLm4" + "/hWHiq5tcM3bShAKWEiAkS18RWRPEEnkAuA7QPONN96YiV4VsKCvaBYrfuLSl5TW7vPGda3twjvN" + "Ys7Pk3CZzNLaH7KzjOR2W0B6nzR/QNpY6b9D2J4OkmkjtJMIWEguxfvIgciByIHB5UDfABawkIkx" + "wAWmf0UOmXx2M/j6ygxhBtN2SE5uigAL8pGTMG1FmniYeMuyaisdErAoWoUhXUdYYfjpywlWnmLj" + "3scs1n+fMEpmCJE2qyV8N1YSfUUUpQwQh7RClCXykzy1R6E2FaPqpLkpoTcehKYHn1hFZ0tS3jYB" + "mYf0tI+Heo1kvau2JW01U678a/nzTGunAIM+hfLKf4ewrJ/zYeHHC514+O+EhOXkWoJgsv2zsuoD" + "Z8gBCd5Jyw3tu5Yp/67scjLfypaQPF8jLm2uciLKBKxdkjyV8qPVe8z1NWJV208LILQbpE36/HK0" + "Gq7rhBZtwlhXG5C+AZBdoSTbsDTjZ0LK+Erfy3MOLfNCzvp8ZnzUKFRuAB756RFmyxFWIlWoKmAh" + "LVC0vK+77rpMWTULTm1c1/ynsMUkVDcqk1la+5MLJFp9ZFmlFS0WgPLbaDqSTBvZjXz2342AheRS" + "vI8ciByIHBhcDvQVYBHKRlbvOXKTwU/ztB06Kc7Lr1XAQq4AMXCimEmS5pkSUHDxJWChTdZdXP/K" + "3lp/wGYy4ZNUFrj3iQmt/z5hAKRuEEoJprmslEiFmXKgtEqqOmmW6bj7OtObNWtWcswbW2zkxJf6" + "5E0C6mpLF110UeZbMpFohaQp8mWXXZZ5vSqveglYyL3c+J7wSU4OqCPOAP0+IYEbaZExbdo0P8kk" + "XKb8uxfkZK8VwCIEOMO3jF8X2mW7VBdggcyE33nUL1tCALCQ49of8hR/BJi0S8syn+/SB0JenYue" + "axPGutqA7PtYxeFDKORPOoysAtAwdj7xxBMNthcwoZXlQYZoFApYyFO6/G9Df8e/TSi4SzmqAhaA" + "YWXEmOiXT7PgkuO6H98Ph4IVlKlMZmntTwOfZf2kLxoJmsJ7v8x54JRMl3tpvREBC41L8VnkQORA" + "5MBgcqCvAQtOA2BVHuUQ5RpFxV/x9Ac2P9xtwAJfEX7+hJn8+MTKrDTRl3FcfAlYhCpPciUKXvkk" + "FRsJWNx8881N9cALe50Er6g3prU777xzcjyoXBmRvOS+XwELthWgqAE0oFyzv1p+Z60+eYBFXW1J" + "WnSwb7sVoh5+uaUjyUEELNhq4NdJrtJLnmGlgkd6/x0cyjmS1l20Y62/lCn/Lr12AIsy03LykP5p" + "eg1YAHZgFYZfGCZ9RaQ5XyyKX9dv0sJCgsBF+TB+aYA67UlzSFmUlvxNmzDW0QYAC/z23m5YjjF+" + "PRgTcbqILAE8ZMua3K6h5d8uYAHvZJ/U8qE/7rbbbg1OWipqn1UBiyKAzvEJ/vhlY2yRJMd1P74f" + "ZowKJckfuY1Na39//etfS5OXFmnSygQLNb/MbAULJcBC/90IWIRyLsaLHIgciBzofw70JWDBHlE5" + "YfIHorJwtwELPrM0WWaVzScmtX65mdz42yb8uBKwQDkIIY758/Mg7Ft6SMVGKpM4QPPf15SjkHJo" + "cdgmIh1w+nmVhfsNsABYYDITArZodcsDLOBdHW1J8lq2R+0b+c84FtMvt3T6OoiABRMk+b2crxXq" + "7lsLONNrLCZ8Pvh+QLA68X+Tq4WOn2XKv4vXDmDh93OXnrx2A7Bg0sDEJe8PB3mYb7dKcvUcvpf5" + "9Wk1Dy1+O4AF6fFdtFOcivq/Vg75TJsw1tEGtO1gfhtvNSwdVFIPJvhYXvj9rZV02wUsKAMWcNIv" + "SlEZAP85xlUDJKsCFnJLGuWSJAGLdiwsqF+oH5UymaW1vxDfYRKwkDIT567+d5CAhuSPfy/9fUTA" + "wudODEcORA5EDgw2B/oOsJATJX/wkmGUiC222CIzwBGnF4AF2zZk+XygQU4gD7DnoueRBCzkvvm8" + "99oFLOQktJXVxLwy8Zy9rZI3RffaEYz9BFhg8RJi6ePqyORXTpSLJix1tCV51Gbe9qO87yZXq4YD" + "YEFdWTF134WrW+WUTn+d3xgmgf63JuyARukzJm/rVpny775BO4CFNpFy6bprNwCLEDN3V55WrtI0" + "3v92raQj43JEJn4zcKzIJFZSu4AF6dGGpNUVlhftkDZhrKMNaHz2+0urYXmiB34i5PhWlCZ9Qm6v" + "qQOwgPfwi+05Mv2i8rDtQDogrQpYYNFYRhKw0BYR5EKEK78GCLFlJ0SfKJNZVdufBCwkIMGR5678" + "XKWPiyJ+yROeImBRxK34W+RA5EDkwGBxoK8Ai8MOOywzWPkDFxMFnEbhhJDVNpw4ogiiPPjxCPcC" + "sGASIyelmDpDbBvwJz2UsegEFKnQ+Z7Wi5qXnOiSp09SsZEWFvJIS/m+n1ZoGF8j8vv49yjuTPxY" + "HWHS4ByfoZT68foFsGBVV04s/XICTuCtn7bMXmln+s3EyI9XBFjU0ZbYcuPnpx2HV/QNJRAoT5SQ" + "4JZveVCUrtyPru17lqvp22+/fVGSLf0m+4jbFnH++edn+HX55Zen6cqTc9hawmRH9nft1BESKVP+" + "XUayXbXiw6KOyaorRytXOSnqFGCh8bvddqGdysMx2T7VAViQHhMvvz+2K1urThjLQKvnnnsuU07K" + "zDv4k6jy5/dvVuClTxifJ7R/+hq+ZrD2c8fASp8TdQEW/nfGZwYTXM1/kl9GwozPPvUjYMEYhH4k" + "xx3K729r8+vhh8tkVtX2VwZYyP4GQBRKgMz+t4qARSjnYrzIgciByIH+50DfABZ5pqiYYRaZMWrH" + "ecoVkFY/Q6tON13648ePzwyYW265ZfKTnCSVra5JwILzy0OII1L9AVtaSJQBFpqyimISQv/+978b" + "M2bMaNrrKydhlI/tCQBORZMsuSKJ0ipJTppb2e8q0+I+JD0mST6PCfM98cuhrdC6fORqvDzOzcVz" + "13bbktyu4LY4uPTLrnLVEUDJJ8mrUMVSTvL9CY1Lv5OABd/I/35MHGmH8vv4/gAmTZqUeYeVvOnT" + "p2eeaabvrj5lyr+LJ/tKBCwcZxZd8Xkjvx1bs6qS3C9P2tJMX06gpEwNzVvbFsKEsipVnTCWARas" + "vvs8JgyQXQfJvEkbeQBYWPQdpazpBGDh1w8AgtMqaB9yHHK8AVx31G+ABbLYWYHRTrQ6ANAUUZnM" + "qtr+ygALTrpxPOYq/QwVlVkCyxGwKOJW/C1yIHIgcmCwONA3gIWmzIQoStIqgEEu5Bisos9UFbBg" + "RcgfbAkzQZLbXMq8xEvAIuS4OuojzfjlqncZYKEpq6xChhB5uboz8eJsew2EOuKII0qTY6XapeWu" + "/QJYyAk3ymHeyrpfUayDXF245h1X6t5pty1p24Oc9YrLI++qKaNMKnzCesivD/UrI/ql/w7hbgMW" + "lNFvq5QB8MwHC+QKqjw9h1Xigw46KFMXZ02l8aBM+Xfv+GWgXBGwcJxZdJUr7fDIbd3Jxiy/wwpP" + "9mWsf6S5fF2ABaAm5XV/5N0OaX20CAB2eclx1lkYud+5Sus2JpmhhO8SLJA0q0AJ9jKRDvFDIh3f" + "5k1iWwE68aWC7lC2GMDEn4mv+27u6lvi9BtgIS0n8Qnmyu2uOGEuai9lMqtq+ysDLPgerozuyrcq" + "I/Qs2Z8jYFHGtfh75EDkQOTA4HCgbwALqcwwYIaQXIlmkOP4tXaoKmBBnnKgR8mWA6m/equVUwIW" + "+HQoI+rsBnh3lUfKlQEW5CFNvNnzX0asFLJS7fLlijIolWOeo+iUEdYKflqEOS1Gklx567SFhQbA" + "sOWljLA+kfUBXCqjdtqSplCGgEWUSR49R9nlxILv4ddJcwYn66dNOEMAC21SJdNu5Z5+4ZedfdT+" + "PTJFkuzDWKz47xSBpPI7So/7Li8JWGCxpJH2bYsmHy4N2R/r4KuUF0zwO0VsKZB85xswQW6F4JXc" + "8kQ6HKksqQ7Agr7jtxXCoeObLI+772QbYDuBX154HuJQEWeqsp8ANjiSv8mJtYsnrxLsybNykYCF" + "1r7Z6uWPVWXWjq4s0q+SfyRyvwMW1EFaJ/F9i4CoMplVtf2VARak638fyllmjUj95HGovBcBCzgT" + "KXIgciByYHhwoG8AC3kqiNtOUcRmCSw4JYutDe2Q3BrBABpKclIgFWyUwTKSgAX1kqbKMg0JRvCO" + "BG5kHE1hxFeB46O7ysmqzFvWGX6xMiUnviF8RDGW2xEoh7aC3W3AQnNIhyVDGcn969RHekfX0pB8" + "bbUtyf3i8L/I9JoysJ2KeO7bc9UmV5plU57TSdKlPWjtWgMspPWGtBQivXaI1V+/fjLMiqQktjHJ" + "eO4ePhdRmfLv3pX+PfKsm6pOFmR70iZ0riyh124CFpQJgNDx3V3/P3vnASdFkfbhF5a0LCw5Z4ET" + "EEGiRAEDiIoikqOigoo53Hl3nnfnfefpmdMZz4gRyQqKKEmQqOQcF5Co5Bz8qnpvmt6ZnjzTO937" + "FL+lqyvXUz0z3f+uektfr3rryUid//egrxz/70tdXryChf68+X9udX3WN/SRttuaLpnXgJ2RZP1d" + "Hs7579Kg++n7fNvN3ovEloL/zlW6zGDiaCTfG3o2lW+8fcdgM5ms/fUXTazj5wbBQguq/oKR7n+w" + "vof7zor1+gsnWGjm/jNqQrVTp9d2ouz6hmCh6eAgAAEIeINAyggW/rsa6JvQUPt66zWY/jfLvhuQ" + "YG8mIx0yPQPCV5bvqKeFR+Ls3qb7ytDHUA91vvLtHuz09FltMdzO+T+I6Hr0mnx/53+jbidY6Pb7" + "//jrm5dgIpD/dHldt36bo502lGntu/bbLe3wtVPfBPlv6enL/9577/mSmUenBQu7sQ21tEPfpPtb" + "Lvf1J5KHRbv6fPn1Mdy1ZDc2+sZ727ZtJkOrRwtT/jequp53lG0Uf2c3o0fPELBbdqKXzAR74Pc9" + "0FjL129Brf3U176+NhLp/N+YWuuzs0Xif61Z07/11lshm+bPNNgMC3+hLli5sT4s+H9PRHINhuyY" + "ivT/Dk7mDAtfW/yX9PjGQoutocRVPd1c75LgS2896iVsdi4WwUJ/7vWDoM5rZz9A/7ZFMs3drj2+" + "sGReA7r9dp8P/xl7vrboo913vb+tCf/fNf1dFGrmhv6c+Iunesz075Odi/R7w39M9Ocu1PeLnWii" + "bVz4nBsEC91Wf7tGmqUeZ7vZWeG+s2K9/iIRLPRyLf9x1+eTJ0/2ITePWjj3v1/xfa6DCRZ67LTR" + "a9+fvg+yY2BWggcCEIAABHKdQMoIFqNHjw64kdRvpvSbM30D5XNaxHj88ccDftB8P1L6OH/+fF/y" + "mI56iYO1PO3XP4r6oUtPH7fuIGBXQbCHM11GJIbW/G/srG3Rb3b0W339MKu3RfOfzq7T6h93u7eF" + "kQgWuj/6AdVap6//WjRYtWqVYbNB21jwv5n31e0Tmnbs2BFQjm6bfhDTN3k+p/ui6/R/w2xtwzPP" + "PONLbh79HyKTvSREV2zXRj1l1cpbj7EWZrp27RrQf1+fwr2V93Uy3mvJzkq8HoM33njDWL+tbzyX" + "Ll1qjIkO97XPd9R9CHYzpx94fel8R/2Qom2u6IdD/TZT3zTaMfOltxMs7GZv6O8CvTxJX+/6GozX" + "6en/vjZYj8FscdhN6/flC/WQrNsZ7ubf1xe7h2n9cH7vvff+po0P+1ysDwteESz0FrT+QolvLPRR" + "M9O79OjvB/29oj+f/rONrOn1tqbBnN13nP4eD/Zn9xmy1qX9oabiB2uHf3iyrwH9neDfbn2u2erf" + "ZD0GegmIFtH9t+z25fP/nfS35aTT6V05/F8G6CU+dr9rvnL10XpP4GMT6feG3W5kWmzV18om9SJE" + "f3/rh2Y9w8ludpwWOKz1u0Ww0Jz0b6SVo/br5RT+Ltx3VqzXXySChW6Lvs/xb6c+178v+mWM/vOf" + "9eKfPphg4X8fpPOFEs782XAOAQhAAALOE0gZwULfJIT6AdJvRSK5GdQ/PvqtdrzO3/CY9cdQr38O" + "5bQFcWt6gZYQCgAAQABJREFUn19bHY/EhRIsfGWFOgabxeD/Q63P7Zyevq+NcoWqI1icv00H/bAQ" + "LK2+SdQ3/sHireF223LmhmBhZ4fB107dF387BL44/6O+lq2ijd046LB4ryUtBtlNSfdvj925/szp" + "B5NgTosNdvlChfl/hu0ECzvjnNYyg92IBmunXbidUVNdx9NPP22X3Aiz46g/q+FcuJt/X/5QnxXN" + "zedifVjwimChOehZcHazAKzXSSR+LQhaHz59jH1HO8EiknKDpdFGQkPV56s33NGJa8D/9yJYn+zC" + "/XcV0v3RQrb/59+XV4f7z3zwxdkd9S49/i7S7w19r+FvBNmujmBhWhixOjcJFnpmj90Y+M9KDfed" + "Fev1F6lgoT8j2uZSsDGIJDzYDDW76xrBwnpF44cABCCQegRSRrDQaPTbjUgfYPUPlk6rp6L6bzuo" + "34DE6/RbpGA/isHW0Prq1G+k7W6+/N8k+dL7H/0FC3+DpMHapW9E7B4AfeX7/1AHEyx0en1Tp2ey" + "BKvLP1zXbTdlWN/M+d/8+Of1P9cPjXZv+Pbs2ePrinHMDcFCVxzqwdK/L/p8yJAhxgOW/42i/xvI" + "HJ3730m815IuRr8J1UtX7NoWLExvERfOOKwuO5pr5KGHHgr4rAa7Xv134bC2MxL7H7pt4ZzdzA+7" + "ByFfObr91nZofyiBw5fP//oPtiREP9CFErx8y7JifVjwkmCh2errOtjbff9x8j/XnPX3hxZnQ7lE" + "CRZa7Aq27CRU/cHinLoG9O9rNL/JmrPV0KZ/++2WJfiPjfVcvzjQ4qL/MiC9Taydi/R7Q9sWCTVL" + "x9oGn19/f9stw3OTYKGZ+Rsn1f3zXxoS7jsr1usvUsHCN7b6BYH/76ZvPHxHfX3qZR7+25pqWyx2" + "zv8+SJeDYGFHijAIQAACqUMgpQQLjUW/JdFrC30/RnZHffOnjYDpH03t7N6W2m2rZiSO4j+9E4Ld" + "Q41uU7gb3b/+9a85+hDJm1hf0/wFC730Qy9z8Q/3sdE/6Hr9tl6CEcr533yHurH0laMf4PxvXnz1" + "+o76oSEUby1+vP322yFvfPUDhJ767rOvoN+w+N8ojx8/3tcs4+i/Z3u8a/KjKU8bQfW3OeDjoY96" + "TPRMnIULF5pt1sKFNU2kWzLGcy2ZlSuPfjMYahaTbps2fquFlGjeAmsjlaFm5GhOvlk/elmRlUEo" + "gUA/fNjdrAZbtmHtayR+PePJ2hZdV6jPtd3smkhESP+3uf5vaK1tXbt2bdAx8ok72saGtd3aH2zZ" + "jrVsvTWtNZ+dnRtr+kj8/jPREjG7LZJ6rWm0kKmXzIQSe3z91mn0DJ1IZjfpOoLZoPGVZ3fU15EW" + "rPUyFC1u+sbN2uZ4/U5eA1q41Es0/L+P/fuufwe2bNkStmv6bb7dLi3W8vT3lP4+9l3XermGNV6L" + "DcFcpN8b+ndJi0h2Lxesdel+a4HEuuzPWrcux5pe++0egP1nBEWydFV/H1jLtut3LL/rdssVrQJ6" + "uO+sWK8/fR9g7U8kv4FZWVnGOOn7A989kB4zbcBcL2fxLcnzN9yuxTY7Z2fUU48hDgIQgAAEUpdA" + "Pt009QOSck6tIRU140I2btwo6iFWypYtK0qoECUgSJEiRRxtr9qNRNTUeElPT5eSJUtKpUqVpFCh" + "QiHbcMstt8h///tfM42anihq+rF5HsrTunVrmTt3rplECRaibuCMc2XUUNRDsKj1tQYT9cMtDRs2" + "lKJFi5rpk+FRN47GWCj7GaLeBIu68TfGonbt2hGPh7qJM8ZUj6tmqhnqMa1Xr57BNRntTmaZ6qHe" + "YKH7ovuk3vjK+eefL/Xr15fKlSsnrOp4riW7Ruix1O1duXKlqAcMKV26tFSvXl3q1q0rFStWtMsS" + "UZi66RNlX0KU7QrR16lmoMdW/8XqNGPdTl229usyK1SoIPnz54+1SFfkU2+ART2sSOHChY3Ptv68" + "ZWRkuKLtudVIfX0o8ULUTBTjT/9uFChQwLi+9feU/oNhfKOjxAtZs2aN8TlXxnSlZs2aUqNGDeMv" + "WrZqdwfz90CPmy5Lf3fWqlVL0tLS4mpotN8bui1KfDQ+c/q7S/+eKqHC6Ffjxo09/30TF+wUydys" + "WTNR9k/M1iihUJTAYZ7jgQAEIAAB9xJIWcHCvUjFeFhTb9lydEFtFymZmZk5woKdhBIsguUh3JsE" + "9M1zPNeSN6nQKwhAAAIQ8CIBNfNN9MsNLaDXqVNHOnToIPny5QvZVTXbVooVK5YjjRbltQiGgwAE" + "IAAB9xNAsEjCGOrZFLfeeqtZsp5ZocMidQgWkZLyfrp4ryXvE6KHEIAABCDgFQL+MyX0rIkmTZqE" + "7N6bb74pw4YNM9PoGWl6JigOAhCAAAS8QQDBIsHjqIxFSrt27UQvafE5tVZV1Fpv32nYI4JFWER5" + "IkEirqU8AYpOQgACEICAJwjoFzzK1oXZF7UVqyhDoUFnWdj9Tip7KqJ2qjHLwAMBCEAAAu4mgGAR" + "5/jpH0Vt36JcuXKGXQllmTqHWKEMbYna6jOqWhAsosLlmcTJuJY8A4eOQAACEICA5wkoQ5qiBQer" + "UwZsRRljN178FCxYUBuLN+zUvPvuu6KMjua459L5lixZIspAqbUI/BCAAAQg4GICCBZxDl6nTp1E" + "7RgRtJRYDD8hWATF6emIZFxLngZG5yAAAQhAwFME1A4+ctlll4naTcm2X9qmk3UGq38itYOc3Hff" + "ff7BnEMAAhCAgIsJIFjEOXj+0xetxT3yyCOitlizBkXkR7CICJPnEiXjWvIcJDoEAQhAAAKeJqBn" + "repltHqnn2jcyy+/LGoL3qDLR6Ipi7QQgAAEIJA6BBAs4hyLxx57TP76178GlPK3v/1NHn300Zh+" + "OLUNDOvbBe1v06ZNQB0EeItAMq4lbxGiNxCAAAQgkBcI6G3C33nnHdG/i3rb2VBu0KBBxqyKcMY5" + "Q5VBHAQgAAEIpC4BBIs4x+aXX34RvexDr5nUP7B6G62uXbuKtlIdqzt48KBRli+/3g8+f/78vlOO" + "HiWQjGvJo6joFgQgAAEI5AECeovTZcuWGbt+bNmyRXbs2GFsYVq5cmWpVKmStG3bNuIt4/MALroI" + "AQhAwJMEECw8Oax0CgIQgAAEIAABCEAAAhCAAAQg4G4CCBbuHj9aDwEIQAACEIAABCAAAQhAAAIQ" + "8CQBBAtPDiudggAEIAABCEAAAhCAAAQgAAEIuJsAgoW7x4/WQwACEIAABCAAAQhAAAIQgAAEPEkA" + "wcKTw0qnIAABCEAAAhCAAAQgAAEIQAAC7iaAYOHu8aP1EIAABCAAAQhAAAIQgAAEIAABTxJAsPDk" + "sNIpCEAAAhCAAAQgAAEIQAACEICAuwkgWLh7/Gg9BCAAAQhAAAIQgAAEIAABCEDAkwQQLDw5rHQK" + "AhCAAAQgAAEIQAACEIAABCDgbgIIFu4eP1oPAQhAAAIQgAAEIAABCEAAAhDwJAEEC08OK52CAAQg" + "AAEIQAACEIAABCAAAQi4mwCChbvHj9ZDAAIQgAAEIAABCEAAAhCAAAQ8SQDBwpPDSqcgAAEIQAAC" + "EIAABCAAAQhAAALuJoBg4e7xo/UQgAAEIAABCEAAAhCAAAQgAAFPEkCw8OSw0ikIQAACEIAABCAA" + "AQhAAAIQgIC7CSBYuHv8aD0EIAABCEAAAhCAAAQgAAEIQMCTBBAsPDmsdAoCEIAABCAAAQhAAAIQ" + "gAAEIOBuAggW7h4/Wg8BCEAAAhCAAAQgAAEIQAACEPAkAQQLTw4rnYIABCAAAQhAAAIQgAAEIAAB" + "CLibAIKFu8eP1kMAAhCAAAQgAAEIQAACEIAABDxJAMHCk8NKpyAAAQhAAAIQgAAEIAABCEAAAu4m" + "gGDh7vGj9RCAAAQgAAEIQAACEIAABCAAAU8SQLDw5LDSKQhAAAIQgAAEIAABCEAAAhCAgLsJIFi4" + "e/xoPQQgAAEIQAACEIAABCAAAQhAwJMEECw8Oax0CgIQgAAEIAABCEAAAhCAAAQg4G4CCBbuHj9a" + "DwEIQAACEIAABCAAAQhAAAIQ8CQBBAtPDiudggAEIAABCEAAAhCAAAQgAAEIuJsAgoW7x4/WQwAC" + "EIAABCAAAQhAAAIQgAAEPEkAwcKTw0qnIAABCEAAAhCAAAQgAAEIQAAC7iaAYOHu8aP1EIAABCAA" + "AQhAAAIQgAAEIAABTxJAsPDksNIpCEAAAhCAAAQgAAEIQAACEICAuwkgWLh7/Gg9BCAAAQhAAAIQ" + "gAAEIAABCEDAkwQQLDw5rHQKAhCAAAQgAAEIQAACEIAABCDgbgIIFu4eP1oPAQhAAAIQgAAEIAAB" + "CEAAAhDwJAEEC08OK52CAAQgAAEIQAACEIAABCAAAQi4mwCChbvHj9ZDAAIQgAAEIAABCEAAAhCA" + "AAQ8SQDBwpPDSqcgAAEIQAACEIAABCAAAQhAAALuJoBg4e7xo/UQgAAEIAABCEAAAhCAAAQgAAFP" + "EkCw8OSw0ikIQAACEIAABCAAAQhAAAIQgIC7CSBYuHv8aD0EIAABCEAAAhCAAAQgAAEIQMCTBHJd" + "sPjtt9/k9OnTcurUKQNwwYIFPQmaTkEAAhCAAAQgAAEIQAACEIAABBJNwMvP0CkhWOTPnz/RY0Z5" + "EIAABCAAAQhAAAIQgAAEIAABTxNYtGiRNG3a1LN9RLDw7NDSMQhAAAIQgAAEIAABCEAAAhDwMoEP" + "P/xQ+vfv79kuppRgMWHCBKlbt65nYdMxCEAAAhCAAAQgAAEIQAACEIBAvAQuvPBCw7TC6NGjpUeP" + "HvEWl7L5U0qwWLZsmTRs2DBlYdEwCEAAAhCAAAQgAAEIQAACEIBAbhMoVKiQYQeSJSFJHgltdNNn" + "wwLBIsmwKR4CEIAABCAAAQhAAAIQgAAEXE8AwcKhIUSwcAg01UAAAhCAAAQgAAEIQAACEICAJwgg" + "WDg0jAgWDoGmGghAAAIQgAAEIAABCEAAAhDwBAEEC4eGEcHCIdBUAwEIQAACEIAABCAAAQhAAAKe" + "IIBg4dAwIlg4BJpqIAABCEAAAhCAAAQgAAEIQMATBBAsHBpGBAuHQFMNBCAAAQhAAAIQgAAEIAAB" + "CHiCAIKFQ8OIYOEQaKqBAAQgAAEIQAACEIAABCAAAU8QQLBwaBgRLBwCTTUQgAAEIAABCEAAAhCA" + "AAQg4AkCCBYODSOChUOgqQYCEIAABCAAAQhAAAIQgAAEPEEAwcKhYUSwcAg01UAAAhCAAAQgAAEI" + "QAACEICAJwggWDg0jAgWDoGmGghAAAIQgAAEIAABCEAAAhDwBAEEC4eGEcHCIdBUAwEIQAACEIAA" + "BCAAAQhAAAKeIIBg4dAwIlg4BJpqIAABCEAAAhCAAAQgAAEIQMATBBAsHBpGBAuHQFMNBCAAAQhA" + "AAIQgAAEIAABCHiCAIKFQ8OIYOEQaKqBAAQgAAEIQAACEIAABCAAAU8QQLBwaBgRLBwCTTUQgAAE" + "IAABCEAAAhCAAAQg4AkCCBYODSOChUOgqQYCEIAABCAAAQhAAAIQgAAEPEEAwcKhYUSwcAg01UAA" + "AhCAAAQgAAEIQAACEICAJwggWDg0jAgWDoGmGghAAAIQgAAEIAABCEAAAhDwBAEEC4eGEcHCIdBU" + "AwEIQAACEIAABCAAAQhAAAKeIIBg4dAwIlg4BJpqIAABCEAAAhCAAAQgAAEIQMATBBAsHBpGBAuH" + "QFMNBCAAAQhAAAIQgAAEIAABCHiCAIKFQ8OIYOEQaKqBAAQgAAEIQAACEIAABCAAAU8QQLBwaBgR" + "LBwCTTUQgAAEIAABCEAAAhCAAAQg4AkCCBYODSOChUOgqQYCEIAABCAAAQhAAAIQgAAEPEEAwcKh" + "YUSwcAg01UAAAhCAAAQgAAEIQAACEICAJwggWDg0jAgWDoGmGghAAAIQgAAEIAABCEAAAhDwBAEE" + "C4eGEcHCIdBUAwEIQAACEIAABCAAAQhAAAKeIIBg4dAwIlg4BJpqIAABCEAAAhCAAAQgAAEIQMAT" + "BBAsHBpGBAuHQFMNBCAAAQhAAAIQgAAEIAABCHiCAIKFQ8OIYOEQaKqBAAQgAAEIQAACEIAABCAA" + "AU8QQLBwaBgRLBwCTTUQgAAEIAABCEAAAhCAAAQg4AkCCBYODSOChUOgqQYCEIAABCAAAQhAAAIQ" + "gAAEPEEAwcKhYUSwcAg01UAAAhCAAAQgAAEIQAACEICAJwggWDg0jAgWDoGmGghAAAIQgAAEIAAB" + "CEAAAhDwBAEEC4eGEcHCIdBUAwEIQAACEIAABCAAAQhAAAKeIIBg4dAwIlg4BJpqIAABCEAAAhCA" + "AAQgAAEIQMATBBAsHBpGBAuHQFMNBCAAAQhAAAIQgAAEIAABCHiCAIKFQ8OIYOEQaKqBAAQgAAEI" + "QAACEIAABCAAAU8QQLBwaBgRLBwCTTUQgAAEIAABCEAAAhCAAAQg4AkCCBYODSOChUOgqQYCEIAA" + "BCAAAQhAAAIQgAAEPEEAwcKhYUSwcAg01UAAAhCAAAQgAAEIQAACEICAJwggWDg0jAgWDoGmGghA" + "AAIQgAAEIAABCEAAAhDwBAEEC4eGEcHCIdBUAwEIQAACEIAABCAAAQhAAAKeIIBg4dAwIlg4BJpq" + "IAABCEAAAhCAAAQgAAEIQMATBBAsHBpGBAuHQFMNBCAAAQhAAAIQgAAEIAABCHiCAIKFQ8OIYOEQ" + "aKqBAAQgAAEIQAACEIAABCAAAU8QQLBwaBgRLBwCTTUQgAAEIAABCEAAAhCAAAQg4AkCCBYODSOC" + "hUOgqQYCEIAABMISOHHihBw9cjRsOp2gcOHCUjSjaERpSWRP4MCBA3L2zFkjskh6EUlPT7dPGCZ0" + "//798tvZ38KkCh5tV/f+ffvktxBFphVIk4yiGaKPOAhAAAIQgIDTBBAsHCKOYOEQaKqBAAQgAIGw" + "BD795FP508MPh01nTVC2TBmpVfs8ufTSy2TgoEGIGFY4YfydLukgWVlZRqoHH3pQbh8xIkwO++jG" + "FzSUw0eO2EdGENqocSMZO358jpS1a9bKcR7qpGKlitKxY0cZNvw2qVGzRqikxEEAAhCAAAQSQgDB" + "IiEYwxeCYBGeESkgAAEIQMAZArEIFtaWafHi/Q8/lPPrnW8Nxh+EQKoIFnXq1pWvv5mSo5XRCBbW" + "jDf07Ckj7rwT4cIKBT8EIAABCCScAIJFwpHaF4hgYc+FUAhAAAIQcJ6Av2DRomUL20acVcsP9uze" + "bc4OsCbSb9snffWVlChRwhqM34ZAsgSLYhkZNrUFD6rzu9/J6LFjciTwFyz8yww1o0On/XT051Kv" + "Xr0cZXICAQhAAAIQSBQBBItEkQxTDoJFGEBEQwACEICAYwT8BYsNmzeFrPvMmTMy/btp8q/HH5dN" + "m86l/f0f/iDDb78tZF4iRZIhWPztsb/LoMGD48ZrFSyefe45ue767gFlnjx5Unbu2CE//fiTPK/S" + "+Ja36IR6ts3ESV9K+QoVAvIRAAEIQAACEIiXAIJFvAQjzI9gESEokkEAAhCAQNIJRCtY+Bq0a+dO" + "6XzZ5aYdhVq1asnUad/5ojkGIeB2wcLarePHj8sf//CwTLDYwhgwYIA89s//sybDDwEIQAACEEgI" + "AQSLhGAMXwiCRXhGpIAABCAAAWcIxCpY6NY9+cQT8sZrr5sNXbN+nRQoUMA8xxNIwEuChe7dsWPH" + "pNf1PWTV6tVmZ6fPnCHVqlc3z/FAAAIQgAAEEkEAwSIRFCMoA8EiAkgkgQAEIAABRwjEI1i8/OJL" + "8tyzz5rtXLxsqRQvXtw8xxNIwGuChe7h7O9ny+CBA83OPvLoX+SmoUPNczwQgAAEIACBRBBAsEgE" + "xQjKQLCIABJJIAABCEDAEQLxCBa9e/aSRQsXGu3U9gvmLcr2O9Jwl1biRcFC2zVp0/Ji2fvLL8ao" + "dFDbnb797jsuHSGaDQEIQAACqUoAwcKhkUGwcAg01UAAAhCAQFgCsQoWH3/0kTzypz+b5V96+WXy" + "5ltvmed47Al4UbDQPX3s73+X99551+x0OOOtZkI8EIAABCAAgQgJIFhECCreZAgW8RIkPwQgAAEI" + "JIqAv2CxdMVy26JPqd0h9LaWm9XOIGPGjJHxY8flSDfxyy+kwQUX5AjjJJBAMgSLq66+Slq3aRNY" + "WZCQfPnySb/+/QNiI9klJCDT/wLefP0NeeJf/zKjV6xeJUWKFDHP8UAAAhCAAATiJYBgES/BCPMj" + "WEQIimQQgAAEIJB0Av6CRSwVPvT7h+S2O+6IJWuey5MMwSIWiHYzIOIRLMaMHiMPPfCA2ZQf5s1l" + "e1OTBh4IQAACEEgEAQSLRFCMoAwEiwggkQQCEIAABBwhEI9gobcy/dOf/yx6OQguMgJeFSy+mDhR" + "7rnrbhPCjFkzpWq1auY5HghAAAIQgEC8BBAs4iUYYX4EiwhBkQwCEIAABJJOwF+w0MYzfe748ePG" + "MhDfue+oBYoRI0ZI44suEr28IJi7ZejNcvDgQSmotjr98JOPgyWzDdc2EZYvs1+eYpvhf4Hdr+8u" + "/QcMMJN8OHKkjB833jz392RmZho7m5QpW1a6XdtNGjdu7J8koefJECzq1K0r7S+5JOJ2li5VUu64" + "886A9PHMsHjt1VflqSf/bZa5cs1qKVy4sHmOBwIQgAAEIBAvAQSLeAlGmB/BIkJQJIMABCAAgaQT" + "8Bcs/JcKnDl9RqZPmyb/949/SFZWltmeBx58wPah10ygPI0vaGgKHv7lWtPZ+W8cNEhmzfreLipk" + "2ECV7+//eMxMox+i9cN0pE7vcHHPvfcYYkykeaJJlwzB4m+P/V0GDR4cTTNs08YjWDz8h4dl1Kef" + "GuWyY4wtXgIhAAEIQCBOAggWcQKMNDuCRaSkSAcBCEAAAskmEE6w8NW/e9cu6d+3n2xSRjd97qln" + "npEeN/TwnQYcEyVY1K9XTwoWLhRQvl3AlVd2leG332ZGWQWLipUqSvny5c24UydOypGjR3MIMb7I" + "V19/TTp36eI7TdjRq4JF3969ZcH8BQanVq1aRT2jJmGAKQgCEIAABDxLAMHCoaFFsHAINNVAAAIQ" + "gEBYApEKFrqgbdu2ydVdrjRnTeiw0WPHyEVNmmhvgEuUYDHj+1lStWrVgPIjCbAKFm+8+aZcdsXl" + "AdlOnTolCxcskL/99W+yft06M/7LryZLPSWWJNJ5UbA4ceKEtGzazLwuevXpI088+UQisVEWBCAA" + "AQhAQBAsHLoIECwcAk01EIAABCAQlkA0goUubOKECXLv3feY5erp/5OmfC1lLLYvfJFuESx87dU2" + "O/r07CXLl2fbztC2Ot586y1fdEKOXhQs/Lc0feGlF+Wabt0SwotCIAABCEAAAj4CCBY+Ekk+Ilgk" + "GTDFQwACEIBAxASiFSx0wXcMv02+/vprs47uPa6XZ5591jz3edwmWOh2b9u6VTq0P2fA8ltlv6Nm" + "rZo6KiHOa4LFnj17pFWLliYbvexmprI9klYgzQzDAwEIQAACEEgEAQSLRFCMoAwEiwggkQQCEIAA" + "BBwhEItgoR9SL+/YyVwCoBv63gfvS7v27XO02Y2Che7A8FuHydRvvjH68n+PPy79+vfL0a94Trwk" + "WGi7JtrY5ozp000kieZlFowHAhCAAATyPAEEC4cuAQQLh0BTDQQgAAEIhCUQi2ChCx39+efy+wcf" + "MsvXb9anfvutpBctaoa5VbAYP26c3H/vfUY/gs0eMTsZpccqWNx73305DISGKqqA2ho2f/78ZhIr" + "20ce/YsMGDjQjIvUk5aWJvrP56y7hPxL2aDofv31vijjePLkSTl65IhsVbNQ5syeI88/91yOeG0c" + "dZSyaZKenp4jnBMIQAACEIBAIgggWCSCYgRlIFhEAIkkEIAABCDgCIFYBQv9WzZ4wECZM2eO2c5h" + "tw2XPzz8sHlufaiOZ1vTm26+WUqVLGmWG8xTPDNTBg/Jub1nJEY3/cubP2+e9OvT1whu376dvPvB" + "B/5JYj63ChbRFDJgwAB57J//Z2axsjUDo/Q8+/xzcl337mYuq2BhBkbo0WLFyI8/kpKlSkWYg2QQ" + "gAAEIACB6AggWETHK+bUCBYxoyMjBCAAAQgkmECsgoVuxpbNW+TSjh1ztGjCFxPlgoYNjTDrQ3U8" + "gkWOCkKc6Fkes3/4IUeKWAQLvVNIlys6G+XoB/Ev1G4hiXKxChbdlBHL55UxS5+zsvWFRXtMlGDR" + "44Yb5M+P/BmxItoBID0EIAABCERFAMEiKlyxJ0awiJ0dOSEAAQhAILEExo9Vyx/U0gTtimVkyJIV" + "2TtkRFrLG6+9Lk8+cW4Ly1atWsmHn3xsZLc+VLtJsFihdgm59prsXS4aNW4kY8ePjxRH2HTXXNlV" + "Vq1eHTadfwJ/waJt69ayc8dO/2RRnf/ntVely5VXmnkimWGhd4WpWr26VKlSWS68sJH06HmD7Q4x" + "ZqF4IAABCEAAAgkigGCRIJDhikGwCEeIeAhAAAIQ8AKBRAkWX0yeJJUrVw6LRNt4KF68eI50scyw" + "+GryZBlx+x1GOdded50898LzOcrkBAIQgAAEIAAB5wkgWDjEHMHCIdBUAwEIQAACuUogUYLFjO9n" + "SdWqVWPqSyyCxZuvvyFP/OtfRn133n2X3Hf//THVTSYIQAACEIAABBJHAMEicSxDloRgERIPkRCA" + "AAQg4BECbhUs9BIZvVRGuyf+/aT06t3bIyNCNyAAAQhAAALuJYBg4dDYIVg4BJpqIAABCEAgVwm4" + "UbBYt3atXNm5i8lt2ozpUr1GDfMcDwQgAAEIQAACuUMAwcIh7ggWDoGmGghAAAIQyFUCbhQsbho8" + "RGbOnGlww35Frl4+VA4BCEAAAhDIQQDBIgeO5J0gWCSPLSVDAAIQgEDqEHCTYLE1K0ue/NcTMlkZ" + "3PQ5beyzfv36vlOOEIAABCAAAQjkIgEEC4fgI1g4BJpqIAABCEAgVwlYBYubhg4N25Y2bdrIpZdf" + "ZqS7cdAgmTXre8N/9TVXS/nyFcLm9yV44KEHJT093Ti1Gt1s376d1Kn7O18yOXXqlBw+clg2btgg" + "S5csNcO15/8ef1z69e+XI4wTCEAAAhCAAARyjwCChUPsESwcAk01EIAABCCQqwSsgkUkDRlx151y" + "/wMPGEmtgkUkea1pFi3+SUqWLGkEWQULa5pg/mIZGfLIXx/F0GYwQIRDAAIQgAAEcokAgoVD4BEs" + "HAJNNRCAAAQgkKsE2rZuLTt37Iy4Dfeq3TnuuuduI/0tQ2+Wad99F3Fea8Ily5dJsWLFjKAXn39B" + "Xnj+eWt0Dr8WKGrWqiVVqlSRjpd2km7dukl60aI50nACAQhAAAIQgEDuE0CwcGgMECwcAk01EIAA" + "BCAAAQhAAAIQgAAEIOAJAggWDg0jgoVDoKkGAhCAAAQgAAEIQAACEIAABDxBAMHCoWFEsHAINNVA" + "AAIQgAAEIAABCEAAAhCAgCcIIFg4NIwIFg6BphoIQAACEIAABCAAAQhAAAIQ8AQBBAuHhhHBwiHQ" + "VAMBCEAAAhCAAAQgAAEIQAACniCAYOHQMCJYOASaaiAAAQhAAAIQgAAEIAABCEDAEwQQLBwaRgQL" + "h0BTDQQgAAEIQAACEIAABCAAAQh4ggCChUPDiGDhEGiqgQAEIAABCEAAAhCAAAQgAAFPEECwcGgY" + "ESwcAk01EHAhgdk/LJKdu36RkydPubD1NDmvEahUoYy0bNFYihZND9n1tWvXyoP33S9ZW7ZI0YwM" + "qVipohQsWDBkHicjt2/fLkePHJUyZcrIsNuGS6/evcNW36dXb9m0YYPkz59fypYtKxnFi4XNQwKR" + "vXt/kQMHDkghNf4tW7aU5196ESwQgAAEIACBiAggWESEKf5ECBbxM6QECHiRwOdjv5bNW7Z7sWv0" + "ycME0gsXlJuG9AwqWmix4qouV4r+7XOLe/Chh+T2EXcEbW7zJk1l3759QeOJiJxAgwYNZOKkLyPP" + "QEoIQAACEMizBBAsHBp6BAuHQFMNBFxEYP2GLTJu4rcuajFNhcA5As2bNJCOHVqdC7D4rr36Glmx" + "YoUlJPW9GWoWyNIVy20b+tS//y2v/edV2zgCYyPwyajPpEWLFrFlJhcEIAABCOQZAggWDg01goVD" + "oKkGAi4iMGv2Qpm3YKmLWkxTIXCOQLmypWXIwO7nAiy+ixpeKIcOH7aEuMO7dv16SSuQFtDYPj17" + "ycKFCwPCCYidwM233CJ/euTPsRdATghAAAIQyBMEECwcGmYEC4dAUw0EXERg/ISpsm5jlotaTFMh" + "cI5ARtEicvuw/ucCLL42F7eSXbt2WULc4Q0mWNx9xwj5ctIkd3TCJa38v3/+U/oNsL9+XNIFmgkB" + "CEAAAg4QQLBwALKuAsHCIdBUAwEXEfhs9GTJ2rrDRS2mqRA4RyC9cCEZcfvAcwEWX4/u18uSxYst" + "Ie7wBhMsnn36GXnl5Zfd0QmXtPL9kSOlbbu2LmktzYQABCAAgdwigGDhEHkEC4dAUw0EXERg0lcz" + "ZOXqDS5qMU2FwDkCmcUzZNjNfc4FWHx9eqklFAsCl1AUL1ZMzq9fz5LSea/eHWTHz/ZCYSyCRbPm" + "zSVfPuf74YYaDx04KGuUAVY7h2BhR4UwCEAAAhDwJ4Bg4U8kSecIFkkCS7EQcDGB7+cskrnzl7i4" + "BzQ9LxOoUrmC9Ot9tS2CYIJF8xbN5dNRo2zzOBUYarZELIJFsDxO9SeV65n9/WwZPNB+Fg6CRSqP" + "HG2DAAQgkDoEECwcGgsEC4dAUw0EXERg6rezZfGyNS5qMU2FwDkCpUtmytAbe54LsPgQLCww8rAX" + "wSIPDz5dhwAEIJAgAggWCQIZrhgEi3CEiIdA3iMwetwU2bR5W97rOD32BIGi6YXljuEDbPuCYGGL" + "Jc8FIljkuSGnwxCAAAQSTgDBIuFI7QtEsLDnQigE8jIBBIu8PPru7zuCRfYYsiQk+LWMYBGcDTEQ" + "gAAEIBAZAQSLyDjFnQrBIm6EFAABzxFgSYjnhjRPdYglIdnDjWAR/LJHsAjOhhgIQAACEIiMAIJF" + "ZJziToVgETdCCoCA5whgdNNzQ5qnOpRoo5vz5s5NKL+LW7WyLc8po5tO9ce2kxEEJrJ9wVgjWEQw" + "ECSBAAQgAIGQBBAsQuJJXCSCReJYUhIEvEKAGRZeGcm82Y9EzrDQD8+3DRsuDRo0SAjMRQsXyvwf" + "F0lmZmZAeU4JFrVr1pJWQUSTgEaFCdBbg/7riX/JFZ07h0kZWfSAvv3k4MGDtnwiK+Fcqh07d0in" + "Sy+Tvzz6l3OB//MhWAQgIQACEIAABKIkgGARJbBYkyNYxEqOfBDwLgFsWHh3bPNCzxJpw0ILFi8+" + "/4KsXLlSTpw4ETc+XcaUqd9I7Tp1AspyUrDo2Kmj/DDnh4A2RBtw+tQpGXrrLfLwH/8YbVbb9Fqw" + "KFeunEyZMsU2PprAs2fOyAUXXiijx44JyIZgEYCEAAhAAAIQiJIAgkWUwGJNjmARKznyQcC7BBAs" + "vDu2eaFnyRIs9Jv/RLhUESymT5ueiO7IrcOHJVywmDhxYkLadlGTJggWCSFJIRCAAAQg4E8AwcKf" + "SJLOESySBJZiIeBiAiwJcfHg0XRJ9JIQ3wwLBAv7iwvBwp4LoRCAAAQg4G0CCBYOjS+ChUOgqQYC" + "LiKA0U0XDRZNDSCQSKOb1iUhCBYBqI0ABAt7LoRCAAIQgIC3CSBYODS+CBYOgaYaCLiIwKSvZsjK" + "1Rtc1GKaCoFzBDKLZ8iwm/ucC7D4+vTqJQsXLLSEZHubt2gun44aFRCOYBGAJCAAwSIACQEQgAAE" + "IJAHCCBYODTICBYOgaYaCLiIwGejJ0vW1h0uajFNhcA5AumFC8mI2weeC7D4UkGweOeDkVKjRk1L" + "q7K977z1pnzw/nsB4Trgm2kzJC0tLSAuljyXXtJO7RLSWubOjd/opm5QKgsWFzS4QF567fUAbj8u" + "XCQP3n9PQLgOePrZF6Rp82a2cQR6l8DJk6dk3/5DUvu8qlKmdCnvdpSeQQACCSOAYJEwlKELQrAI" + "zYdYCORFAuMnTJV1G7PyYtfpswcIZBQtIrcP62/bk1QQLPoNvl1Kli4b0L75c6bLovmzAsJ1wPC7" + "/yz58+cPiIslz6vP/0Oq16wtWZsTM4sqlQWLCpWqSI8+QwO4bc/aJBPGjAwI1wHX9hgoVarXso0j" + "MG8QKF+utAwe0D1vdJZeQgACMRNAsIgZXXQZESyi40VqCOQFArNmL5R5C5bmha7SRw8SKFe2tAwZ" + "aP+wgWAhgmAhgmDhwQ9+grtUoXwZGdT/ugSXSnEQgICXCCBYODSaCBYOgaYaCLiIAEY3XTRYNDWA" + "QKob3WSGRcCQmQED+vaTcuXKSaK2NWWGhYkWTwwEmjauL5d2ah1DTrJAAAJ5gQCChUOjjGDhEGiq" + "gYCLCGB000WDRVMDCKS60U0Ei4AhMwMQLEwUeFKEAKJFigwEzYBAChJAsHBoUBAsHAJNNRBwEQGM" + "brposGhqAIFUN7qJYBEwZGYAgoWJAk8KEUC0SKHBoCkQSCECCBYODQaChUOgqQYCLiKQKkY3jxw6" + "KEeOHpZ8il3RosUko3imiyhG3tSzZ87IkcOqr0cOS6GChSQ9o5ikF82IvAAXpTxx4rgcU/08evSI" + "GtMMo6+FCxdJaA8wupmNM5ihTmxYYMMioR+4PFIYokUeGWi6CYEoCCBYRAErnqQIFvHQIy8EvEkg" + "N41u7t71s6xYslC2bF4vafnTpEiRdPlN/Tt+7KicOXtWqteoLQ0ubCqVqlR3Pfz1a5bLOvW3Ve3W" + "kFG8hBQpXFhOnj5t9FX3u3qN8+Si5m0ko5j7hZqfFnwvmzetl1/37paiSpA5qkSLIulFjb6WKlNW" + "9bWONG91SULGNNWNbrKtafBhTvQMC7Y1Dc6amJwEFi9eFXZ3LESLnMw4g0BeJ4Bg4dAVgGDhEGiq" + "gYCLCOSW0c1F82bJ4oVzpHzFylKwUCFlyX+znDx10iRXoEABQ8A4efKkIVq0aneZ5Mun51+4y2nx" + "Zc7MqfLLnp1SsXJV2ffrXtn581ZDkPH1pJCadVBczSg5uH+ftL7kcrmgUXNflKuOu3dul9kzv1Hj" + "VkT9FVV93iV7VL+trlix4lJAzSzJly+/tOtwhVRVolQ8rlLFcjKgbzfbIlJhl5ApU7+R2nXqBLTv" + "2aefkVdefjkgXAesXa8EvAJpAXGx5Klds5Z07NRRpk+bHlBeLAGpvK3pRU2ayOixYwK6Nfv72TJ4" + "4MCAcB3w/siR0rZdW9s4Ar1N4LtpP8iPS1aF7CSiRUg8REIgTxFAsHBouBEsHAJNNRBwEYGJX34n" + "a9ZtdqzFB/b9It9OGS8F0pQgod66b1gX+oZRNyyzREk5e+asXNq5m1Spfp5jbY23oo3rVsrMaV9J" + "hYpVVPtPS9aWjSGLLFiwoBQunC7FMktI12t7Gw/9ITOkUOTCuTNlyaIfpHqturL/1z2yV82uCOUy" + "1MyL02qGyXl16knHK+wFh1D5fXHFM9Jl+K39fKc5jggWIggWIggWOT4WnFgIIFpYYOCFAARCEkCw" + "CIkncZEIFoljSUkQ8AqBjz/7Urb/vMux7kwa/7FkZpaUjetXG3YcIq04X/78xoyLQUPvVm+fC0Sa" + "LdfS6ZkVn3zwmlSuUkO2bFprPJxH2hht26Ji5Spy9fX2b4UjLcepdFvVkp6Z302SMuUqyqYNa6Kq" + "tlChwnJRs9bS7OL2UeXzJS5cqIDcdcdg32mOI4IFgoW+IBAscnwsOPEjgGjhB4RTCEDAlgCChS2W" + "xAciWCSeKSVCwO0EnJxhsXzJAlmzcomBbPeuHVGj0w/yFSpVkWt6pP6D/HdfT5ATJ47Jz9vUUhe1" + "rCVapx/kGzdtrWw9xPYgH2198aT/5P1XpUzZCrJ+7YqYitFLfW7oO1TKVagcdX5mWGQjC7aMhBkW" + "iRUszpw+IwcPHozoOtXLeooVKyb5ldiKS20CiBapPT60DgKpQADBwqFRQLBwCDTVQMBFBJyyYXHo" + "wD7RD7a16tQ3jE/Gikj/YLRs3VEubHJxrEUkPZ82sLlQ2ejQdinCLQMJ1Rht56H/4Nsks1SZUMly" + "NW7OzCmGXY79yjbHwYMHYm5LZolSMuCmO6POjw2LbGQIFiJO2LDYsGGDdL7s8qiu02IZGVKtWjVp" + "0769DB4yWKpWrRpVfhI7QwDRwhnO1AIBtxJAsHBo5BAsHAJNNRBwEQGnBIvFi+YoI4y7ZYN6C693" + "AInH6SUlA4beFU8RSc07ecIn6s1qpixfuijues6v30gu7XJd3OUkq4D/vvqUscPJ+rUr46pCz7Lo" + "cnVPJWjVi6qcRAsWQwYOktNq61n9e5kIlwpGNwuqJVSnlL2QRLhEG938cdEiZWz3VCKalrKChX/n" + "XnjpRbmmW+x2W/zL4zxxBCIRLRo2qCNXdk7MLkeJazklQQACySaAYJFswv8rH8HCIdBUAwEXEXBq" + "ScjE0R8Yu4Fs2rA2bjr64XbwLfcaW2bGXViCCzirHnbfeuUJKV+pquzYnhV36elFM+TGYffHXU4y" + "CtietUnmzPpGDh06KCeOH4u7imrVa0W93CeRS0J0B/R0/0in/EfS4eLFi0uJEiUCksay40cseZzq" + "T0AHIwzYtm1bhCnDJwvGOpE2LPxnWNSvV08ZyS1u27h9+/bL+nXrbOP0biZ6Rggu9QhEIlqULpkp" + "Nw7uwXKf1Bs+WgSBpBFAsEga2pwFI1jk5MEZBCAg4pTRzZH/fVGKqSUSO9SWnolwl1/ZXerWuzAR" + "RSW0DL30ZcKYD+XIoQNxzyTxNez2e//i86bUcfWKxcp46iplVHR9QtqVUbSYDB52X1RlFVJ2Au6+" + "c4htnmiNbtoWkqTAWMSHWPIkqfmuKjaZgsWXX02Wekq0COVWrlghTz35b5k5c6aZ7JJLLpF33n/P" + "PMeTWgQiES2qVa0kfXp2Ta2G0xoIQCBpBBAskoY2Z8EIFjl5cAYBCIg4NcPijZcel3S1jenhw4cS" + "gr1Vm07SpGW7hJSVyEJ2KkFm5neT5Ze9idt55abhDxhbwCaynYko68f53xtGRbeqmRaJcIUKF5ab" + "b/99VEUVKlhA7h6RmF1Coqo4zsSxiA+x5ImzmZ7IntuChYaojXUO6N9PFsxfYDL9Yd5cKV+hgnmO" + "J7UIhBMt0tLyy3133ZhajaY1EIBA0gggWCQNbc6CESxy8uAMAhAQccqGxduvPS0F0gqorUwTI1g0" + "bdFOLm7bKeWG8Nc9u+TrSaNl/75fEta2QcpeRzFltyPV3LKf5snmjWtl29bNCWlaAWVr4dY7/xhV" + "WcUy0uW2W/vZ5mGGhS2WPBeYCoKFhj7tu+/klqE3m/w/HTVKmrdobp7jST0C4USL++++kWUhqTds" + "tAgCSSGAYJEUrIGFIlgEMiEEAnmdgFOChd4h5JTa3vPw4ci2BAw3Ll2uvkHOq9sgXDLH448dPWLs" + "hnI8ATYddOO1vY7b7nnE8X5EUqE2oLp40Q8Syxa1duUXL15CBt58t11U0LAypUrITUNusI1HsLDF" + "kucCU0WwmD9vnvTr09fk//a770iHjh3NczypSWDkxxNk5669to1DsLDFQiAEPEkAwcKhYUWwcAg0" + "1UDARQScWhIyY+pE48F2r5qBkAiXqrMOdN8+fu8/clQJFydPHI+7q4UKFpKbR/wh7nKSUcDhg/tl" + "1EdvSaLEmQqVqkiPPkOjamqijW5GVXkciWNZ3hFLnjia6JmsqSJYvPDcc/LiCy+aXL+dNk1q1qpp" + "nuNJTQKhRH0Ei9QcM1oFgWQQQLBIBlWbMhEsbKAQBIE8TsApo5sb162UeXOmJ2SpRGaJUjLgpjtT" + "duRmT/9K2XbYInv37o67jbXVLJLOajZJqrrPRr6uZs6cUDtrHIi7iZde0U3Ov+CiqMopXKiA3HUH" + "NiyKFysWFbdUSnxWbXN85uxvov8lw/2myj+pZnfZuZtuvVNq1w1tNNOab+/uXfL80/8wg+68749S" + "UQlt4VzWlo3y3lv/kRMWEfOxJ15kOUE4cEmOL1umpDS68HypUL5s0JoQLIKiIQICeYoAgoVDw41g" + "4RBoqoGAiwg4NcNCIxk36n3DGGW8Mw/aXnKFNGraKmUpH1GGRT9+9xU5e/ZMXDuF6OUg/QbfJiVK" + "Bb+Zzm0Im9avlhnfTRK9FCYeV0QZZB188z2SpuxYROOYYRENLdL6E7i2x0CporbTjdQd+HWvfKSW" + "t/lc915DpGy5ir5T83j2t7Ny+pRaAqe2/N2qxIoFc2eYcdrTvtOV0rBxixxhnOQeAb3bh971w84h" + "WNhRIQwCeY8AgoVDY45g4RBoqoGAiwiEuhlLdDf27Noh4z57R06fORNz0dVrnCdXXz8g5vxOZVyx" + "dKH8OH92XDY7mre6RFq06uBUk2Ou55tJY2T3zu1qlsX+mMrQxjav7t5PKletGXX+ShXLyYC+3Wzz" + "ec2GRefLLpcNGzbY9pXA2Ahc1KyNtG5/WcSZ/QWLiDNaElavWVu6XtuX2RUWJrntrVa1otqi9Crb" + "ZoT6jWRJiC0yAiHgSQIIFg4NK4KFQ6CpBgIuIjBr9kKZt2CpYy2eP2eaLFW7S5w6dSrqOtOLFpMe" + "vYdIZsnSUefNjQxjP3tXDu3fJ0eOHo66+oqVq8n1vW+MOl9uZNCzKz5652U1o0S9VT5zOuomXHhR" + "C2nX8cqo8+kM5cqWliEDu9vm9ZpgcVHDC+XQ4eivJVs4BBoEyleoJDf0uyViGvEIFvpmt6nailmL" + "JHr2lFecXuaSP19+Kaj6lyinv0tOKMPFhYukOyLshNqiFMEiUaNKORBwNwEEC4fGD8HCIdBUAwEX" + "ERg/Yaqs25jlaIt/mDlFli1eENVyiUKFCstV3ftKpcrVHW1rPJUdP35Uvp08VrYrexZnophVUkIJ" + "MnqqedEM99gl2LVzm0ydNFYOHTog+rcmUlejVh25slufmB9KMooWkduH9betzmuCxWWdLpXNmzbZ" + "9pXA2Ag0atJS2nboEnFmf8FC38CmFSho5rdbGqXTXNH1eqlavbbkT0sz0/p79KystauX+web52n5" + "06RAwQJSpHC6VKxSzdglqYh6oPd3v+7dpZZpTfYPDjgvXLiwFClSVDKKFZeq1WqqpTHnBaSxC9DG" + "dtesXiZ7d+9QM6t2mLPIdD9Ll60g9Ro0ljrnXyAFlcHgSJ3+fty2Zb2sXrnMmK1l3U2qXPmKxrKb" + "8hUqSz1l4yYUw0jr80+nBaQH7rnJP9g4R7CwxUIgBPIcAQQLh4YcwcIh0FQDARcR+Gz0ZMnausPx" + "Fm/ZuE5mTP1CzbQ4ISdDzLbIp97c1fldfWlzSWdXPcBbgS6cN0sWzp2pgpRZwRAP81qUadT0Ylcs" + "A7H2z+rXy0O2bFwrp06HnkGTmVlCjekVUqtOfWv2qP3phQvJiNsH2ubzmmARapcQWwAEhiUQrw2L" + "PgOHGQ/p1or27PpZ5v8wXbI2n1u+U/O8utL5qp4hbbTMm/2d/LhgtrWosP7G6vtCfzda3c6ft4qe" + "3RWt08vtOl/dK+RMibWrlsqsaZODGjH11alv7DspI7qRbD29c8dW+WriqIjs4Gjx4oquPZRdnzK+" + "qhJ2fPBe+x2KECwShpiCIOBqAggWDg0fgoVDoKkGAi4iMOmrGbJy9bkbayebrr+TFipjdGtWLlFv" + "6Q7leJhPy59fypSrYDy8V69V18lmJaWug/t+kYXzv1dvyNeqqc45tzvVW5fqN6btOnROaQObkYLZ" + "nrVRFqkHr107tsnp0zmXiKQXzZAaNetIG9XXwoWLRFpk0HSZxTNk2M19bOPzkmDhxl1CzqrP/6lT" + "Oa8P24GMM1DvEhJMQEuGYKGbq5c0aPFu4/pVZuvrq9kBHdVDfDBnFSyKFctUAm1GjqT6+1KLuwfU" + "d4nV+RshtgoWekelSmp5mb87o5Zu6e9cPRvDuoNKsF2JzqjP8dSvdH/WmEXpz3KlylXV7IdsY5W/" + "/rJb1q9dacZrj7bBo23xBHOrV/wk0775Ike0b0ZFqdJlje2hdyn7ODu255wFeO0NylhqtVo58sVz" + "kl/93mh7FHYOwcKOCmEQyHsEECwcGnMEC4dAUw0EXEQg1M2Y093QD7dHDx9UU5QzQ76JdLpdyahP" + "Tx0/o2YhFMssmYziU6rMQwf2GdPmk7HEpUrlCtKv99W2/c1LgsXa9esV4+DLDWwB5XLglqztMmrM" + "10lvxfasTTJhzEjbepIlWOjKzqplDmOVkeHdytiwz7VVQl2jJhf7TnMcrYLFVdf2lhrnnZ8j3ndy" + "5MghmT5lguitUn1uyK33mTPQrIJFOJHktBJAflzwvSxSYqrP2c0a+VHFz1P2h3yu+cWXSJMWbUUb" + "zLW6o0cOi+7HaiVC+9yV1/S0nUn1655d8umHb/iSibYnclmX7lJSCRX+7uD+X2XKpNGyZ/dOIypa" + "2yP+5fmfF1SfnXvuHOIfbJyH+o3E6KYtMgIh4EkCCBYODSuChUOgqQYCLiLgtNFNF6GhqS4gkJeM" + "boZaEoJgEfxizS3BQrdIP2h/qLY4trrreg5WO+LUsAYZ/kgFC51Y3899pMo9qMRA7a66to8SOH5n" + "+KMRLIwM6r+vJn4qmzasNU79Z2xomxUfvP2SL6myrzNYKlUJbL+ZQHnmzZ5mCCE6TN/kD7jpLtFb" + "F/ucnoEy+qO3ZK+a4aHd+fUbGbNP9EyHYM5fALqu56CYdhayKx+jm3ZUCIMABKwEECysNJLoR7BI" + "IlyKhoBLCeSG0U2XoqLZKUggLxnd9JpgsXrNRvli8vSkX1W5KVjozi1fskDZffjK7KdeptFn0HA1" + "O+GcsU4dGY1gYaT/Xtm8WJht86J5y/bSok1HHSyxCBbLF8+XWdOzZ7v428X4+ovPzKUgDRo2kQ6X" + "X2PUE+o/f0Gifccu0vCilmaW7VvVrJfR2bNe9NKSfkPuiGiJ2JaNa2TShM+Mcs6rc750uaa3WWY8" + "HoxuxkOPvBDIGwQQLBwaZwQLh0BTDQRcRCC3jG66CBFNTWECGN3MHhw3zrD4afEq+Xb6D0m/unJb" + "sND3XuNGvWcICb7ONlPbm7Zs08l3ahyjFSysQkjT5m3l4naXGuXEIlhMU0tMfMs4WqlymqjytDut" + "lq29+fIThl//d+Ow+0ULDJG4zRvWyOSJ2eKC/xIObXB55fKfjGL8Z3SEKluz1AaMdXmV1CwVbag4" + "UQ6jm4kiSTkQ8CYBBAuHxhXBwiHQVAMBFxHITaObLsJEU1OUAEY3swfGjYLF5i3b5POxU5J+ZeW2" + "YKE7uP/XvfLx+6/m6Ku/rYhoBQvrMo7Lulwnv1PLKrSLVrDQu3R8OfYj0/jmNd37STVlGFe7fcqQ" + "5icfvG74K1Wpbmy3bJxE8J+/2DH0tgel8P+2Yf3vf54064tkiUkE1cWVBKObceEjMwTyBAEEC4eG" + "GcHCIdBUk1ACx44dk+PHzu2qUKJkCQm1zjVY5QcOHFBG0M4a0cUziwcYCwuWz+vhoQyKeb3v9M/9" + "BDC6mT2GbhQsvG500//TtWj+LJk/Z7oZrGcJXN9nqPl7FqlgoW05LPlprsxVS0J8bsCNIySzZGnj" + "1CpY1KhVR1q3vcyXzDyeUruEnDh2VH5Wu29Yt1KtUKmKXN/7JtFLJLSzzpIIZ8DTLNziGfPp22q3" + "oO1GSF+1DKZUmfIBszasQoYlq6NejG46ipvKIOBKAggWDg0bgoVDoKkmoQQ+eP99+dujfzXL/ODD" + "kdKmbfZ0VTMwjGf/vn3SrElTM9XfHvu7DBo82DzPy56p386WxcvW5GUE9N3FBEqXzJShN/a07UG0" + "u4TMmztX+vftZ1tWrIE/LV0imZmZAdljsUcRS57aNRO39aPuxGtvvC5XdO4c0J9YAnp07yFLFmcv" + "C4glv3+exk1aqu1yu/gHSyrMsNCNOqOEhk9Hvp5jW9L2na6Uho1bGG22CuEbWGoAADwlSURBVBY1" + "z6trbhfq69CpUyfk8KFDSmTYInqXIZ9r0qyNtGp/TpSwCha+NJEcS5QqI9dc19cUPnSexYvmyA+z" + "vjWyX6xsZDRVtjKicV9/8bm5teu1PQZIlern5TBEqpeX6GUmdk7vOHJIGfwM5vKnpUm58pWCRUcV" + "zgyLqHCRGAJ5kgCChUPDjmDhEGiqSSiBX375RVo2a26W2at3b3ni30+a55F4Pv7oI3nkT382k87+" + "4QepWKmieZ6XPaPHTZFNm7flZQT03cUEiqYXljuGD7DtQSyCxYvPvyBH1INSItyqlatk0tdfSe06" + "2dPrrWXGIj7EkkcLFh07dZRf9u61Vh+Tf8uWLOnTr688/Mc/xpTfP1P3btfJsRNn1QNs/G07dvSo" + "FC1eXHqoGQv+LpGChf+OGf2VsUj9oB+p08svxn76bo7kt9zxBymodtKwChY5EoQ4qdegsbRTokfB" + "goXMVNEKFmXLVpD6DS+S8xtcZLTDLEh55sycIkt+nGcEdbj0KmnQqJk1OqzfarDzaiWGVK9VN8cy" + "k3LlK0rP/rfalrNiyUKZOW2ybZwvMBqbGr48dkeMbtpRIQwCELASQLCw0kiiH8EiiXApOqkE7hox" + "QiZ9OcmsY/mqlZKenm6eh/Ncf911snTJUiPZpZdfJm++9Va4LHkmHsEizwy1JzuaDMFi5cqVcvDg" + "wYTwmjL1m5QQLKZPm56Q/tw6fFjCBYv1a5cnpG16OUOyBYuENDRIIZEIFnpGQgm19KOk+mtwYVOp" + "UKlqQGlWwaJqtZrmDI7ffjsrJ04cl/VrVsi2rZuNfPoGvPNVN5g2K/wLs86wsBr29E8X7PyT91+T" + "fb/uMaJ7KWGirBIojh8/Ju+89rQRFmqGRSSCxZBb75OiGcWCVR9xOIJFxKhICIE8SwDBwqGhR7Bw" + "CDTVJJzAzBkz5KYhN5rlvvzKK9L16qvM81CeDevXS+fLrzCTaLFCixa4bAIsCeFKcDOBRC8J0TMs" + "ECyCXxGJFCz0kpAjR08JgkU2b6tg0fmqHsZsBOtI5M+fJmlqGUQ4ZxUsgtmd+FHZ05g3Z7pZ1LU3" + "DJQq1QKXD2lx45vJY4x0tWr/Tq7s1sfME86j7zlfe+H/zGRWceHV5/9hhg+9/SHbLU0P7v9VzQza" + "ZabzeRbOnSV7/xduLdMXH8uRJSGxUCMPBPIWAQQLh8YbwcIh0FSTcAJnTp+RNhdfLHvV8hDtLr/i" + "Cnn9zTciqufZZ56RV1562UhbtkwZmT1vLgY3LeQwummBgdd1BBJpdFPbsECwCH0JIFiE5hNPrFWw" + "uOra3lLjvPNjKi4SwUIXPGfG18p453yjDn0jrmdA+Ax3+io+dGCfjHwn+/dTz4YYOPTuiH8/d+/c" + "LqM/edsoqlixTBl4892mMc8vxoyUrVmbjDjrDie+ekMdJ43/WLZsWm8kSZRgUahgAbl7hL1dq1C/" + "kffffaNpNDVUm4mDAATcTwDBwqExRLBwCDTVJIWAfpB44fnnzbIX/vijlCpdyjy38/gLHSPuulPu" + "f+ABu6R5NowZFnl26D3RcWZYZA9jsF1CfDYsUnFJCDMscn4EnRYszpw+LaM+estcslGqdDklWtwi" + "aQUK5GjY2M/eNbZK1YEXt+mkDG+2yxEf7GTcqPdkh9qFRLvmF18iLVp3MJOuXb1Mvv1qnHGu7Vj0" + "6HtzxA/+yRAsmGFhDg0eCEAgCAEEiyBgEh2MYJFoopTnJIGsLVukU4eOZpX/9/jj0q9/aIv+s7+f" + "LYMHDjTzfDttmtSsVdM8xyOCDQuuAjcTwIZF9ui5UbDwGd1kSUj2GDotWOha9+zeIZ8r0cLnWrbu" + "KM0uzrkTiHVZiE438KY7pXiJ0C8L/PP4Gyc9efKEfPDW83Ly5Emj6qYt2snFbTv5mhH0qO9jtRCi" + "Z5Fol6gZFtiwCIqcCAhA4H8EECwcuhQQLBwCTTVJIzCo/wCZM2eOUX6z5s3ls89Hhazrgfvvl3Fj" + "xhppWrVqJR9+8nHI9HkxEsEiL466d/qMYJE9lggWogxQesfophNLQnzfAvNmT5MfF3zvO5V+g2+T" + "kmq2hdVNnvCJbN64zgjSN+2XdekuNWsHLlk5e/as/LRgtsz/YbqZvZUSIpooQcLfZW1aJ1+O/8QM" + "Pr9+I2nbsYutPQudaNeObWonlWmyfdtmMw+ChYkCDwQgkGQCCBZJBuwrHsHCR4KjWwl8MXGi3HPX" + "3Wbzp82YLtVr1DDPrZ5Dar/6iy5sZAa98NKLck23buY5nmwCLAnhSnAzAZaEZI+eGwULloTk/OTl" + "xgwL3YLTp0/Jpx+8LgeVvQrtKlauJt17DTHtTeiwo2qr33Gfvy8H9mXbkdJhNc+rK5Wr1JAyZcsb" + "Zezds1uJGmvUrI2dOtpw1WvWlq7X9g263GPBnOmyUBkAtbrz610olapWl6LKZsahQwdlz64dskOJ" + "Fda6dfoLLmyiBI6uERkitZZv52dJiB0VwiAAASsBBAsrjST6ESySCJeiHSFw7NgxadW8hRw+csSo" + "76HfPyS33XGHbd2jP/9cfv/gQ0ZcsYwMmbtwQVRbodoW6sHAUAbFPNhduuQxAhjdzB5QBAtmWPg+" + "2pEa3fSl18ftWzfJhNEjzaAOl14lDRo1M8+15+SJE/Lt12PNmRY5Im1OmilbF81bdQgqVviybFq/" + "Sr6bMsFcHuILD3bUgkp7NROjbPlKwZJEHV6wQJrcc+cQ23yhfiMxummLjEAIeJIAgoVDw4pg4RBo" + "qkkqgcf+/nd57513jTpq1aolU6d9Z1tf3969ZcH8BUbcTUOHyiOP/sU2XV4PZIZFXr8C3N1/Zlhk" + "jx+ChfsFi4VzZ8qCuTOMAY1nScjuXT/L6I//a5QTbFtTu0/99G8myqoVi82om+/4vRQqVNg893m2" + "Z22UpYvnBxUuGiqho37DJlEJCseOHpENa1fIquWLzS1LffX5jtWq15J6FzSROudf4AtK2JEZFglD" + "SUEQ8CwBBAuHhhbBwiHQVJNUAitXrJBuV19j1jF+4gRpeOGF5rn2+Bvo/GLyJKlfv36ONKFO9O4i" + "Bw8eDLsLSagydJz+zO3bt09KlCiRkGmr4eqLJR4bFrFQI0+qEMCGRfZIuFGwuO6aa+X4yd8Eo5up" + "8mmKrh16KckRtWTj8OGDxiyK4sVLSNGM4pI/LS26gvxSnzhxXI4ePqSWoRwStS5FiqZnSLESJaVg" + "wUJ+KRN7+uC9Q20LZIaFLRYCIZDnCCBYODTkCBYOgaaapBO45squsmr1aqOem2+9Vf705z/lqPOV" + "l16WZ595xghr1LiRjB0/Pke83cnUb76RmdNnyLLly2TpkqVGEr2UpGmzZtLggguk/SXtpVXr1nZZ" + "c4RpQWXcuPHqTdUKVc4Sc/mKNhJ6QcMLpGnTpnLVVVerrePiu6nLUWkcJwgWccAja64TQLDIHgI3" + "ChbXX9tdjh4/g2CR658iGsAuIVwDEIBAOAIIFuEIJSgewSJBICkm1wl89OGH8pc/P2K0o2yZMjJn" + "3jxTANBWytu3bSs7d2Qb/nr8iSekT98+Qdt89MhR0ctMRn32WdA0voiuXbvKU88+Y2sLQ3++9FKV" + "fzz2mC950GOdunXljTfflBo17Q2GBs2YhAiWhCQBKkU6RoAlIdmo3ShYYHTTsY8JFYUhkJaWX+67" + "60bbVMywsMVCIATyHAEEC4eGHMHCIdBUk3QCBw4ckKaNLzLreX/kSGnbrq1xvnDBQunTq5cZt3jZ" + "UilevLh5bvXoz0SfXr1l0cKFZrDe/vT8+vWkVMlSytr5bpk3f76sX5e9nZtO1KVLF/nP66+Z6X2e" + "5559Vl5+8SXfqWj7Gm3atJFy5cuLbu8aNSPEtyWrTlSxUkX5cvJkKVmypJknNzyhbsZyoz3UCYFo" + "CGB0M5sWgoX7bVhEc92TNrEEMLqZWJ6UBgEvEkCwcGhUESwcAk01jhB44P77ZdyYsUZdN/TsKf9+" + "+inD/8if/iQff/Sx4e/Vp4888eQTQdvz/axZMmTQYCNez9R45/33jOUf1gx6xsbE8RPk/vvuM4M/" + "/vQTaXnxxeb5fmWnolmTpub58y++IFdfc02AdfRlS5fJLTfdJHt/+cVIe5/qw51332Xmyw3PpK9m" + "yMrVG3KjauqEQNwEMotnyLCb7WdQaeFSC5j+rnmL5vLpqFH+wTJv7lx58fkXZOXKlYYNm4AEMQRM" + "mfqN1K5TJyDns08/I6+8/HJAuA4IJj7Ekqd2zVrSsVNHmT5tum1d0QbeOnyYPPzHP0abzTY9Myxs" + "sRCYCwQwupkL0KkSAi4jgGDh0IAhWDgEmmocITD3hx9kQL/+Zl3LV6009o2/oN4545qjRn9u2KAw" + "E/l5nvr3U/Laf/5jhL6ijlde1dUvxbnTl154UZ5/7jkjYPCQwfJXtYzE52bOmCE3DbnRNs6XxnfU" + "D0X9+/YzTkPtcuJLn+zjZ6MnS9bWHcmuxvXlHz64X621XyklS5VJuvE3J2Dp34P9+36R8hUrq78q" + "TlSZlDrSCxeSEbcPtC0bwUIklQULtxvdPHv2tKxdtVwtRyxgGIa0vQhdFOj7TihVpqxUqVbLRS1P" + "TFMxupkYjpQCAa8SQLBwaGQRLBwCTTWOEPC3VfHmW29Jvvz55JahNxv1azHgm+++NUSMYA16+A8P" + "y6hPPzWiJ3wxURnFbBgsqWzetFku69TJiNfLRj78JHsWhw4YP3acOQPjL48+KjcOvSloOfpz2Kp5" + "C3OWxaq1a9TWccm1fh60MbrtE6bKuo1ZoZLk+bhxo96THdu9y0hb9+875A4poB683OYyihaR24ed" + "Ey6t7UewSG3Bws1GN2d++4WsWPaT9XLzlL9wkXTpem0vqVQ59+0sOQEWo5tOUKYOCLibAIKFQ+OH" + "YOEQaKpxjICeHaFnSWjX44YbDHFi9OefG+fhhAOd6HM1LfwPD/3eSK+XlfxLLR9JC7El27q1a40t" + "SjPVNqVFihQx8un/rGKGNqj5+ZjRQe1m6PRbs7KMrd+0/YoMtRNJbrpZsxfKvAXZu6LkZjtSte4Z" + "UyfKyuWLU7V5CWtXmTLlpfeg4Qkrz6mCypUtLUMGdretDsEitQULty4Jydq0Vr4cny102154HglM" + "L5ohNw673yO9Cd0NjG6G5kMsBCAgxsvFU6dOyaJFi4wd/7zKJJ8SDH7Lzc4hWOQmfepOBoEdO3ZI" + "u9ZtbIuev2ihlFF2KUK5n3/+Wdq3yTbWqdNpseGWW2+R1spYZtWqVUNlDYjrdEkHyVJChHZ6O9Tb" + "R9whHdSMjPPPPz/AlkVA5lwMwOhmaPgj//uCHDp0MHQiD8TqN4y33ZO9846bupNoo5sj7hghhw8e" + "lFOnTycEQyrYsChXtqzs2bs3If1JtA2LDes3yOHDifl8VahURXr0GRrQz+1Zm2TCmJEB4Trg2h4D" + "pUr16JY/TJ08RtatWWFbntcC+w2+TUqWLue1bgX0B6ObAUgIgAAE/Agww8IPSLJOESySRZZyc5OA" + "XgIy7bvvcjTh6muulheDGLTLkVCdaAOd2lCnv6tevbpc0qGDMq7Z0hAwSpcu7Z8kx/nin35Sb3oH" + "yeEjR3KEa/Hi0ssvN4x0tm7dWmrWqpkjPrdPMLoZegTeef0ZOX7saOhEHokdfvefU1pcs8OcSKOb" + "uvxvpkyRDevXB1S1b/9BWbZ8bUC4Driw4e/UrkKZtnHX9+ghFSpWDIiLxYBmLHmC9efs2d9Ei5V2" + "rnq1ylKzRmW7KGneooXxZxsZZeDyFWvk8X8+GWWu4MkrVaku+s/fJVqw+HLcR5K1OW8YKu47aJiU" + "KlPBH6nnzjG66bkhpUMQSDgBBIuEI7UvEMHCnguh7iYw5euv5fbht+XohHWb0xwRQU604HHvXXcH" + "iA3W5HqL0jvuHGGIF9Zwq18vDbll6FDZtGmTNTiHX9vWuHX4cOmplqCkFUjLEZcbJxjdDE39o3df" + "kQP7fw2dyCOxbhQsEml0M9QwbsnaLqPGfG2bpFePLlKjenSGS2MRH2LJY9tgFahtAD374ru20a1a" + "NpZ2bZrZxiUy8KfFq+Tb6T8kskjbshItWMz87ktZsfRH27q8FujG74RYxwCjm7GSIx8E8gYBBAuH" + "xhnBwiHQVOMogZMnT0oLtaWob2ZDxUoVZeb334e0RWHXwGNHjxpb/82YOVOmTZ1qGsX0T6u3Sv3n" + "P/8ZVGw4c+aMsT3iLLVl6jdfTwkqXjRq3Ej++847Em7mhn/9iT7H6GZoomM/e092/uxdg5vW3rvx" + "4SSRRjetLPz9CBb+ROI/X71mo3wxeXr8BYUpIdGCxfw502XR/FlhavVGtBu/E2Ihj9HNWKiRBwJ5" + "iwCChUPjjWDhEGiqcT0B/VlZv26dzJ8/X6ZPny7fTf02R5+G336b/P4Pf8gRFuxk186dRjmzZs6S" + "rydPNoUVnV6LFqPHjs3VafgY3Qw2ctnhwQQLbaSybYfOoTOnYOzqlUtk7eplti1z48NJIo1u2kL5" + "XyCCRSg6scWFYhpbifa5nBQsul0/IOTOVPYtzN1Qr30nxEITo5uxUCMPBPIWAQQLh8YbwcIh0FTj" + "OQLbt2+XR/74J5mpZl/43MIff5RSpUv5TiM66lkczzzzrLzz3/+a6d9+9x3p0LGjee60B6OboYkH" + "EywqVq4u1/ceEjpzCsaGejvsRsGiUsVyMqBvN1vS0e4SYlvI/wJDPVyzJCQUueBxoZgGzxV9jJOC" + "hRs/Qwt+mCEL5537bbMSdmN/rO2P1I/RzUhJkQ4CeZcAgoVDY49g4RBoqnEFgSVLlsiHH4yUvcp6" + "fq9evaTr1VeFbLf+/HTvdq0sX77cSPfBhyOlTdu2sn/fPtFry3ft3m3sMvLQ7x8KWY6OfPKJJ+SN" + "11430t1z7z1y9733hs2TrAQTv/xO1qzbnKziXV8ugkVqD2HxjHQZfms/20YiWNhiMQJTwYbF+g1b" + "ZNzEnLPXgrc49hgEi9DsECzEmBXzwD032YIKJerff/eNuTpD0rbBBEIAAkkhgGCRFKyBhSJYBDIh" + "JO8SmDN7tgwaMNAAoA1qfvDRh2FhPPfss/Lyiy8Z6V5+5RVD5Dh27Jg0rN/AzLt81UpJT083z+08" + "s7+fLYMHZtc9cNAg+fs/HrNL5kjYx599Kdt/3uVIXW6sBMEitUetcKECctcdg20bGUywqFSpkvS4" + "4QbbPMECDx48JCtXb7SNblDvPMnMLG4bFyxw3ty5snDhQtvotWqXEjuDvKGMbt4+YoTkV1vTRup+" + "k99k3vyltsmrVC4v1apWso1LZODPO3ZJ1tadiSzStqxDB/cHXQYVy7amXpulhGCRfdlgdNP240Mg" + "BCDwPwIIFg5dCggWDoGmGlcQ2L1rl7S+uJXZ1m+++1bOO+8889zOM6j/AJkzZ44RNWbcWGl80UWG" + "//JOl5rGNZ986t/SU83YCOVeVWLH0089bST5o9pS9ZZht4ZKntQ4ZliExotgEZpPbsfGMsMit9sc" + "rv5YBItwZRJvTwDBQgTBghkW9p8OQiEAASsBBAsrjST6ESySCJeiXUngLvVWctKXk4y2F8vIkBdf" + "ednWnsSZ02fkP0pkeP6554y0ZcuUke/n/iAFCxY0zj8cOVIefeQvJgO9LGTYbbfZThXV27A+dP8D" + "pvHNr7+ZYiwlMTM77Ak13dXhpqRkdQgWKTksZqNC2bBo16at7Pj5ZzOtWzzBBIv7771Pxo8b55Zu" + "uKKdl3W5Tn5Xv1FUbWWGRVS4XJEYGxauGCYaCYFcJYBg4RB+BAuHQFONawhs3LhRrld2KXxbouqG" + "t2rVShpd1Fjq1asnZ89m7xbyvdqi1Ge7Qqf599NPyQ09e2qv4fSykP59+8rSJeemWNepW1cubtlS" + "GjRsKCUyM2XDhg2yePFimfbdd75sxrT0p57JnmlhBjrsQbAIDTwWwWLC5x+ELtSB2Gt7DrKtxWsP" + "W6EEi6aNL5IDBw7YckjlwGCChXWGVyq3301ta9ayvbRs0zGqJsfyGUqF74RLO3eTYpklA/rKDAsR" + "BIuAy4IACEDAjwCChR+QZJ0iWCSLLOW6mcDin36S4bfcKnt/+SWibrzyn//IlVd1DUj7i8p/y9Ch" + "OUSLgESWgBF33Sn33HOv7Vp1S7Kke1kSEhpxtILFz9u2yJwZU6RilWqhC05i7JqVS6XzVT2kWs06" + "AbXE8rAVUEgKBYRaEnJph46yZcuWFGpt+KYUUrO2Vq1ba5vwr2oW10g1mwuXOAJdu/WSmrXrRVVg" + "LJ+hV5//h1x4UYuo6klk4q1Zm6R69VrStuOVAcUiWLAkJOCiIAACEAgggGARgCQ5AQgWyeFKqe4n" + "cOjQIXn7rbdk4oSJpi0K/1517dpV7n/owZB2Ls6cOSOjP/9cPh/1uSwKYlCvWfPmMuLOEbZLT/zr" + "dOIco5uhKcciWCycO1N+/XWPHDt6JHThSYy9pnu/PCFYhDK6+cZrr6kdeZ5MIuXEF93p0kvlrbf/" + "a1vwqVOnpFGDC+SkOuLiJ5BRtJgMHnZf1AXFKliUr1hZdu/MvSVKjZRggmARfLgxuhmcDTEQgIAI" + "goVDVwGChUOgqcbVBLQxzj179sj+/dlTycuULSPVq1WXohlFo+qXnoq+Y8cOOaiOx48fl1KlSkvl" + "KpWljLJ/kUqOGRahRwPBIjSf3I4NNcNCt+2vf3lUPvnoIzmtxMRUd+3atZP3RoZeTjRv7jy5+847" + "Rc/o0r/puOgJ5FO7qZQsVUau6zlY0otmRF0AgkXUyFI+g74m2NY05YeJBkIgVwkgWDiEH8HCIdBU" + "AwEXEcCGRejBQrAIzSe3Y0PZsLC2beOGjVKjRg1rUFT+LVu3y+djp9jm6Xl9Z6lRrYptXCSBe/fu" + "lQoVK0SSNEcabQw4Vnf2t7Py3Evv2Wa/uEUjade6mW1cIgNDMU1kPf5l5c+f3z8oqnMEi6hwuSIx" + "NixcMUw0EgK5SgDBwiH8CBYOgaYaCLiIwKzZC2XegnPGQl3UdEeaimDhCOaYKylXtrQMGdg95vyR" + "ZtyStV1GjfnaNnmvHl2kRvXYBQvbQpMcePbsWXn2xXdta2nVsrG0a+OAYBGCqW3DUiQQwSJFBiKB" + "zUhLyy/33XWjbYmhRP37777Rdjcw24IIhAAEXE0AwcKh4UOwcAg01UDARQTGT5gq6zZmuajFzjYV" + "wcJZ3tHWllG0iNw+rH+02aJOj2ARNbKwGVav2ShfTJ4eNl2qJUCwSLURib89LAmJnyElQMDrBBAs" + "HBphBAuHQFMNBFxE4LPRkyVr6w4XtdjZpiJYOMs72trSCxeSEbcPjDZb1OkRLKJGFjbDT4tXybfT" + "fwibLtUSIFik2ogkpj0Y3UwMR0qBgFcJIFg4NLIIFg6BphoIuIjApK9myMrVG1zUYmebimDhLO9o" + "a8ssniE3D+kZbbao04eytxCvDYuoG5OADKlgw2Jz1jYZPe4bozfx2pVIAJKIi0CwiBiVaxLq608v" + "77BzLAmxo0IYBPIeAQQLh8YcwcIh0FQDARcRCHUz5qJuJK2pCBZJQxt3wZMnfCpbt2wQvZ0wzt0E" + "9JT8zBKljJ07MooVT+nOIFik9PDE1DiMbsaEjUwQyFMEECwcGm4EC4dAUw0EXEQgt41url7+k2Sp" + "h849e3bJ8SOHDXJFMjKkbNnyUq1GbTm/QWNJSyuQa0QRLKJH//P2LbJ18wbj76ga0yNHD0tG0WKS" + "rsa1WvXaUq3meVKlWq3oC7bk+Grip7Jpw1pLCF4vEEhPz5Abh98fdVc2rV+tvkfWy47tW+Xo0SNy" + "+tRJKaquOS2CVKtxntSqfb6ULF026nLtMiBY2FFJfNihA/tkw/pVxvfIgf371LgelgIFC6lxzZBK" + "lasavw/n1W2QkIoxupkQjBQCAU8TQLBwaHgRLBwCTTUQcBGB3DK6uXXzepk9c6qUKl1aChdOl0MH" + "9suhI4fk7OnTol62SqFChSWfmqZ77OhRaXPJFVL7d4m5MY12aBAsIiemf2N+mPmNbFQPGVqQOK3G" + "8uCBX+X4sWPym9pGM009bBQqUECOHDki1WvWltbtr5DCRYpEXoEl5Rsv/lPOqJ0ucN4j0OXqnnJe" + "3foRdezg/l/V98gUOXHipJRQ4sShg/vkhLreTirBQu+EUlB9jxQsWED27N4pF7fpJE1atI2o3FCJ" + "ECxC0UlM3PIlC4zvEi1I6Nk3B5V4ceTwIfU98pvkU/8KFSksv6nxLVSkqLRVvw/lKlSKq2KMbsaF" + "j8wQyBMEECwcGmYEC4dAUw0EXEQgN4xuzp7xtWxct1oqVakuu3ZuN25GgyErkl7UuGGtWKmKdLjs" + "GklXb9ecdAgWkdHWAtT3SqzILF5C0pQosWnDmpAZ9Zvv48ePSbsOnY1ZNCET+0XqB9HXlWCB8yaB" + "Zi3bS8s2HcN2bvni+TJHXXM11eyJI2omz86ftwbNky9ffilePFMJGIWkfaeuxndP0MRhIhAswgCK" + "I/rAvl8MAeqYmiVTomQZ2ay+R06dPhW0xOLq++aYmnnRuFlrdc10CpoukgiMbkZCiTQQyLsEECwc" + "GnsEC4dAUw0EXETAaaObWqzYsmm9ZGZmytaszRGT0sJFyVKl5freN0WcJxEJESzCUzyoHjI++eA1" + "aXBhU1m7erl62308fCaVIk3NoEkrUFAu63Kd8dAZUSaVCMEiUlLuTKevh9/VbxSy8etWL5Mli+ZK" + "qTLlZMPaFRHPtimorjc9c6vfkDukaEaxkHUEi0SwCEYm/vAxn74t5ctXkq1bN8v+X/dGXGABtWyw" + "YeNm0vqSzhHnsSbE6KaVBn4IQMCOAIKFHZUkhCFYJAEqRULA5QScNLqp38JPn/qllFYPGdpuRbRO" + "LxOp16CRtO14ZbRZY06PYBEe3eQJn0j+/AWMpSDhUwemKFy4iAy6+R7j7XdgbGAIgkUgEy+FXNtj" + "oFSpHtzGiZ6Z88n7r0qVqjVk/dqVUXe9QFqalC5XQW7oe3PUeXUGBIuYsIXNtGjeLNm+dZMcVks/" + "DqilPtE6Pa5drukp1Wv9LtqsgtHNqJGRAQJ5jgCChUNDjmDhEGiqgYCLCDhpdPOT91+TsupBYd2a" + "5TET0lO7r+s1WBldqxZzGdFkRLAITWvFkoWyeuUSw+7Irp0/h04cJFa/9a6glvx0u2FQkBQ5g0MJ" + "Fs2bN5eLW7XKmSEBZwcPHlLb/260LalBvfPUjKHU3tnCv+G/yW8yb/5S/2DjvEplZfC2anw2AWwL" + "tgRu3bpVJowfbwk55w0nWEybMkHN4jkmP2/Ling2z7nSs31a/LzwopYRLT3xz4tg4U8k/vPdu36W" + "iZ+/rwzy1pEN61bFXGC6MrQ65NZ7jWWE0RTCDItoaJEWAnmTAIKFQ+OOYOEQaKqBgIsIOGV0U+8G" + "smXzOvlZWfE/fuxoXITKV6wc89vRaCtGsAhN7MN3XpZyagr3hnXRv+m2lqyXh/ToO1TKqrLCuVCC" + "xYg775T7H3wgXBFRx2/J2i6jxnxtm69Xjy5So3oV27hUDdQMn33xXdvmtWrZWNq1aWYbl6jA2d/P" + "lsEDB9oWF0qw0MuPxo8eqewblJbt2zbb5o80UAtlt9z5cKTJzXQIFiaKhHm0CHX40AHZppaCxOO0" + "8cxmLdtJi9YdoyoGo5tR4SIxBPIkAQQLh4b9/9k7F6iqqnWPf4KoiBBQKj5SKpUsUzumx7R8Hstu" + "HiqttCPHsDHKtEJT7Iyy07ljnNO499ybZqY1eh3Uumap5Ts1PYlKgqKG+ARMRREfgDwUfIDdudAt" + "7L0W67XXnmvNvf97jAZ7zTnX/L71+7YEP+aaC8KCE2iEAQGBCPDadHPDmqW1T4r4NU99M0Y96KR7" + "0F9OmqFnqNdjICwaRljEnrywntWVFZbKy0sbHqizp1PcvTTssZGaoyEsNBFpDhBVWGT/ksH2wMml" + "E8ePal6j1gDp+8jQR+Kp8933aQ1164ewcMNhycH8T2dRy9taU36+8iomI0GkDX3Hjn/VyCm1KzKm" + "TVbeH0nttsmpSYnsdrggQ7EwGARAQEwCEBac6gZhwQk0woCAQAR4bbq5aP48Cm8RQSe9/KuoC+3j" + "T4w2da+y63y9XyEsGiaVwzY+lDY/zD9mfD8SpVmlpzgksL0stF4QFlqEtPtFFRapG1fVPsLU2xU9" + "LkKd47rRHx57ynWo6yuEhS5MugddvFBO3y1OoStss17pcbTevqTVEi9PftvQNLglxBAuDAaBgCQA" + "YcGp7BAWnEAjDAgIREDtr0dWXsYX8/5JTZuFUgVb9mvFq/+AYdT9d9bvVeCZG4SFJ5G646xd29lf" + "uvMMPe2l7mz5O+nz8cLLyfIOjxYICw8gJg5FFRZrV3xN1VevsttBjpu4avkp7W+P1b13iutsCAsX" + "CWu+nmP7V2xav5LOl5yzZkI2y0uvvln7eGW9EzYJaUxJr4xTHK72/0issFBEhkYQ8EsCEBacygph" + "wQk0woCAQAQ2bkqjX7K9v01D65IlYRHCNrq7eLFCa6iu/vt79aO+Dw/VNdabQRAWDdOrFRZsCfeJ" + "494v45aiSI8mfPG1NxsOeKMHwkITkeYAsYVFtdf7V7gA3cZuQ3gm4SXXoa6vEBa6MOkeJG24uXnD" + "KiouPqv7HK2BYxNfoQi2z4neF1ZY6CWFcSAQuAQgLDjVHsKCE2iEAQGBCCxbvoGOHjvp84ylzRmv" + "1dSwR9aVWxJr6KPx1KVrD0vmUpsEwqJhOjkH91J21k46a/LpIJ4zh4WF0zi2w7/WC8JCi5B2v6jC" + "YvOPq6iE/WJr9ok0nmRi7+xCj8WP9mxWPYawUMVjuPNiRTkt+fpzqqq8aPhcpROkW0ImvPYWSXuU" + "6H1h0029pDAOBAKXAIQFp9pDWHACjTAgIBABXsJi/epvqbz0PBUVWfNXtNFjX6ToljE+Jw1h0TDi" + "orOFtH71Uks23JSiREXfRmPGTWw44I0es8JizuwPNOduaEBpWTkdOKi8V8c9Xe+iyFsiGjpVo/03" + "SpqiLWk0JqGM9PTa/7TGufqlnwe2Z2S5Dt2+tm/Xijrc3tatzexBQ9dm9ikhe/dk1D4W2SpJ1r1n" + "b+o/aLihy4OwMIRL1+CUT2ayW32uUHV1ta7xaoOkJw69ZHBTZggLNaLoAwEQkAhAWHD6HEBYcAKN" + "MCAgEAFet4QcyN5N+7MymbA44zWd0OZhlPjSVK/n0TMBhIU6pS8/n03VNdfYo2q9/+to3/6D6f7e" + "D6kHZL1mhIX0C/0bydNp2KOPaM6vNKCi4iLl5h5T6qLOnWMpPDxMsU+rMeWLf1Hm7t1M1kRpDVXt" + "HzvmOYpmc7Ru00Z1nKtT+nlgzx7lR9G2iWlJbdq2cg01/fWHtT/QWzPeosdHjJDNYVZYlJYU0fIl" + "C6nKgs+b9EvqmIQJFHlrS1l+ag0QFmp0zPVtWrecCtm+JBUWrMAzsy8JbgkxVzecBQKBRADCglO1" + "ISw4gUYYEBCIgNqGYlZeRk1NNS1KmVf7i0YNuzXEm1dc1+405NEnvJlC97kQFuqopH0spNtCKsq9" + "20w1OCi49naQZqHN1QOyXrPCQlphkZebS0XFxZoxeA6wSljEde1KC1JSeKauGSv5jek0cdIk2Tiz" + "wkKa6Me1y+jkiWNMklXK5jXSEBERSWNfeM3IKbVjISwMI9M8obAgn9auWExXrlzWHKs14PEnxrAn" + "SHXWGubWj0033XDgAARAQIEAhIUCFF80QVj4girmBAGxCfBaYSFROpJzgLZuXufVvcpR7K+hI0eP" + "Z0vzmnIBD2GhjXnpos9YTSu92p/k4cHDqVuP3trB2AgICzkmaYVFoAiLC+WltGj+RwzCb1Rz7Zoc" + "ho4W6ftH/KgEatna+K0vEBY6AJsYkpa6jo79mkvlZaUmzr5+Sue4e9ljakcaPh8rLAwjwwkgEHAE" + "ICw4lRzCghNohAEBgQjw2sPChWTdqm+p+Nxptu+B8b/IN27cmB5/8k/Utn1H13Q+/wphoY34TOFJ" + "WrXsS7pq8v7zDrF31dZVO9L1ERAWclKBJCykq8/+ZQdJq3sq2IaNZl59HhxIvX4/wMypBGFhCpvm" + "SdLPqF99MYeuXL5EV9h+FkZf0oqZp5jMbh7WwuiphD0sDCPDCSAQcAQgLDiVHMKCE2iEAQGBCPAW" + "FtJO8OvYBpznzhSS0VtDevV5iPr0G8yVLoSFPtz72G0hW39ap29wvVFhzVvQs3+eQHpuBXGdBmHh" + "IlH3NdCEhXTlWzatpsMH9rI9VIzdYta6TTu2SuuFOngG30FYGARmYHgBe0zyj2w/C+l2H+lnVr0v" + "6ZHII0aNZXuvdNB7its4CAs3HDgAARBQIABhoQDFF00QFr6gijlBQGwCPG8JqU8qjd0akp21i/1Q" + "qr2kW7oNZMDgx7iurHDlCmHhIqH99Tx7AswPa5ZS1cULmveiS79g3N2tJ/V9aCiFhDTRnrzeCAiL" + "ejBuvA1EYSFd+sF9e+jnLT/Sb+zWkKvVV+Vg6rVIm/X27juA7u3+QL1W428hLIwzM3JGDVuptW7V" + "YjpdWMC+j2ivtOjQ8U56mP3/ISIy2kgYt7G4JcQNBw5AAAQUCEBYKEDxRROEhS+oYk4QEJsAr003" + "lSidPV1Qu6eFtNpC6a9pIY1D6M7OXWng0McpmN0OYscLwsI49e1bNtLB/XvoMlvarfSKuCWS3Wf+" + "FLWOaa/UrdkGYSFHFKjCQiJx+VIVbd64hvKP5rLVFvLHYjZqFMSentKOHvmPkRTWwuzjZ+uYQ1jU" + "sfDlu0Pse8iujG0NPjZZWpXVq3d/6v67vl6nEdI4mCa/+rziPGr/j5yalEiS7MALBEDA/wlAWHCq" + "MYQFJ9AIAwICEVi7LpUOHDpie8bHfz1M50uK2VLgKvaXsiiKiWlL0S1jbM8LwsJ8CcrOF9U+zaGi" + "vJxCmoRQZOSt1J79NbRp02bmJ2VnQljI8QWysKhP4/SpfDp39jRdYHtbhLG9DKLZ6qw27TpaKjwh" + "LOoT9/176TbCE8fz2GacZbW3EdbWtG17asH2rLDqhRUWVpHEPCDgvwQgLDjVFsKCE2iEAQGBCHy7" + "7AfKP1EoUMZ8U4Ww4MtbTzQICzklCAs5E1+1QFj4iqy98yZPUd7XBCss7K0LooOAUwhAWHCqBIQF" + "J9AIAwICEVixciPl/povUMZ8U4Ww4MtbTzQICzklCAs5E1+1QFj4iqx982LTTfvYIzIIiEIAwoJT" + "pSAsOIFGGBAQiMDWtEzK2LlXoIz5pgphwZe3nmgQFnJKEBZyJr5qgbDwFVn75g0ODqLXX0tUTAAr" + "LBSxoBEEAo4AhAWnkkNYcAKNMCAgEAG1H8YEugyfpQph4TO0pieGsJCjg7CQM/FVC4SFr8jaNy82" + "3bSPPSKDgCgEICw4VQrCghNohAEBgQg4ZdNNpyKDsHBeZSAs5DWBsJAz8VULhIWvyNo3LzbdtI89" + "IoOAKAQgLDhVCsKCE2iEAQGBCGDTTfViQVio87Gj16ywmPxaEntEYjl73OplO9JuMGbm7t0UFR3V" + "YL+eDklYFBUXUV5unp7h3MYkvzGdJk6aJIuXti2NxiUkyNqlhviRCdSuwx2KfU5ohLBwQhWszwGb" + "blrPFDOCgD8RgLDgVE0IC06gEQYEBCKATTfViwVhoc7Hjl4zwkLKc9nSpfTvTf+2I2XVmP94912v" + "hUXByZM0c+Ysunzpkmos3p2jnh5FQ4YOlYWFsJAh8XlD9569qf+g4bI4O7enUmbGFlm71DAhaQZJ" + "qw/8/YVNN/29wrg+EPCeAISF9wx1zQBhoQsTBoFAQBHAppvq5YawUOdjR69ZYWFHroipTADCQpmL" + "L1shLBqmi003G2aDHhAAgesEICw4fRIgLDiBRhgQEIgANt1UL5YZYbFm+SKqqakh6XuuXa8RTz5H" + "t8d2koU3s5xdNonNDRAWNhfAgvCBKCwaBwdTNfu+YNcLwqJh8th0s2E26AEBELhOAMKC0ycBwoIT" + "aIQBAYEIYNNN9WIZFRbSbBfKS6mk+Kz6xD7ubdHiFopu2VoWBcJChgQNNhAINGHhhO8JoWHh1LJV" + "G1m1cUsIEW4JkX0s0AACIOBBAMLCA4ivDiEsfEUW84KAuASw6aZ67cwIC/UZ7e2FsLCXP6JfJxBo" + "wsLJdYewuF4dbLrp5E8pcgMB+wlAWHCqAYQFJ9AIAwICEcCmm+rFgrBQ52NHL24JsYO6tTEhLKzl" + "6c1sEBZYYeHN5wfngkCgEICw4FRpCAtOoBEGBAQigE031YsFYaHOx45eNWExaOij9IdH/+iW1pUr" + "V6mysrJ2X5EmISEUHhHu1m/XQUXFBbrKcgsKDqLQ0FBq2rSJXalYHvdS1SWquvHEkmZNm1Jo81C3" + "GEdyD1HKZ3Pd2lwH/vhYU9e1OfErhAUR9rBw4icTOYGAswhAWHCqB4QFJ9AIAwICEcCmm+rFgrBQ" + "52NHr5qw6NXnYerTb5AdaSGmAQIF+Udp5XdfKZ4BYaGIxWeNEBZEeEqIzz5emBgE/IYAhAWnUkJY" + "cAKNMCAgEIHVa3+iQzlHBcqYb6oQFnx564kGYaGHkrPHQFg4pz4QFrglxDmfRmQCAs4lAGHBqTYQ" + "FpxAIwwICERg8ZI1dLLgjEAZ800VwoIvbz3RICz0UHL2GAgL59QHwgLCwjmfRmQCAs4lAGHBqTYQ" + "FpxAIwwICEQgdesO2rlrn0AZ800VwoIvbz3RzAiLUyeP04qlC/VMz33MxCl/5R7T7oAQFnZXoC4+" + "hAVR89CmNGnC2Doo9d6p3TY5NSmRgoKC6o3GWxAAAX8lAGHBqbIQFpxAIwwICEZg7sdf0qXLVwXL" + "mk+6EBZ8OBuJYlZYZKanUliLCCOhfD4251A2jZ8wjZqFNvd5LCcFgLBwTjUCXVg0YqWIHzGEOneK" + "VSwKhIUiFjSCQMARgLDgVHIIC06gEQYEBCSw4P+WU3lZOVXXXBMwe9+lvGxxChUW5MsCtGnXgUaN" + "GS9rd3pDRtpPtDN9i2KaE5JmCPHXQm+ERUlJEVVVXlS8frsaISzcyTt90809O7dSetpm96RvHP3l" + "7f8S4t9Q/eS3bN5AaVs21W+6+V7E67mZvI43kVG30MABvanlrdENjoawaBANOkAgoAhAWHAqN4QF" + "J9AIAwIg4DcERj/zDGXuzJRdzwO9H6BvliyRtTu9YdZ7M2neXOXHSUJY2FM9CAt37k4XFqeOH6AV" + "3y9zT/rGUU5eHgU3Dlbsc2rjrJmzaN6HHyqmJ+L1KF6IF40QFl7Aw6kg4EcEICw4FRPCghNohAEB" + "EPAbAhAWzislVlg4ryZGMxL5lpCjOVm0bu1KxUsW8Rd8CAvFUt5shLC4iQJvQCCgCUBYcCo/hAUn" + "0AgDAiDgNwQgLJxXSggL59XEaEYiCwussDBabbHHQ1iIXT9kDwJWEYCwsIqkxjwQFhqA0A0CIAAC" + "HgQgLDyAOOAQwsIBRfAyBZGFRVHhEVryzSJFAlhhoYhF6EYIC6HLh+RBwDICEBaWoVSfCMJCnQ96" + "QQAEQMCTAISFJxH7jyEs7K+BtxlAWHhL0LrzcUuIOksIC3U+6AWBQCEAYcGp0hAWnEAjDAiAgN8Q" + "gLBwXikhLJxXE6MZiSwsCo7up5UrvlO8ZKywUMQidCOEhdDlQ/IgYBkBCAvLUKpPBGGhzge9IAAC" + "IOBJAMLCk4j9xxAW9tfA2wxEFhbH87Jp7erligggLBSxCN0IYSF0+ZA8CFhGAMLCMpTqE0FYqPNB" + "LwiAAAh4EoCw8CRi/zGEhf018DYDkYXF6ROH6Ptlyo80hrDw9pPhvPMhLJxXE2QEAnYQgLDgRB3C" + "ghNohAEBEPAbAhAWzislhIXzamI0I5GFBTbdNFptscdDWIhdP2QPAlYRgLCwiqTGPBAWGoDQDQIg" + "AAIeBIwKi4z0dPrTmOc8ZuF/mLptK7Vv314WeNZ7M2ne3LmydqlhQtIMCgoKUuxzUiOEhZOqYS6X" + "QBMWd8XeYQ6UhWf9z3v/S6Oeflo2IzbdlCFxa4CwcMOBAxAIWAIQFpxKD2HBCTTCgAAI+A0BM8Ji" + "zuwPKDIq0jYGqZtTad7HH9HAQYNkOQSysEjftpHKykrpUlWljIudDeMnTKNmoc3tTIF7bJGFhZlN" + "NyVhMfyx4dw5uwLu25tNQ4YNo7/9599cTTe/QljcRKH4BsJCEQsaQSDgCEBYcCo5hAUn0AgDAiDg" + "NwTMCou83FwqKi62jcO/5qdAWNSjf6G8lFYs/ZIqKsrot9/qddj8tlEjosSXpkJY1KtD/MgEatfB" + "/hUJ9VJye2tm001JWPTo2ZOyfvnFbS6eB+MSEyEsTACHsDABDaeAgB8SgLDgVFQIC06gEQYEQMBv" + "CEBYOK+UZm4Jcd5VBHZGIq+wMLPpJoSFuJ93CAtxa4fMQcBKAhAWVtJUmQvCQgUOukAABEBAgQCE" + "hQIUm5sgLGwugAXhRRYWZjbdhLCw4ENj0xQQFjaBR1gQcBgBCAtOBYGw4AQaYUAABPyGAISF80oJ" + "YeG8mhjNCMLCKDHvx+OWEHMMISzMccNZIOBvBCAsOFUUwoITaIQBARDwGwIQFs4rJYSF82piNCOR" + "hUXBsf20cvl3ipeck5dHwY2DZX1YYSFDIkwDhIUwpUKiIOBTAhAWPsVbNzmERR0LvAMBEAABPQQC" + "SVi8PPltaiTtAunwlxlhcUdsO+oa14mkr056FRaepcM5R2n/oSOm04qMaEHdusVR57s6UPPmoabn" + "sfrE0rJyys07Ttn7DlPVpStu04ssLLCHhVsp/f4AwsLvS4wLBAFdBCAsdGHyfhCEhfcMMQMIgEBg" + "EQgkYfHmO/+k0vILji+wEWHRo1sXuu++OIpp3dLR11XGuGftPUiZu/bRNZ2PMYnt2I7uu7cLxXVx" + "7hM1JOjS5ezbn0Nb03ZSZdXl2jqILCyuVp6hzz/9VPHzhBUWiliEboSwELp8SB4ELCMAYWEZSvWJ" + "ICzU+aAXBEAABDwJBJKw2J2VTYsWr6CLN36p9GThlGM9wqJJSDAljnuaIsLDnJK2rjyqq2vok8+/" + "lq1IqH+ytApm2JAHqft9d9dvFuJ9yoJlVHy+jEQUFhL3Xj3vod07t9G8uXMVeUNYKGIRuhHCQujy" + "IXkQsIwAhIVlKNUngrBQ54NeEAABEPAkEEjCwvXLVk7uMTpVeMYThWOOr9XU0Ivjxyrm88f4p2jK" + "tKkU27G9Yr8ojadOnaYcdjuF5yumdSu6O87ZKyo8c/Y8PnXqDK1YuYbe+++/e3bVHif/5W3qem83" + "xT67GiPCw6lzp44UzgTYrPdmQljYVQgb4kJY2AAdIUHAgQQgLDgVBcKCE2iEAQEQ8BsCgSgsnF68" + "GrYKoUunToppvvLqqzQ1eZpiHxqdQyBtWxqNS0hQTGjhV19R/4f6K/Y5oRHCwglV4JcDhAU/1ogE" + "Ak4mAGHBqToQFpxAIwwIgIDfEICwcF4pzQqLObNnO+9iqBElTZnsdV4Z6ekk/ee0V9KUKYopQVgo" + "YvFpIx5rag4vhIU5bjgLBPyNAIQFp4pCWHACjTAgAAJ+QwDCwnmlNCMspF/m30ieTkOGDHHUBS1c" + "uJAyd++mqOgor/IaO+Y5uiUiglrHxHg1j5Unr9+wgZKnJ9PIUaNk00JYyJD4vAHCwhxiCAtz3HAW" + "CPgbAQgLThWFsOAEGmFAAAT8hgCEhfNKaVZYzJn9AeXl5lJRcbGjLsoqYRHXtSstSElx1LUlvzGd" + "Jk6aJMsJwkKGxOcNEBbmEENYmOOGs0DA3whAWHCqKIQFJ9AIAwIg4DcEICycV0oIC3lNpBUWEBZy" + "Lr5owR4WvqDq3DkhLJxbG2QGAjwJQFhwog1hwQk0woCAwASkR0biVUdgzLOjaVdmZl3DjXe9HniA" + "Fn/7jax9R0YGffiB9Jf8PFv/kv/ZF1/QgIEDZfnNfv99+njePFm71HDg8GEKDg5W7HNSYw17Ssg9" + "cXGKKU185RWa8vrrsj6pLnPnzKEjefbWRZYYa/DnFRZTp02jCRMnyi57+88/s8fOjpO1Sw3z2W0y" + "D/brp9jnhEYz/4bi2Cax3Xv0oL1ZWbZdwrjE5+mv77wji//+rPfpowYe03ooN0eI7wmyi7KwIW37" + "bsrYuVdxxqlJiRQUFKTYh0YQAAH/IgBhwameEBacQCMMCAhGoKSkjDZt3k7SoxSvVkNY1C/f998u" + "oNOn8us31b6PaduBnnr2eVn7qZPHKTN9C5WUnKOqyouyfl4NI558jm6PlT9JY8fPm2nXjq2KaUxI" + "miHED9+SVPtkzruK19Crz8PUp98gWd/1uqSyuhTZWhdZYqzBKmERfVsrWrt6pVII29r69htM9/d5" + "SBa/IP8orfzuK1m71BA/MoHadXDuo1vN/Bv6ePbfqVVMWzp7+pTiNfNo7N6zN/UfNFwWauf2VMrM" + "2CJrlxpE+Z6gmDyHRggLDpARAgQcQgDCglMhICw4gUYYEBCIQA375W/+gmV0vqxCoKz5pQphwY+1" + "3kgQFnJS8SPiKTgklPbu2SHvtLEFwuI6fAgLGz+EPgwNYeFDuJgaBBxGAMKCU0EgLDiBRhgQEIjA" + "gYNHaO36VIEy5psqhAVf3nqiQVjIKQ0eNJQio26DsJCjsbwFKywsRyrshBAWwpYOiYOAYQKBIiz+" + "HwAA//933vXqAABAAElEQVTsnQV8FNf2xw8kOEFa3KG4u7u7FtdCKRUKdW/f63t9/ffVHqXutEBL" + "oXhxKRCc4G4BgrskIUiS/u+ZdCazm/Wdubuz+7v9lJ2ZK+fO985sdn5z7zmZ/hKJApjYfObMmZUe" + "7N27l6pXrx7A3sA0CIBAMBBYv3E7bd66Oxi6EpR9mDvzJ7pwLi5D34oUK0V9BozMcPzcmVMUs3kd" + "Xbt2mZJuJ2bIl3Wge+/BVLJM+Qzmtm5cQ9u3Rmc4zgfGTXhd+xvhsECQHExNTaWvJ//HYW/qNWxB" + "DZu2zpCXNi5rxbhcCei4ZOiYOBCzYwflfyC/oyyPjjGP1i3b0YMFC9GenVs9qiOrUOOmbahOw+YZ" + "zJ2NO0EL5kzLcJwP9Ow7jIqXKuswLxgO+nIPfTnp31SoSDG6dOFcwE6hZu0G1Kx15wz2t21aSzFb" + "1mU4zges8p3gsPMSDr7wzGgJVmACBEAgGAhkzZqV7t+/T9u3b6e6desGQ5dM6UMmCBamcEWjIAAC" + "fhCAYOEaHgQL13wCkQvBwpY6BAtbHmbvQbAwm7A12ucXgM9NGGWNzqKXIAACfhOAYOE3Qs8awAwL" + "zzihFAiEE4GFi1bT4aMnw+mUvTpXCBZe4ZJSGIKFLWYIFrY8zN6DYGE2YWu0nylTJnp+4iPW6Cx6" + "CQIg4DcBCBZ+I/SsAQgWnnFCKRAIJwK/zlxEZ89dDKdT9upcIVh4hUtKYQgWtpiTk1Oobev2WBJi" + "i8W0PQgWpqG1XMNYEmK5IUOHQcBnAhAsfEbnXUUIFt7xQmkQCAcCmGHhepQhWLjmE4hcCBa21DHD" + "wpaH2XsQLMwmbI32McPCGuOEXoKAUQQgWBhF0k07ECzcAEI2CIQhAfiwcD3oECxc8wlELgQLW+oQ" + "LGx5mL0HwcJswtZoP0tkBE0cn9HxsjV6j16CAAh4SwCChbfEfCwPwcJHcKgGAiFMIHpDDG3ZtieE" + "z9C/U4Ng4R8/M2r7KlisXDyH7t67Q8nJyWZ0y+c2jYoScvt2Al0XUVCCKSFKSNpoIEpIMF2VxvQl" + "IiIzPfv0KGMaQysgAAJBTwCChaQhgmAhCTTMgICFCMxfsJKOxmYM22mhUzC1qxAsTMXrU+O+CBZs" + "6PCB3XT6VKxPNo2ulDdvFBUrUlBp9q1//tPvsKb/emcSbRXhKZlNMKUKlapR6XIVM3QJYU0zIDH9" + "AMKaGosYS0KM5YnWQCDYCUCwkDRCECwkgYYZELAQgZmzl1Dc6fMW6rHcrkKwkMvbE2u+ChaetC2r" + "TKXyZahH97aGmGMeH0+eYkhbshqBYCGLdLodCBbpLIzagtNNo0iiHRAIfgIQLCSNEQQLSaBhBgQs" + "RGDx0rV04NBxC/VYblchWMjl7Ym1UBAs6tWpSm1aNfbkdN2WgWDhFpGhBeDDwlCclm0sc+bM9NyE" + "UZbtPzoOAiDgHQEIFt7x8rk0BAuf0aEiCIQsATjddD20ECxc8wlEbigIFq1aNKQG9aobgg+ChSEY" + "PW4EgoXHqEK6IJxuhvTw4uRAIAMBCBYZkJhzAIKFOVzRKghYmQCcbroePQgWrvkEIheChS11CBa2" + "PMzeg2BhNmFrtA+nm9YYJ/QSBIwiAMHCKJJu2oFg4QYQskEgDAnA6abrQYdg4ZpPIHJDQbCoUa0C" + "derQwhB8ECwMwehxIxAsPEYV0gXhdDOkhxcnBwIZCECwyIDEnAMQLMzhilZBwMoE4HTT9ehBsHDN" + "JxC5oSBYwOnmCVowZ5rDy6dn32FUvFRZh3nBcBCCRTCMQnD0AU43g2Mc0AsQkEEAgoUMysIGBAtJ" + "oGEGBCxEAE43XQ8WBAvXfAKR60qwqFi5BlWuWisQ3fLKZvnypal2zSpe1XFW+K+/Umn23OXOsoPy" + "+OVL52nT+lUO+wbBwiEWvw8iSojfCG0agNNNGxzYAYGQJwDBQtIQQ7CQBBpmQMBCBOB00/VgQbBw" + "zScQua4Ei0D0BzaNJQDBwlieamsQLFQSxnzC6aYxHNEKCFiFAAQLSSMFwUISaJgBAQsRWLlqA+3a" + "e9hCPZbbVQgWcnl7Yg2ChSeUrFumS4+BVOahikF7AlgSErRDI7VjmGEhFTeMgUDACUCwkDQEECwk" + "gYYZELAQgdnzltOJk2cs1GO5XYVgIZe3J9YgWHhCybplGjVtQ3UbNg/aE4BgEbRDI7VjcLopFTeM" + "gUDACUCwkDQEECwkgYYZELAQAQgWrgfLF8Fi84ZVdPPGdbqTdNt14ybmdu89mEqWKZ/Bgi8PWxka" + "CYIDX33yjuKXKQi6gi4YTKBFm05UvVZDg1s1rjlf7qEvJ/2bChQsQlcuXzCuI162hCUhXgJzUxyC" + "hRtAyAaBECMAwULSgEKwkAQaZkDAQgSwJMT1YHkrWCTcukHzf59K8fE3XTdscu6AIWPpgYKFM1jx" + "5WErQyNBcOCXKZ8LUehaEPQEXTCSQKZMmWn04y9Q1mzZjGzW0LZ8uYem//Ap3U6Mp5TUVEP74k1j" + "DZu0EjNXMobS3bZpLcVsWeewqXETXide+oCUkQCWhGRkgiMgEMoEIFhIGl0IFpJAwwwIWIgAnG66" + "HixvBQvXrQU+15eHrcD3OmMPbifE08zp31BSAGexZOwVjvhDgMWKemIpSAPxYB3MKVTuIZUxBAuV" + "hHefWbNE0oSnRnhXCaVBAAQsSwCChaShg2AhCTTMgICFCGCGhevBgmDhmk+gc2OPHqSrly9S3vwP" + "UK5cUYHujsf2K1d+iKpXreBxeVcFrRjWVD2f+/fv0bVrlylr1mxUsXLNoJ5ZofYZgoVKIrw/McMi" + "vMcfZx9+BCBYSBpzCBaSQMMMCFiIQDD4sLhy6QJdu3qJkm4nKuRy5MpF+R8oSAULFQ04SVmCBS8l" + "uXjhLN2+nUD3792nnLlyU1RUHipeqpyhDIL5Yev+vXt09nQsJSYmiGvhNuXMmUtwyEXFSpS1xIOs" + "NwNVtXI56tq5tTdVnJZlJ6QfT57iNN+KGbLuB1/YyLqHZN0PwT7D4mzcCbHE7oZYUpNIWbJmVb4X" + "ChcpTrnz5PNl+AyrAx8WhqFEQyBgCQIQLCQNEwQLSaBhBgQsRCCQgsX+3TG0a8dmZY10nrz5iH8A" + "8vfUPfHgylP+ebtG7QZUq16TgBE1W7A4c+o4bdn4J926dZMKFS6qMOCTZQZ37iRRojhesUoNatyi" + "PWXJktVvDrIetrzp6C3hi2LDuhV06sRRKlGyDGWOiFCclvKMifvJ9+iqELSKi+NNmrd36JfDG1vB" + "UhaCheORkH0/OO6F66Nm30Oy74dgFSw2rltOB/fvpvxi9lT2HDmVQUlNSaH795PpxvUrQtDNS/Ua" + "taCy5Su7HjCTciFYmAQWzYJAkBKAYCFpYCBYSAINMyBgIQKBWBJy6/pV2hC9QswkuCd+iOaii+fP" + "UELCrQzUIiMiiWdb5MyVh5q1bE+Fi5bIUMbsA2YJFvx9vEn8II89dogeqlCNTgvh4qqYZWKfIoTD" + "u5y5oyg5OVkw6EAVKtewL+LVvtkPW151RhTet3sbbRRiRbUadcUsm8t0/mycQ8eEuXPnEbMuEsQD" + "SkvlIcVbO8FWvnHDWtS8aT1DuhUKMywCdT/4MgBm3kOBuB+CTbA4ffKYImDyDLvU1BSKO3GM7oml" + "Q/Ype/aclClzJipVujw1adGOcogZWTITloTIpA1bIBB4AhAsJI0BBAtJoGEGBCxEQLbTTf4xumTB" + "b1S6XCVKFCIFL4Nwl3KLpRF3hXPFBk1aS59tYYZgweFO586cQnny5BU/uCOUmQXuGGTLlp1YwClc" + "rDh16j7AXXGn+WY+bDk16iRjyYIZYpp3AkXlyU+nYg9Tsnh76i7lEdPAM0dG0qDh48RsFOtGL2jV" + "oiE1qFfd3el6lG91wSKQ94NHgO0KmXUPBep+CCbBImbzOjq4bycVKVaSLl04o8w8s8OfYZe/E/ga" + "6t5vKBUuIk/UhtPNDEOBAyAQ0gQgWEgaXggWkkDDDAhYiIDMGRb8HTRj6ldUUkzv3yuWg/iSBg0b" + "R/kLFPKlqk91nAkW7CTwgQIZw4Z6YuTmjauiWCbxIztRWfbiSR21DE9DjhI/0HP66GAyUYRbdRZy" + "VWYIQ36THHv0ECWKWRM3rl1RT8+jT551wtPAO3Tt51H5YCyEGRbpo7J62XxlWdjxIwccvklPL5lx" + "K1KIV03FzKNqNetnzDTpiCvBokixUj5Z5ZCnd5KS6C8xo+B+8n2v2+AlE/nyF/C6HlcIlu+ES0K8" + "Xjhnmlj+VZZOHD/s9bmwcDF09NNe1/O1AmZY+EoO9UDAmgQgWEgaNwgWkkDDDAhYiIBMHxYb1y6n" + "6yIiAE/9TxA+KnxJefM/SENGPulLVZ/qzJ7xvXjTd86nularJEuw4DX6LFyVEbNsjh894BOmrMKf" + "R2MxDVzmg6pPHXVSCT4s0sAcO7yPYrZEiwgvuenM6ZNOaLk+HCFmKQ0e+QRF5c3vuqBBua4EC4NM" + "BE0zsr4T+IR51hkv/Tp2ZL9P588icqky5YWQ2den+t5Wgg8Lb4mhPAhYmwAEC0njB8FCEmiYAQEL" + "EZAlWJw7e4pWLZlLBQsX8+ntmYqUf5SWr1iVWrXvrh4y9XPqt5MoQbz9DIck6+GElwTxm3F+i5ri" + "wTIQZ+xZtHjkiReVt/POygTrcQgWpMwumvrdJOWN+pFDe30eKp5xk//BgtR/6GM+t+FNxeWLZvss" + "tHljJxjKjhr3POX42+Glmf3ZuW298ONzQjgavk1Xr2T05eOp7SyRWahd595SHHFCsPB0VFAOBEKD" + "AAQLSeMIwUISaJgBAQsRkLUkZMuG1Yq/gkMHdvtNh/05jBYPqjLS91+8LyJ23JVhKuA2xo5/VRES" + "zOwIO1r9/sv3qXiJ0j6/Udf3r1mrjlSzTiP9IUtsY0kICWeKR2lHzCYRBea810tBHA3yyLHPKuGA" + "HeUZeczZMjEjbQRLWz36DKUSpY0Nrezo3Hh2Rb58D5ARfx84olDPfsMdmTH0GJaEGIoTjYFA0BOA" + "YCFpiCBYSAINMyBgIQKynG7+Pv1bEe0it3AwecxvOpnEG9V+A0eL2RpF/W7LXQPc78uXL7grZvl8" + "fjP56PhXTD+Pk8cPiYfUjcoyG/6b5G/iULD9Bj/qbzPS68PpJtGGtcvo1s3rdDL2qCH8a4vwx01E" + "+F+z05YNf9IOMSMgHBILwywQm5nu3r1D076fTHnz5afLIoSxv4lnXo156mV/m3FbP0tkBE0cP9Jt" + "ORQAARAIDQIQLCSNIwQLSaBhBgQsRGDx0rV04NBx03v88zf/oyzZstENEdLUiNS2U0+qVKWWEU25" + "bOO68LcxY+rXooz/D9cuDQU4s74IF9qgSSvTe3Fgz3axRv0AnT1z0hBb7PtghHizbrVUr05VatOq" + "sSHdtmqUkBWL5yiOZ331XWEPr0y5itSl50D7w6bs//j1R0pkClMaD5JGy1esJsUfBDvdXTR/hiJe" + "GXPqmeiJZ94wpikXrWCGhQs4yAKBECQAwULSoEKwkAQaZkDAQgRmzl5CcafPm97jLyf9m3LkzEVJ" + "txMNsSXrAZs7y6LFqqXz6KZ4G8xv73JGRQm/CZFen8e1q5foL+GzwZcoAI6M+ROphCMD3BPLM7Ln" + "zEm16jSU5rySwxYePrjHsIcTWW9THfH351il8mWoR/e2/jSh1bWqYLHg96lKuOIr4r4wIhUqUoz6" + "DRpjRFMetTFv1k9pEW5EeN2c4rsti/Cv423i+5C/E5NTkr2t6rA8h/otXLSEwzx3B9XvhGzZs1GF" + "SjWoYdPW7qoYkn/uzClaIgSLe/fvGdIeNzLi0YmUSzjwNDu98Mxos02gfRAAgSAhAMFC0kBAsJAE" + "GmZAwEIE5i9YSUdj40zvMc+wSPkr1bC3ks1adRC+C4x5Q236yf9tgN8o37p5jS5dNEYgKlFSPPRK" + "WKttJJ/9e2IUweLi+bOGNJs9ew565PEXDGlLZiM1qlWgTh1aGGLSqoIF7gci3A+kiD6L5v5Ct4R4" + "Y1Qa8+RLxIKumQlON82ki7ZBIPgIQLCQNCYQLCSBhhkQsBCB6A0xtGXbHtN7PGv6N8obfV6zbkTq" + "1msQlSpbwYimpLXBa/Yvnj9DFw0Kk1qxSk1q16mXtP4bYYh9WGzbHE1XDPILkkeEshz6yHgjuia1" + "DfiwSPNhgfsB90OaD4tPlL8PRtyEHDXmsQmvG9GUyzYiIjLTs0+PclkGmSAAAqFDAIKFpLGEYCEJ" + "NMyAgIUIyHK6uXn9KjoTF2uIU7XIiAgaOnqClIgARg5l3IkjtH7dSrppkB+P9l36iKnb1Y3soult" + "3bt7l6Z8/SGlpKYaYovPnzlYLUGw4CghuB9wP6TdubNnfE9379yhmzeu+X0r5xXRRoaMesrvdtw1" + "AKeb7gghHwRCiwAEC0njCcFCEmiYAQELEZDldPPs6RPCD8R8SkyM95tOnrz5xFv1p/1uR3YDqakp" + "9OPXH1OKWKvt7wM7r1UfKzzhR0R670tD9nnb21s0dzpdu3qFEhJu2Wd5vd+z3zAqXrKs1/UCXQFO" + "N4lwP6RdhbgfiNi3zdHD+wxxyly5ai1q07Gn6bc4nG6ajhgGQCCoCECwkDQcECwkgYYZELAQAVlO" + "NxnJ6mXz6fy50345XIyMiKSuvQeZ9pB6XziivHHjKt0WD9MJCfGURTjZZIEkKk9+4cQtyu+RPbhv" + "h/hxHu33w3r9hiKqR1Pzo3r4fcIOGrh2+SL9/ut3fos2JUuXo+59hjqwEPyH4HQzbYxwPxDhfki7" + "Fn6Z8jklCzE3MTHB5xs4T5681HvAKCkON7mTcLrp81ChIghYjgAEC0lDBsFCEmiYAQELEZDldJOR" + "8JTfaT9+yq9WffYIX71WfWrRpovhhC+cP02H9u2ig/t3OW27QIHCwnN+KypdrpLTMp5kcHSEW7eu" + "U/wt35zMFS5anPoOtLZ3+u1boumQYH3r1g1PkGUowxFn+vQfSXnzP5ghzwoH4HQzfZRwPxCF+/3A" + "V8OZU8dpuXBMzD4tfEnsu6JD175UtnwVX6p7XQdON71GhgogYGkCECwkDR8EC0mgYQYELERAltNN" + "FcnhA7tp/ZplwsHaXfWQx58PFihEfQY+osx68LiSm4LJycm0Yc1SOrBvp5uS6dksGHTrNZiyiQgV" + "vqQb167QjGlf018++HHImi079RKRQQoUKuKL6aCq8+vPX9JtsUSI1/F7k/hBoWXbLlS1Rj1vqgVV" + "WfiwSB8O3A9pLML5flCvhjUrFtKJ2CM+RZOqWLkGtevcW23K9E843TQdMQyAQFARgGAhaTggWEgC" + "DTMgYCECspxu6pEcPbiXooVIkJqSQveT7+uznG6XKvMQtWjdmfIIh2pGpaTbifTHnOl05cpFmyZ5" + "JgULAlF58glh5Q5du3KJTsedsClTqHBRJaSor6Hzbl6/QksW/k6JYumJp+LNgw8WohbiQb1o8VI2" + "fbHqzl8izO2SBb/R+TNxHs+44ZkVDZu0srRYweMFwcL2qsX9QBTO94P+atgkHBPv3rlZ8PhLf9jp" + "dqTw41Oleh1q3LwdRUZmcVrO6Aw43TSaKNoDgeAmAMFC0vhAsJAEGmZAwEIEFi5aTYePnpTeY/YV" + "sXThb3RBhPnkWQ7OUvYcOalB45ZUvVYDZ0V8Pr54/q906sQxrT7PnGjcrC0VK1FGO6ZuXL96WcwM" + "WUpnTp9UD1GZchWoS89B2r4vG+tWL6L9e3a4rMp+OypXqyV+kLenLFmzuixrxcz9e2Joc/QqSklJ" + "dunXgn1WsGhl1WUg+rGpXbMytW/bVH/I5+1UMVPn48lTfK4fTBVxP5D4Pgi/+8H+Grx88ZyyPIRn" + "YLn6+5D/gYJitlVnh9/Z9m0avY8lIUYTRXsgENwEIFhIGh8IFpJAwwwIWIjArzMX0dlztjMMZHb/" + "yqXztDNmE10Qzjh5pgHPuojMkoX4TfpDFatRDeGzgkULo9OBvTto7apFWrMsPnTs+rDLqBvJ9+/T" + "gjlT6eL5s1q9gUMfowcKFtb2fdm4LZzM7dq+kU7GHlWmQqcIASdzZARlz5ZDOBctQ3UbNDN0Zokv" + "fTS7Dj9079u9jQ4f2EPx8TcpRcy8ySwiobBAU1DMZqlZp5FpjlbNPjdH7ZcrW5L69urgKMvrY8nJ" + "KTTps5+8rhesFXA/sJuf8LofnF2LJ44dUr4XrgrBmB1y8u/YLFmzC0fIeamqmFVRoVINl9/Zzto1" + "6jicbhpFEu2AQPATgGAhaYwgWEgCDTMgYCECgZphEUhE/DDw83eTiJeEcMqTNz8NGvEERUREuO1W" + "/M3rwnHoZ1o5WSH0NIPYCAkCmGEREsOIkwhjAphhEcaDj1MPSwIQLCQNOwQLSaBhxlACSUlJ4q1z" + "utfwvPnyEsc/9zbdvHlTvL1PVapF5YkSa10jvW0iJMsHwodFoEGejYsVMyWma93o0KUPla9UXdt3" + "t7Fi8Ww6duQA8XTksuUqUqPmbd1VQb4fBHjWjb2fD16rzjNxvEm8DImXnagpc+YIypotm7rr8pNF" + "LvazkBB/S4RdjFfe9OYRPk7Yz0lu8b8n30l37iSxowLFTtPGdahOraoZbGbJKmYXCWeuEWKGjaeJ" + "+2b0khD+vZAoZrpwypEzt89vsbkdDhFMlIlyirDA/JDnS2LHrEm3ExRHt0bMuEoQ0WmSxSye7Dly" + "mTKDy9U5GnEtuWofeXIIGO3D4r6YwZcQnx7SNVfuXMQPSN6m24m3RaSVNEfG2XNkpxw5fHMO7a1d" + "lAeBUCcAwULSCEOwkAQaZgwlMPXnn+mfb/1Da3Pq9GnUtFkzbd+TjRvXr1O9OnW1ov/819s0fMQI" + "bT+cN8JRsPhz+QI6JKKVqGnc069RZg9mV6jl7/KDp3jwyiYidiCZT4DDHS6c+4uNoRJiqUwPES3F" + "m/TLT18I0eGqVoUduXbrPUTbd7Rx68Y1ZZnK/n07tBk59uV4+VL9Ri2En5HaLp3+fTnp3/ZVXe7X" + "rFWT+vTtR127daUCBQpkKPvhBx/Spo0blePnL1zKkO/qQKMmral4qXIZipw8foi2b11Ply6et8lj" + "R6+16jT0OGTkscP7afeOTRnaYWe1deo3pXIVMoo1NgbFDs+Aitm8lo6LZQHqbCguwz8a2cli7XpN" + "KWeu3PbV3O7zmE6f8rlSrmmL9lSrXhO3dYwoYOS1ZER/0IZ/BIwWLA4dOkTdOnfROjXxmYk04Zln" + "tH1PN3p170H79u1Tinfp0oU++/ILT6uiHAiAgAsCECxcwDEyC4KFkTTRliwCV69epYb16mvm+g8Y" + "QO+9/19t35ONX3/5hd547XWt6IZNm6hIUeuHhdROyI+NcFwSMmvaN1pkEHbgOGTkk34QRFWzCTgS" + "LNjmyLHPevzAeu3yRfpt+jc2XXUnWLDzw3Wrl9jUcbXDwkX33oNFhJmiDot5K1joG3njrTfpkdGj" + "9YdowvjxtOiPdD8sNpludjp162cjGrBjw2XCCW7cqViXNRsKoaOeEGecJdWZrt45raOyzL5jt4ed" + "hig+JUJbLhYRZFwlXsrVs+9QihKfnqb7wg/CwjnTND80sgQLo68lT88X5cwjYMaSkO5CsDgohAtO" + "/BslesMGj2ZvqWd59MgR6tyxk7pLn33+OXURgicSCICA/wQgWPjP0KMWIFh4hAmFgpDA0089RYsX" + "LdZ6tu/gAa+mOfbp1Yv27N6j1G/bvh19+913WlvhvhFop5uB4P/9F/8VSwzuKabLV6xKHbr2C0Q3" + "YNNDAs4Ei1btuonwpukzp1w1t3Xjn8rMAX0ZZ4IF/63kWTiHD6Z9Z6h1WJAoXqK08HnygDj0F928" + "cZ2OHz2gZiuf/IOm78BHKL8IQWuf7AWL3Lly2RehhMQ0vyoZMsSB7374ntq0TV9+5I9g0bXnACpd" + "rpJmZs2KhXRw/y5tn4W8MmXLi4lEmZVIOtevXdbyqteqRy3aOH4IctYOVz4pIvLoZ7hUq1GHWrbr" + "rrWrbnBEnhlTv1J3lc8KlapR3nwPEvuQOXxor5bHvPsMGEUPiFDE7hI7zV2yYIZNpB+zBQuzriV3" + "54p8OQSMdro5fdo0euuNN7XOz5r9O9WtV0/bd7fxwfsf0FdfpM2o4O+XrTu2i5mAni17c9c28kEg" + "3AlAsJB0BUCwkAQaZgwnsG7tWnpk5CitXW/eGhw/dow6tk/3xs9iBYsWSGkEwm2GBUfg+Oaz/9OG" + "390bY60gNgJGwJlg4emyEP7bN+37yZSg+FJIPw1ngsXu7ZtoY/RKrSALFfxgy35O7H1VJCXdpu1i" + "2cLe3TE25YeOGp8hBK1esBg99gl6/fWXtDr6DfZxcDrutFgK9xatW7dOn0W79u6hqKgo5dj58+fp" + "yuUrwi1GKk2fsdCmnH4nXvhrWLZotnaII+J07jFQ8ydx+uQx+mPer1p+3frNqEHT1tq5cn+2b15H" + "MVujtTK9+4+gosVLa/u8cVGEKJ7z24/aMRY2mrbspDmzZV8km6JX0J5d27QyfQaMpCLFSmn7vDH7" + "1++0pSTMvvfDwymf8BejJhY9Fsyepo1ncRGGuKco4yqdP3uKVi9fSLeE4KFPZgsWZl1L+nPAdmAI" + "mDHD4saNG1Svdh3thEaMHEH/ePttbd/VRoqIFtS0USO6Imalchozdiy99vprrqogDwRAwAsCECy8" + "gOVPUQgW/tBD3UASsP9D3L5DB/r6W9vp3c769/FHH9Hnn36mZBd48EHasGUzHG7qYIWbDwv2P/HD" + "Vx9qBFq37ybWw3v2ll6r5MfG3bt3tHCdfjQTVlWdCRYMwZNlIfYP0io8R4LFtSti6YhYMqQmXnbQ" + "q98wxbGmeszR54Y1S20exFu06UzVazWwKaoXLMZPfI6effZpm3z7HRYK3n3nP/TjDz9oWY58+HA5" + "Z043U4RIMG/mj5oAwDMnHh40xsbZqN6nS5FiJal3/5GamKEa5t8Pc0U7akhfDjfcvE36ensup384" + "ZzuDho3L4BuG+zpT8FVnbDRq2obqNmyumiG9fwk+2KPPECpR+iEtX92wF1lGjH2GcuVKE3LUMvzJ" + "zlq3bFglQmNu1x/Wts0ULMy8lrQTwEbACBjtw0I9keeefZbmz52n7PIsiW07d3jkfHN9dDSNHJ7u" + "m2vJsmVUsVJFtVl8ggAI+EkAgoWfAD2tDsHCU1IoF4wEJk/6hD6ZNEnrWsyOHSJKg+u1y/ZCx1NP" + "j6fnnn9eawMbROEmWPD34FefvKMNfZMW7RTnfdoBgzc4GgFPYb9y6TxdunBeeyvMf/h4GjuHRS0v" + "prtnyeLcG/wRsTRh/94dSs+aNG8n3kiXdNvLtSv/oGvXrlCkcCbapdcgxRnkZdGH9WuWua3rrEB9" + "8WBZskx5Z9mmHdcLFsztwYJF6PzZOMWeJ8tCNq5bLhxAblHKc2QX9WHZkWChRoBRT4b9m/DDt7vE" + "D+K/Cqee6hv83Lnz0NDRT2uzFLi+t4IF14mNjaUObdNnhD3/wvP0pPBdoU+uBAv9uXOdwSJ8b74H" + "0h14ct2vJ/9Ha65dp15UsUpNbV+/sW3TWorZkjbjo2SpstS97zB9Nq1aOpeOHEpz9udI0FALb45e" + "RTu3pzkLLVe+CnXq/rCaRXt2bKYN61Yo+zy7YtRjz2l5+g32ufGtbqZUn4GjxJp/2/uC773fZ/yQ" + "wWGnuhyM2zNTsDDzWtKzwHZgCEREZKZnnx5luHF2pDtsyFCt3W+//57atktfCqZl2G3ohY7aderQ" + "7Llz7EpgFwRAwB8CECz8oedFXQgWXsBC0aAjEHfqFLVp1Vrr1zvvvkuDhwzW9h1tbFi/gUYMS/9R" + "verPP8W67DKOiobtsXBbEsIDPeWbj7WHGI5Y0FiIAGYkFhqi/1yi+ctwZoP/CLbp0MPGCaK+7M5t" + "62nzhj+VQ+0696aKlWvosx1u66fVjxr3vPD5kpPOnj4pptJPdVjek4OOZg14Us/fMvaCRZPm7Wnt" + "6sVKs+6WhfAD+c/fTVLGmznXrNNYe+i2Fyx49ssPX36gddeZjwWtgN3Ggb3bae2qxSIMaC4qLYQd" + "vq54W016wcLVkhC1vPrZrEkTunD+grLbqVMn+uJrW/8OzgSLK5cu0KxfvlWboYZimUe9hi20fXWD" + "fUawiHP1ymWqVrOeU0em68W1rC59KS38W3TtZfv9q/dfUUlco23Fteoo6duxFyx4DK5evkDXRJ+y" + "iig8zq51Di3787fpAna/wWOoUOFiNuYunD9Nc3+boh1joa+D6NNWIbyo/knMEizMvpa0k8JGwAiY" + "sSSET4ZnRbVs3ly753v06EGTPp3s8jxv3bpFdWrW0sq8/+EH1O/hdCFQy8AGCICAzwQgWPiMzruK" + "ECy844XSwUdguHjrsPHvMH716tenmb/PctnJ5597jubNmauUady4sVjnnb5O22XFMMoMR6ebc2dO" + "oQvnTiujzA8x7LTPm8R+MC5eOEuFihRzGMaS81cunUOxxw5rzfKDa9FiJahAwaLKsWtXL9GxIwe0" + "fN5o0LgV1W/c0uYY7xglWFy+eE5EvUh70Lc3og9jWbBQkQxLAri8p+Eo7dv2d99esBgkZj3oH1Zd" + "LQvRizTVatYlnvmwZeMapUv2ggULTKuWzde6y0sjOJynp4kfNO6IUJy5ovI4rKIXLAYPH03v/PtN" + "h+XsDzYSUZLUdenDhAD79jv/tiniSLDgv/fzZv2kXee8tGXQ8McpIjLSpq6nO3eEr45Z07/VZgjV" + "b9SSGjRpZVNdPzuCf9jxONkv0+AHeZ6JooYpdXbN2zTsYEe//ISzRz/xYoYww6pgwefOYWcrCBGF" + "fZCsFmNstmBh9rXkAAkOBYCA0U431VP4UkT34LDFatL7rlGP6T9/nzWLXn4x3SfOnv37xL2XLpbq" + "y2IbBEDANwIQLHzj5nUtCBZeI0OFICPwx8KFNPHpCVqv/ly7hkqVtnX8pmbGx8dT7Ro11V36RLyh" + "6C7eVCDZEgjHGRbbhfPArRvXaCDGPPGSzZp+LcPJxoljB2npH78rufxA26ptF5uoEDu2rhcPxWkz" + "IrgQP9zVadAsg++U24kJYn39ajp0YLdmqbOYHl9WTJPXJ6MEC32b9tu/iIdINYLDY+Nf9fnB1r5d" + "I/btBYsxT75MC8VMETV0pqtlIetWL6L9e3Yo3eglHDOyUOVMsNgkHG3uEg431cTLEfQzJNTjvn7q" + "BQtPZ1gc2L+fenTrrpl8+9//omHDh2v7vOFIsDgqliGtXJq2Dp7L9BR+OIqXLMubXif2xfCniCKi" + "F7VGjJmYQZhhB6RTvv5Ia79Q4aLUvlNvyvv3EhSO8LFKRF9Rl/NwwRFjJoh28mp13G0kJ9+ng2J5" + "1Pq1y7Witeo0pKatOmn76gaLLHGnjlP5itVslubIECzMvpbUc8Rn4Aiw+PXchFGmdODcuXPUomkz" + "re0PP/6Y+vTto+3bbwx4uD9tj4lRDg8dOpT+9Z/0ZY/2ZbEPAiDgGwEIFr5x87oWBAuvkaFCkBFI" + "SkqixvUbaKH/XnzpRXr8yScd9nL277/TSy+8qOSx46rNMdu8CoXqsNEQPBhuPix4CO2nyrt64HU0" + "5Mv+mGkze0L/dpfXzU/94VOtmqNoClrm3xtbxHKPHWLZByf+gzj0kacpu1jCoSYIFsdp4dxfFBzM" + "hwWLg/t20hrhp4OTs2UhHJXix68/VJbksPDAMzGYpTPBYuWSOXT08H4bO8qOQf/oBQtPnG6ePXuW" + "Ro96hI4dPar1YP7CBVS9hu2SIHvBgvf1/jRKlS5H3fqkr4nXGnOxceLYIRHO9KhYJnLRRqjgKt2E" + "T5RSZSs4rH3uzCma//vPNnk8qyWz8KWi+vfgTB7Hth17CXGusk1ZRzssUmwWYhJHeeEZM3ofFOxL" + "o3NP9tHi+cwRGYKF2deSI044JpeAWU431bPge3/tmjXKbosWzWnKVMfL+U6dPEVtW7dWq9GceXOp" + "Vu3a2j42QAAEjCEAwcIYjm5bgWDhFhEKWIDAv0SIr59+nKL0tGzZsrTyz9UOez1owADatnWbkvfI" + "6NH0xlueTb922FgIH4zeEENbtu0J4TN0fGrTf/xMe4DiP0LDxzzj0SyLS2IpyGzhyE9N9n4O9GJG" + "1ep1qFX79Lfjah37T37AnP3Ld3RFPBxyatG6E1Wv3VArBsEio2DBSwrYF4maHC0L0UeSqFNP+CoR" + "DlZ3iNk1zgQL/VIhnjnDS0KMTHrBonPXHjRkyECb5jk8afyteLp48SIdOnSIZs2caZPft18/+uCj" + "D22O8Y69YKGfAcT53i5t4TqrxewMdhZrnzgMcK16TVwKBOpSDPu6+n1v+sQzf3gGkH3i+7bvwEds" + "ZjfZl3G0L0OwMPtacnReOCaXgFlON9WzWLZ0KT35+BPqLm3cvIkKFymi7asbn34ymSb973/KbvkK" + "FWjZivTZR2oZfIIACPhPAIKF/ww9agGChUeYUCjICdhPkXb0xtHeQecfSxZTlSq20+yD/DSldW/+" + "gpV0NDZOmr1gMRR34ggtmv+b1h1+S9+xe/8M6+C1AmKDl3DMnP6Ntv6e8x4e8igVLJTml4LfBH/7" + "2XtaFW+WFJw8fpiWLEx7QOWp9P0GP6q1A8Eio2DBcBbNnS6m/McqnByFp9WH61SdMroSLGaJcJuq" + "aFSxcnVq19n5FGxtcLzY0AsWXlRTinJI5sXLl9GD4tM+2QsWeoervvho4fYX/D6Vzp45aW9K2eeo" + "Kb36Ds2wlIN/Y2yKXqFFZHFYWXewvnAA2kA4AnWXHM3a0NfhyDm1hfNcT5MMwcLsa8nTc0U58wiY" + "5XRT7THPJGpQp642o5RfuvDLF33ie79Fs2aag85//uttGj5ihL4ItkEABAwiAMHCIJDumoFg4Y4Q" + "8q1CoHvnLnRQvIHkNGbsWHrt9ddsuv75p5/Rxx+lraWuWasmzZ2f7khPX5DfTESL2OXOUjbxBo8f" + "EOo3bEAtWrQUnv8d+8twVP/y5cv01BPpy1VefuVlYkehztI/3nyLDh486Czb5XF+mLGPHOCygi5z" + "5uwlFHf6vO5I+GwumvcLxZ08rp0wP4g1bd5WTHevaLPmnb872SfARjEtXXUWyJXsQzdeF440Z0z9" + "WmnP2zf09mLH6MdfoGzZcyhtQbBwLFgcFr4/VgufCJzsl4XoebLTxaGPjFfKuRIs9AKIvUNOpbKf" + "//gqWEx8ZiI9OvYxEb0jfZmQvit6wcJ+dkOPPkOoROmH9MU92ubrPEvWbMpMCl7mxL491Agh3IDi" + "xFOESI0QSz3UxP5YdmzboO4qY9KkRQcRvrcQ/SUerHh5Cd9Deh8WPGOjnnCI6Srx/cdiYa7cUZR8" + "/76of0osB1qkOQDluhxlp3I1z6bByxAszL6Wli+aTRwphVOvh0fYfF/x+d0U/kI4NRBsPRl/FqhS" + "UlOosBBLHfkDURrDPxkImOV0UzX03v/9H3379TfKbpXKlemPpUvULOVz29atNGhA+kyt7Tt3UL78" + "+W3K8M6unTvp3f+8m+G4eiBXzpyUOyo35c+XX/wNbEYdOna0ubfVcurn9GnTaP48x7+ruEyePHko" + "KiqKHixQgHr07EG1atVSq+ITBCxLAIKFpKGDYCEJNMyYTuCX6dPpzdffUOzww/rGLVuEk8C0H872" + "bxzefe89Gjgo/Q+6vnP6uOX64862P//iC+rctYuzbJvjP4rY6e/8+x3tWLfu3WjyZ59p+/Yb+iUs" + "9nme7B8/ecKTYhnKLF66lg4cSn9oz1AghA/wQxmLFpdF+Ed9Yn8HRYoWV8I7xt+6qeTrhQouy2Ed" + "O/cYaPOgoJ8lUUU8PLUWD1HepDm//UAXz59VqgwaPk6b6g7BwrFgcedOEv34VfoSCf2yEP2yCP1D" + "sSvBQh+WkyOlPDxkrDfD57asXrDgH/SOBMwcObKLB/2SVKxYMSperDhVq17N4TRwvTG9YLFx3XJt" + "hoPqt4PfBBuR9u7cYuPssrVY7lRFLHviZB9mtFKVmtSmY88M0Wb4d8jqZfPoyKF9Wpf046YddLPB" + "0UZmCnGQ/VpwYj8ZLEqxrwx3SYZgYfa1pF/SNm7C6zbfQzN+/koJU8sc+BoYLKK1ZBMhYl0l9do0" + "47p3ZdfKeWY63VS5HDl8hLqIUMZqWipmWVWoWFHdpVdefoVm/ZY2U7Bnr170v0/SQ/1qhcQG+8Jg" + "nxieJl5u+/wLL1CnLp1tri21/gf/fZ+++vJLddftZyvhY4OFV/jWcIsKBYKYAAQLSYMDwUISaJgx" + "ncDNmzepbq30t2k/C7W/mXgrwClmWwwN7N9f64OrcGB6waJUqVLizUQ+rd79u/co8bbwMh9nu1zC" + "kZd+rdLfG3yvdWjbjk6csBURNm3dQoUKFbIvruy/JQSYvfsyrhm/cf2GTR94xoh9yikcNPoasjUc" + "nW7q+d27d5eW/zGLTsfZjpW+jP12JREesUW7rpQlS1abrF3bN4op8auUY43EVPe6Ysq7N2mZiDwS" + "KyKQcOopptwXL1VO2YZg4ViwYDiL5/8qnEMeUzjpl4XwG+jjRw8oxwePeJzyPVBQ2XYlWMRsXkfb" + "Nq9VyvE/Y596hSKzZNH2Pdm4cC6O8uZ70GF0EfWhkNvxxOmmJ/a4jCpY8PfOT9/+T5sFVLd+M2ok" + "ZgwZlbj9OTO+15xwlhPRbDqJqDacDu3fpUQS4W3+UTd09ATK/vcMIT6mT3eF0DTth8ma88wWbTpT" + "9VoN9EU82o4TTkEXzZ+hlR047DExm6Owtu9sQ4ZgYfa15KlgwQw8EU/VaxOChbOrJuNxs51uqhb7" + "CCFiz+40P1PjJzxNz4pw7ZySxO+T6lWrqcVo2i/TqUlTx0uj9IIFOyEvVz591lVqSqoQHBOFMH9J" + "W36iNjr60Ufp9TdeV3e1T71gUaRoEZvfNc5+O3HlL7/+ijrqBBitQWyAgAUIQLCQNEgQLCSBhhkp" + "BJ4Xf7TnzZmr2Or38MP0/ocfKNtvvPYa/frLr8p2/4ED6b3/pvsUsO+YXrCYt2AB1ahp632fy99O" + "vE3vvfsuTRezOtS0Y/cuyps3r7qb4ZOnX/br01c53qZtW/pzdZpj0Bdffokef+KJDOVdHVi6eAk9" + "9XcklInPPEMTxFsKI1O4Ot20Z8jTzPfuitEecu3zeZ9nVTRu2pYeKOj4oUj/drtV265UtWY9R804" + "PaZ32KmPxADBwrlgcUQs1Vn1d/hOdVnIvbt36fsv31c4FxAPsP3Fg6yaXAkWfA3Mm/WzWpS8XU7B" + "yxZYMOCUXwgkDZu0pHIVqmrtqQ+FfMAMwcJ+OUh/4V+lwN/+VbRO+LmhX/bB5zhIiEGctoowvttF" + "OF9OHLmje99hyrazf5YsmEEnY48q2bXqNqKmLTs6K+r0uH6cuVCXHv2pzEOVnZZXM2QIFmZfS94I" + "Fnze3XsPppJlyqsIMnyq1yYEiwxonB4w2+mmapid777y0svKLosD0Rs2KLMeForfLM9MSPs9oD+u" + "1tN/6gULZyIEC5/Hjx2j/330MS1btkyr/h+xLGXQ4EHaPm/oBYtvvv2W2nVob5PPO/fF8q2Ybdvo" + "n//4p02ko0ViWUtlsbwFCQSsRgCChaQRg2AhCTTMSCGwedMmGjp4iGZr38EDyvTjapWraMdmzf6d" + "6tZz/tDoiWChNqaPc/7jT1OoZatWalaGT54toQocq/78k3p17668ueAfFeui12vLVzJUdHDAbMEi" + "XJ1uOkCtHEoRoTCTxNrw+Phb4g1WgjKVmtfr54rK63BqrL4d/QwLX95u66dy9xfLEQqIZQmcdgq/" + "AJuFfwBO7Tr1oopiur279Psv32pLXUaNe16E9HXs/0Bth6MwcDQGTo+Nf1Vco5FqVsA/z5xyLljw" + "0oAfvkwTK7mjvLzg3JmTtGLJXKXfTVu0V6JaqCfhSrDgH+w/fvWB9ua/QqVq1L5LmvCo1nf1uW/3" + "Nor+c6lWpGe/YVS8ZFltX30o5AOjxoyjN998RcvzZ0OdYbFt01qK2bJOaUrvt8NV2/HC1wGHcuWQ" + "o3fvJokZEwNcFaftW6Jp66Y1Shn2+TJELDfgtGHNUtqzKy0qU7nyldy2s271Itq/Z4dSl5ePtBXX" + "NSf2FXPl8kW6eeOqMjOgdLlKynFH//C9+s2n72pZnbr1sxGItAy7DRmChdnXkreChbulIeq1CcHC" + "7mJxsWu2003VdHx8PNWukf6dP/P3WcpyskdGjKR169Lud3cvQzwRLFR7/Pn2P/9JP0/5STmkhIXf" + "tlXMGkv/G+KJYKG2d+fOHRr4cH/aty9tGVjb9u3o2+++U7PxCQKWIQDBQtJQQbCQBBpmpBDgH4R6" + "79j8BzBT5kz06Ogxin1eg7li9aoMa6j1nfNGsPjg/Q/oK+HDgtNnn39OXbp11TelbfOMjBrV0qZp" + "qk6y9LM+vvvhe+JZF54mswWLcHa66ekYeFrumHjwW7FkjlK87EMVFR8Xntbl7+evPnlHK65f169/" + "EG7ZtgtVq1lfK+dsg0N+qn43xo4XSxsiXS9tsKpgweevn5nCy0JOxh7R3t4PH/005c6TvtTLlWDB" + "bekfpHmfw2YWLlqCN10mXuYwU0QZUX0qsGAwZNRTNt8/6kMhNzRs5KP09tsZp1q7NOIkUxUsFs6e" + "SmdOn1RKVa5aS/Eh4aSKdviacIT5m+i3mvRCmXpM/6lnrRcaDu7bKRxh/qEU5R91o594yebc9W3w" + "tj7spz7Kh35ZlDvh44rwPTNLCHNqGip458n3gLrr9FOGYMHGzbyWPBUsOOLQpYtpTpVdXRPqtQnB" + "wull4zDDbKebqlG9rwp2NP7kk09QPRFBRE3OQp6q+d4KFjw7okvHTtqy1v9+8D49rFtq641gwX04" + "c/o0tRKOy9XEL3LKlC2j7uITBCxBAIKFpGGCYCEJNMxII8ACAgsJnPr266f8QJ79++/K/ptvvUWj" + "Rj+ibDv7xxvBYqxYy7l6ZZp/Alc/DuaKZSov/L3GlNea8prTrcIp6OCBaVMqvX27YLZgEc5ON51d" + "F74e57fV0378TKnObzSHiXX8kR7OVLh04SzNnvGDUpcdCA4bM0F74NMve2jUtI3wjdHcZRf5Afbr" + "yf/RyjzxzJvatrMNKwsWeqGIl4CooUkdhfR0J1jYO4/ksejeZ7DmANURP+a9RPjSUEOscplmrTpS" + "zTqNbIqrD4V8cMy4J+m1V1+0yfd1h+1/OOkHmzFv1rID1azb2G2T/LtA7/eiWs261LJtN4f19Nco" + "F2jZRohntdLEM/s8/ZIm+8YuXTxHs3/9Xjusn4lycN8OJQKImjlMONKMEuKPo6RfVuKJSKK2IUuw" + "MPNa8kSwYCZ9B44WkYu+Uk+dnI2Lem1CsNBQud2Q4XRT7cT2mBjiWZ6c2NH4S6++Qi+9kPb90b5D" + "B/r623TRUa2j//RWsOC6U374kf79r38pzfTo0YMmfTpZa9JbwYIrjhORjlauWKG08Y5YZjt4yGCt" + "PWyAgBUIQLCQNEoQLCSBhhlpBM6fP0/Nmzh2MrV1e4wSktRVZzwRLPi+mfnbTHrtlbTp2+qsCWft" + "6qN9rF6zRgmFyg8U+tkga9dHU4kS7t/asg2zBYtwd7rpbBx9Pa5/c+yJuKDamTfrJy3cY/1GLalB" + "k/QlR/olEQ8JnwgdxdR3V4nDUE794VOlCD9wD380bZ2zqzpWFizYcer3X7yf4fRaCceoVWvYLglz" + "J1hwI7tFCE8Ov6km/pHSqFlbZSlOVhHqU58unDtNG9Yu095icx6/1e718MgMDjvVh0IuY7QPizf/" + "8YHNg6k7nwXcBzWtF8s59v69nIOPdRDLYMqL5TD6dP3KJVq8cKaydISPM5Mho8ZrzkVTxfIMFiFU" + "sYjL9Bk4SkTbKcmbWrp14xr9IRxlqsuP+PrkKBaqc9NEsRTr5+8/0coXFtF6uvUeYhPlgr9PeWmK" + "uvyFC+sjwWiVnWzIEizYvFnXkqeCxZgnX6ZdMcIZ8Po0sd3Z0hD12oRg4eSicXBYltNNNs2/Qxw5" + "8ua8b0VEsrbtXM/a9EWwOHv2LLVsliaOs0iyRfymUpMvgsX8efPouWeeVZro3bcPffTxx2pz+AQB" + "SxCAYCFpmCBYSAINM1IJ8BIQ1amlathdCFG1nF6w4LcUxUsUV7MoJTlF+J1IoH1792kOoziSyPdT" + "fqRy5cpp5fQbsbGxyo8KPsYhC3mtqZo+//Qz+vijj5TdCRMn0MRn0/5wq/nOPs0WLFau2kC79h52" + "Zh7HvSSgf9vPVV29IVabtq/DfgHYP4Ca7P00DB7xhIh6UUDNzvC5Zf1q2hGzQTmun7afoaDugJUF" + "Cz4NfVQQ9bRGPfac9kCtHvNEsOAH4nWrFtFBEfnCPpUqXU688c8nltsk0dWrl7QHb7UcP4D3GzxG" + "CYmrHlM/1YdC3h/35AR66SXPvgPU+s4+ub/PPvcG/TEvzdkwl7NfCuOsLh+/f+8ezfr1O5tz4eUY" + "pYSTRl6nf1ksKdi3Z7tNE47e1LOoMWPa1zblOJJI8ZKlKasIq3nl0nkt5KpaqM+AkVSkWCl1V/nU" + "Ly/hA/wjsXa9ppRHcE+Ivykc4x7U/LNwPosavfuPcutjhstykilYmHUteSNYcB/mipDJrpaGqNcm" + "BIu0a8STf2XOsOD+fC+cW777n3SfLXyMhYQNWza7ncnni2CRnJxMlcpXYDNKOnL8GEX8HTbYF8FC" + "P9O0RYvmNGXqVLVpfIKAJQhAsJA0TBAsJIGGGakElgtv1k+MS/NUrxrWhzlVjzn61AsWjvLtj30o" + "3gj06t3L6Q9jFiRYmODEUUs4eoma9Gs42YlVzK6dIiyma78CXNdswWL2vOV04uQZtZv4NICA/VT1" + "dp16i+gFGZ0H8oMEO9RUnRiy6cbN2lCdBhmXfOhnbrh6KD57+gQtmD1NOwtPHRFaXbA4IcLBLhVh" + "YdVUqsxDypt5dV/99ESwUMvqI2Kox1x98oNzu469bMQmfXn1oZCPjXhkLP3jH6/ps33e5uto3OPP" + "0urlC7Q2PFkGpBUWG+zLYsGc6ZrfE32e/barCDixIpTsnysWao5L7evq91u16yZmwKSvw9fn6Z14" + "6o/bbxcvUUZxRJsrKo99ltN9mYKF2gmjryVvBAvuw41rl+nXn/VLQwZSqbIV1e6Rem1CsNCQuN2Q" + "5XRT7cglEXa0SUPbZWb6MKdqOUefvggW3E6tatW1UKf66Gi+CBbHjh6lTh06Kt1zN1PV0TngGAgE" + "mgAEC0kjAMFCEmiYkUrgnng72EA4n0oQccQ5KZE41otIHH+/CXDVGW8FC26LfVBM/vRTEXUhh03T" + "PCOjaaNGdOVqWqSFXXv3UFRUlE2Z4UOG0saNG5Vjnwv/G527drHJd7QDwcIRleA+xuEt5/3+s80b" + "6zLlKlCx4qXpwQKFKDn5voiEcEk4hjxs86aYH7K79BzkUBC7eP4MzfntR+3E+Q9n8ZJllDZz5spN" + "8bduEoe1VENFckH24dC7/0jNF4ZW2cGG1QWLZOEk7tvP39POrF3n3lSxcg1tX93Ys2MzbViXto6a" + "3/536p4uKqpl9J+8dGH/3u0ZZgboy7DfjIZNW5GriBZcXn0o5O0x454SPixe4E2/EwsWo0c/SdFr" + "0kIROvLd4YmR+/fv0a5tGylma7TD4rwciX1juBMH+PrfFL2Cjhza57Adnr3RTIQx1TtDdVSQr+f1" + "IurKZeFc0z6xaNewaWuqJJyLepvWCuegB4STUE7Nha+RGna+Rrxtz9PyRl5L3goW3Ed9FCNlaYiY" + "qZUte9rfMfXahGDh6WiS8r36/ETXfrI8b82zkk+KlzP6kKPqslN3tX0RLPiZoXzZ9Nmke/bvo1zi" + "ZQsnXwSL/fv2Uc/uPZT6NWvVpLnz5yvb+AcErEIAgoWkkYJgIQk0zFiGgF6wmCdimteomf6Aw/cL" + "h+NiPxlLFy+mjz78SDuvYcOH09v/TnNGpR7U/yDgpSP//Nfbapb2ybNBbglV4QAAQABJREFUZvw6" + "Q9lv2rQpTf1lupbnbMNswQJLQpyR9+/4vbt3adWyuTYCgqsW6wlHmvUbt3IoVqj17B0SqscdfbIf" + "BRY/WMzwJAWzYOFJ/80uw98Ht0WYW/axkJgQLwTRzGKJQn7lodtdBBZHfWvcsBY1b2rrX8NROU+O" + "sWDx8eQpnhT1qAy3lyiWXtwQYg1v5xfLj1hc4Cnw3iSuy/5UuB2xCp/y5ntQcaDpbTu8JIof9nk5" + "SM7cUaI/BW18WnjTp2Aoa8S15ItgweOhXxqiXy4GwcL7K0P2khDve5heQ//7ZLRwIP76G6+nZzrZ" + "unz5MjVu0FDJ5Vmhu4VgoSZfBIulS5bQU0+khUHu2asX/e+TSWpz+AQBSxCAYCFpmCBYSAINM5Yh" + "4EqwsD+JDes30Ihhw7TD9k49n37qKVq8aLGW78nG8lUr6aGHHnJZ1GzBAk43XeL3O/NsXCzt2bXV" + "qXBRvWY9qlK9DhUoVNQjW+wHgB0kHjqw22F59n1Rs3YDqlyttttQpvoGZonQlqrDxHETXvf64VTf" + "FrbdE2jVoiE1qFfdfUEPSvCDqJGChQcmUSTABHwRLLjLN69doV9+/lLrfdeeA5SZQRAsNCQeb2TN" + "EkkTnhrhcflAFvRFsNi1cyf169NX6XbtOnVo9ty0kN18wBfB4tuvv6H3/u//lPY8XcqiFMY/IBAk" + "BCBYSBoICBaSQMOMZQh4I1jwSbVp2Yri4uKU8/tlxq/UqHFjZfvKlSvUqH4DZdubfzie+muvu17H" + "brZggRkW3oyY72V5GQi/nU9IuKWIAVFRecXshyjK7MHSJUdW2SHabdFWgnjbnyLa5ineOcRsilyi" + "TaTgJxDMMyyCnx566KtgweT0kUv4e2OQWBry41cfKlCxJMTzayvUZ1jM/O03evXltOho9lE9fBEs" + "9L+33nv/v9R/wADPYaMkCAQBAQgWkgYBgoUk0DBjGQL6P6D2S0IcncQjI0bSunXrlKwfRLSQVq1b" + "K9v6eOUjHxlF459+2lF15diB/ftp5PC0tzI8zXJzzLYM/jD0lc0WLOB0U08b2yAgh0DVyuWoa+fW" + "hhjDDAtDMFqqEX8EC75e5s2aQhfPn1XOuZLw9XL40F5lG4KF55eBbKebnvcsY0lvZ1jwcth2bdoI" + "v0hp/mM+/t//qFef3lrD3goWR48coc4dO2n1/1y7hkqVLq3tYwMErEAAgoWkUYJgIQk0zFiGgDeC" + "RVJSEjUWsyhU555bhNBQoEAB5Vzbt2lLJ06cULYXLvqDqlar5pQB34f6eOoceaSPiEnuLEGwcEYG" + "x0HAugQgWFh37IKh5/4IFtx/9gnCfmvsEwQLeyLO90NZsPjmq6/pv++lOTHm0KnrN2+yiWrmrWCh" + "f9kD/xXOrynkBDcBCBaSxgeChSTQMGMZAp4KFvHx8fTCc8/TyhVp0QXYqeaf69Yq57l71y7q2ztN" + "cChbtiyt/HO12/PXx1N35y3bbMECS0LcDhcKgIDhBLAkxHCkYdWgv4IFw9JHzFHhQbBQSbj/DMUl" + "IQkJCfTlF1/SVyKKmZrYgfjwEba+OjwVLE6LJbT//b/3aIlwuKmmP5YspipVqqi7+AQByxCAYCFp" + "qCBYSAINM5YhoBcsOnXqJEJEFrfpe0pKMl28cNEmjBgX0C8H+ccbb9K0adOUei+/8go99vg4mzYc" + "7Vy6eJGaNErzf8H5rmZlmC1YwOmmoxHCMRAwlwCcbprLN9RbN0Kw4KUh80X45QvnTmu4IFhoKNxu" + "ZImMoInjR7otFwwF9EtC+MVKa7HcQ00pqSki8lEiXbp4gaKj16uHlU/2XfH++x9QhDhXfdILFi1a" + "NKfyFSpq2fdFiOkEEdo49vhx2rN7j3acN955910aPGSwzTHsgIBVCECwkDRSECwkgYYZyxDQCxae" + "dvqVV1+lseMeU4on3b5N1aumL/9Yuz6aSpQo4VFT48Y+ps3YGCaij7z9zr8d1jNbsFi8dC0dOHTc" + "oW0cBAEQMIdAvTpVqU2rdNHSHyvwYeEPPWvWNUKw4DO3XxoCwcLz68GqMyw8PcMRI0fQG2++lUGs" + "4Pp6wcKT9thf1xv/eAuONj2BhTJBSwCChaShgWAhCTTMWIbAK8ID9izhCdtV4rcRJUuWpGo1alD/" + "/v2pdJl0R1Erli+nxx9Lm1HRoGEDmjFzpqumbPJWrVhJj4koIWo6fOyoCEMZqe5qn/pyL7z4Aj0h" + "wqcamWbOXkJxp88b2STaAgEQcEOgUvky1KN7WzelPMuGYOEZp1AqpRcsHp/4BrE/BTXN+Pkrun7t" + "MvGP6zFPvqwedvq5d+cWWr92uZIPwcIpJocZLzwz2uHxYDu4aeNGGjZkqNNusaBQpFgxKi5mmdat" + "W5cGDBxAhQoXdlp+8qRP6JNJk5zmc3tlxG8nbq912zbUo0cPEckqp9PyyAABKxCAYCFplCBYSAIN" + "MyBgIQLzF6yko7FxFuoxugoC1idQo1oF6tShhSEnAsHCEIxoBAS8ImAlp5tenRgKgwAIOCQAwcIh" + "FuMPQrAwnilaBAGrE4jeEENbttmuM7X6OaH/IBDsBODDIthHCP0DAdcEIiIy07NPj3JdCLkgAAIh" + "QwCChaShhGAhCTTMgICFCMDppoUGC10NGQIQLEJmKHEiYUrASk43w3SIcNogYCgBCBaG4nTeGAQL" + "52yQAwLhSgBON8N15HHegSQAp5uBpA/bIOA/ASs53fT/bNECCIAABAtJ1wAEC0mgYQYELEQATjct" + "NFjoasgQgNPNkBlKnEgYE7CK080wHiKcOggYRgCChWEoXTcEwcI1H+SCQDgSgNPNcBx1nHOgCcDp" + "ZqBHAPZBwD8CcLrpHz/UBgGrEYBgIWnEIFhIAg0zIGAhAnC6aaHBQldDhgB8WITMUOJEwpQAnG6G" + "6cDjtMOWAAQLSUMPwUISaJgBAQsRgNNNCw0WuhoyBCBYhMxQ4kTClACcbobpwOO0w5YABAtJQw/B" + "QhJomAEBCxGA000LDRa6GjIE4HQzZIYSJxKmBOB0M0wHHqcdtgQgWEgaeggWkkDDDAhYiACcblpo" + "sNDVkCEAp5shM5Q4kTAmAKebYTz4OPWwIwDBQtKQQ7CQBBpmQMBCBILF6WZi/C1KvJ1AmQS7nDlz" + "U66oPBaiaExXk24nUlJiAt27f49y5cpNOXPnoYiICGMat0grd+/eURjcFixy5sxFOQSHbNmyW6T3" + "nncTTjfds8L9QBQu94OrqyE1JYUSE8TfB/HdmDVLVuU7IYf4bgh0gtPNQI8A7IOAXAIQLCTxhmAh" + "CTTMgICFCATS6eali+do/+4YOnXyGEVkjqDs2XPQX+K/O0m3KSU1lUqVfoiq1qhLRYuXshBR77p6" + "904Sbd8aLRgcJ97OniMnZREiRVJSEiWIH+klS5ej8hWrUaWqtbxr2GKld25bTydPHKNrVy5RTiFS" + "3BYPJ8yCr4X8DxYQ10J5qt+4pcXOynl34cPCMRvcD2lcwu1+cHQ1HDu8j46K/0+L78ZcUXkpe7Zs" + "dC85WflO4L8VpcR3Y+36TSmXEHYDkeB0MxDUYRMEAkcAgoUk9hAsJIGGGRCwEIFAOd3cviWadsVs" + "pEJFilGWrFnpbNxJZWaBii4yMlIRMO7du6eIFo2btyN+oxVK6eihvbRp3Uoq81AF8Sb1Ll04d0YR" + "KfTnmDf/g5QqfqQ/WLAwNWvZgfLke0CfbfntSxfO0oZ1K8RYZxf/56Srly/S5csXbM4rd+4oihRv" + "VjNlykzNW3WgEkLIsnqCYJFxBHE/EIXr/aC/Glik3Ci+F6+K74EixUrQ9WtXxHfjaUXEVstlFbOu" + "osQsvFs3rlOTlu2pWs36apa0TzjdlIYahkAgKAhAsJA0DBAsJIGGGRCwEIGFi1bT4aMnpfX45vWr" + "tGr5fIqMEIKEeIN+/OhBt7bz5M1HqSmp1LZjDypeqpzb8lYosHrZfOIZJgUKFqHTcbHKW0NX/c6T" + "Jx/Fx9+kpi3aU826jV0VtUxezOZ1tHv7JipVtgLduHaZrojZFa4SL5NJFuJNufKVqXWHHq6KBn1e" + "7ZqVqX3bpob0M1XMRvp48hRD2gpUI7gfiML5flCvu9ijB2jdn0upcJHi4js/meJOxapZDj+zZMki" + "lozloNx58lKXngMU0dNhQRMOYkmICVDRJAgEMQEIFpIGB4KFJNAwAwIWIvDrzEV09txFaT1ePP9X" + "4ofv2GOHlDXJnhrOlDmzMuNi+OgJFCFmX1g58eySSxfPKsseLl0879Wp8NKZHv2GWX6ZzGmxDGjd" + "6sVi5kgROnH8sFcMsmbNRrXrNaF6jVp4VS+YCpcrW5L69upgSJeSk1No0mc/GdJWIBrB/UBi2UN4" + "3w983fHMihlTv6JixUvTqRNHFHHS0+uRfVsUKVacuvUZ5mkVQ8rB6aYhGNEICFiCAAQLScMEwUIS" + "aJgBAQsRkDnDYt/ubXT4wG6FjrcP6lyJf5QWLlqcuveV+6PUyOHkKd8LZ08TM0XKev2grvaDl0gM" + "f/QZddeSnzN+/pIeLFCYjh3Z71P/+e1mv0GjqWDhYj7VD3QlzLBIGwHcD2kcwv1+YAqrly0QS+OS" + "6NwZsTxQLAX0NrGQWatuE+HrRo6QiRkW3o4QyoOAtQlAsJA0fhAsJIGGGRCwEAFZPizib14n/lFe" + "tnwVxZGar4j4D0bDJq2pRp1GvjYR0HpzZ/6krL1mZ3K+JmZQQggenboP8LWJgNbbuG65si79hlib" + "fuvWTZ/7kidvfhr6yHif6weyInxYpNHH/UDCXwPuB3awGSNmnrFfCnfLQFzdt+znZsiIxymP8P1j" + "doIPC7MJo30QCC4CECwkjQcEC0mgYQYELERAlmCxa/tG4UTtEh0Xb9Q5Aog/iZeUDB39tD9NBKTu" + "xfNnaN2qxeLt4V3xoH7Drz7w271xE163pCPS77/8QPHwf+zIAb8ZdOr2sBDBKvvVTiAqQ7Agwv2Q" + "duXhfiBasmAG5RbRPvbt2e737VipSk1q26mX3+24awCChTtCyAeB0CIAwULSeEKwkAQaZkDAQgRk" + "LQlZOHuqEg3kxPEjftPhh/URYkkEh7+0UmKneuy74pQI3+lvYgaNm7VVwvr525bM+mfjTtDG6BXC" + "gegtJYyrv7ZLipkmVlwihCUhaU4mcT/gfkhNSaHvPn+PChUtQefPxvn7lUA5cuaiUY8953c77hrA" + "khB3hJAPAqFFAIKFpPGEYCEJNMyAgIUIyHK6Oe37yZRbTPc9L8LTGZHad+5NFSrXMKIpaW1wJITk" + "5PseRUbxpFNlylUUnvEHelI0aMoc2r9LOFw9aIhowyeVK2duGvHYs0Fzfp52BE432WcB7gfcD0S8" + "XHDBnOmUKKIg+Tv7Tr3/nnjmTXXT1E843TQVLxoHgaAiAMFC0nBAsJAEGmZAwEIEZM2w+ObTdymH" + "CGOakBBvCJ3GTdtQnYbNDWlLViN/zJ0mBIsUQ94icp+LFCtJfQaMktV9Q+zs2Lpecap3Wsy0MCJl" + "zZaNxjzxkhFNSW0DMyyIcD8Q4X4guiBE7HWrl9DVK8ZFq3pk3AsibHYOU+9pzLAwFS8aB4GgIwDB" + "QtKQQLCQBBpmQMBCBGT5sPjhqw8pMiJShDI1RrCo26A5NWrWxkKkiZb9MZOSkpIMEywKipCgDw8d" + "aykGe3duoZOxR+jM6ZOG9DtShLgdO/5VQ9qS2Qh8WOB+4OsN9wPRtcsXadni2XTj+lXDbsHhwsdR" + "buHryMwEHxZm0kXbIBB8BCBYSBoTCBaSQMMMCFiIQPSGGNqybY/pPeYIIfdFqLqEhFuG2OrUrR+V" + "q1DVkLZkNRK9ejFdvnxBOBs8a4jJ8hWrUoeu/QxpS1Yj7HR11/ZNwpfHeUNMRkXlpWFjJhjSlsxG" + "IFgQ4X4gxQlxuN8PSbcTlQhSd+4kGXIL8syHxye+YUhbrhqJiMhMzz49ylUR5IEACIQQAQgWkgYT" + "goUk0DADAhYiMH/BSjoa67+jM3envHblQuUh9Yp4m2ZEkvEGzYh+6ts4cnAP7dmxRREt9Md93W4k" + "lsXUtdiymAQRHWXWL9+RUQ8nhYsWp74DR/uKMGD1alSrQJ06tDDEfqqIuvPx5CmGtCWzEdwPRLgf" + "0q64X3/6gm4L4eLe3Tt+X4JZs2SlMU+97Hc77hrAkhB3hJAPAqFFAIKFpPGEYCEJNMyAgIUIzJy9" + "hOJOG/O229Vpxx49QFs2rjFk2m+evPlp6CPjXZkLyjx+kzj9h0/pvnC86W+KyBwhQruOp1wiFKDV" + "0sxpX4vZNhza9abfXW/bsQdVqlrb73ZkN1CpfBnq0b2tIWatKljgfkgbftwPRBvWLBW+bU7RlSuX" + "/L4nHhIz7zqKGXgyEpxuyqAMGyAQHAQgWEgaBwgWkkDDDAhYiMDipWvpwKHjUno8b9bPimM1f9+i" + "NWvZgWrWbSylz0Yb2bJhNR09uJfi/VwaU6KkeODtN9zo7klp78SxQ7RWLI/hB1Z/UnbhxHXEmIkU" + "IfxYWC3Vq1OV2rQy5hq2qmDBY4b7gQj3A1GicMb865TPKTU1xa9IITzrYfCIxylv/gKmfyVkzpyZ" + "npswynQ7MAACIBAcBCBYSBoHCBaSQMMMCFiIgCynm4zksvBbMG/mj5SckuIzoVKly1G3PkN9rh8M" + "Faf/MJnu308WDjh9e2DPI5zJ9RbRQXLljgqG0/GpDysWz6FLF86KWRY3fKrPzja79R5MxUqU8al+" + "oCvBh0X6COB+IAr3+4Gvhv17YkTUlA1++Tmq37glNWjcKv3iMnELTjdNhIumQSAICUCwkDQoECwk" + "gYYZELAQAVlON1UkWzf+SXtEpIj7971fFpEjZ27qO2Ak5cn3gNqcJT/jThyllcvm010fnMxFiLd6" + "Hbs9TGUeqmTJc1c7zbMrfvnxM/FGNVUIWMnqYY8/a9RuQM1bd/a4fLAVhGCRPiK4H0iZbRTO94N6" + "NcydOYXib1ynxNsJ6iGPP2WHeYbTTY+HBgVBICQIQLCQNIwQLCSBhhkQsBABWU439Ug2rVtOe3dt" + "82rqb9as2ahr70FUtFgpfVOW3T58YDetW7XIq9kmmTJlFm8PW1K9Ri0se976jl+8cIZWLp5L8fE3" + "if8+eZpKly1PnXsMJJ6SbdUEp5u2I4f7gSic7wf1arhz5zatWjKXzgp/FilezMTLK0Ts3v1HUs5c" + "udWmTP+E003TEcMACAQVAQgWkoYDgoUk0DADAhYiIMvppj2SU7FHae3KP8RMi7t0z8VsC35IL1+x" + "CjVt2VHqj1H7/pqxnxh/i5Yu/I1uiDeK94QTSlepcJFi1KJtVypYqKirYpbM4+nwp2KPuHVGmidP" + "XnEddKCy5atY8jz1nYbTTT2NtG3cD2kcwvF+sL8aYrZEU8zmdeLwXy7FTBaya9ZtJG0ZiH0/4XTT" + "ngj2QSB0CUCwkDS2ECwkgYYZELAQAZlON+2x8HdSzOa1xG9XE4TTNf1bdl768GDBwsoP0VJlK9hX" + "Dan9Q/t3imUyW+nGtSs2s074DV6U8FdRpVotql2/maVnFLgbsLNxsbR92wa6eP4MJSfbLhHJkTMX" + "lS5Tnpq26kjZsmV315Ql8uF00/kw4X4gCrf7wdHVcOv6VYrZup5Onjgils/Zhjvl0KVFipek5uI7" + "QYaDTUf9g9NNR1RwDARClwAEC0ljC8FCEmiYAQELEZDpdNMdFn5QvS2iZ3CoTitGfnB3fp7m37px" + "lbLlyBUyD+eenre+XPzN6+IayBJys2rUc4QPC5WE+0/cD0Shfj+4vwrS/HykiJDQuYWIGwwJTjeD" + "YRTQBxCQRwCChSTWECwkgYYZELAQAdlONy2EBl0FAdMIQLAwDS0aBgEpBDDDQgpmGAGBoCEAwULS" + "UECwkAQaZkDAQgQC4XTTQnjQVRAwhQCcbpqCFY2CgDQCcLopDTUMgUBQEIBgIWkYIFhIAg0zIGAh" + "AoFyumkhROgqCBhOAE43DUeKBkFAKgEIFlJxwxgIBJwABAtJQwDBQhJomAEBCxEIpNNNC2FCV0HA" + "UAJwumkoTjQGAtIJYEmIdOQwCAIBJQDBQhJ+CBaSQMMMCFiIQDA53bQQNnQVBPwiAB8WfuFDZRAI" + "OIGsWSJpwlMjAt4PdAAEQEAOAQgWcjgrIQNZEea0d+9eql69uiTLMAMCIBCsBFau2kC79h4O1u6h" + "XyAQkgQaN6xFzZvWM+TcUlNT6ePJUwxpC42AAAh4RgAzLDzjhFIgECoEIFhIGknMsJAEGmZAwEIE" + "Zs9bTidOnrFQj9FVELA+gaqVy1HXzq0NOREIFoZgRCMg4BUB+LDwChcKg4DlCUCwkDSEECwkgYYZ" + "ELAQAQgWFhosdDVkCECwCJmhxImEKQEIFmE68DjtsCUAwULS0EOwkAQaZkDAQgSwJMRCg4WuhgwB" + "LAkJmaHEiYQpASwJCdOBx2mHLQEIFpKGHoKFJNAwAwIWIgCnmxYaLHQ1ZAjA6WbIDCVOJEwJwOlm" + "mA48TjtsCUCwkDT0ECwkgYYZELAQAcywsNBgoashQwAzLEJmKHEiYUoAMyzCdOBx2mFLAIKFpKGH" + "YCEJNMyAgIUIwIeFhQYLXQ0ZAvBhETJDiRMJUwLwYRGmA4/TDlsCECwkDT0EC0mgYQYELEQAgoWF" + "BgtdDRkCECxCZihxImFKAIJFmA48TjtsCUCwkDT0ECwkgYYZELAQASwJsdBgoashQwBLQkJmKHEi" + "YUoAS0LCdOBx2mFLAIKFpKGHYCEJNMyAgIUIwOmmhQYLXQ0ZAnC6GTJDiRMJUwJZIiNo4viRYXr2" + "OG0QCD8CECwkjTkEC0mgYQYELERg8dK1dODQcQv1GF0FAesTqFenKrVp1diQE0lNTaWPJ08xpC00" + "AgIg4BkBzLDwjBNKgUCoEIBgIWkkIVhIAg0zIGAhAjNnL6G40+ct1GN0FQSsT6BS+TLUo3tbQ04E" + "goUhGNEICHhN4IVnRntdBxVAAASsSQCChaRxg2AhCTTMgICFCMxfsJKOxsZZqMfoKghYn0CNahWo" + "U4cWhpwIBAtDMKIREPCKAJxueoULhUHA8gQgWEgaQggWkkDDDAhYiED0hhjasm2PhXqMroKA9QnA" + "h4X1xxBnEN4EIiIy07NPjwpvCDh7EAgjAhAsJA02BAtJoGEGBCxEAE43LTRY6GrIEIBgETJDiRMJ" + "UwJZs0TShKdGhOnZ47RBIPwIQLCQNOYQLCSBhhkQsBCBJcvW0v6DcLppoSFDV0OAQP261ah1y0aG" + "nAmWhBiCEY2AgFcE4HTTK1woDAKWJwDBQtIQQrCQBBpmQMBCBGbNWUqn4s5ZqMfoKghYn0ClCsLp" + "Zjc43bT+SOIMwpUAfFiE68jjvMOVAAQLSSMPwUISaJgBAQsRWL5yPe3Zd8RCPUZXQcD6BBo1qEkt" + "mtU37EQ+nvwjpab+ZVh7aAgEQMA1gSyRmWni+FGuCyEXBEAgZAhAsJA0lBAsJIGGGRCwEIH4hET6" + "5vuZxN8PSCAAAuYTYGd9E58aRZkzG2dr1pxlYqbUWeMaREsgAAIuCRg5S8qlIWSCAAgEBQEIFpKG" + "AYKFJNAwAwIWI7B//zFatWYj3bufbLGeo7sgYC0CObJloY4inGmF8mUM7/hvvy+hM2cvQHw0nCwa" + "BIF0ArwUpGzp4tS3d8f0g9gCARAIeQIQLCQNMQQLSaBhBgQsSuDevXuUmJhk0d6j2yAQ3ASioqIo" + "UkwjNztdv37TbBNoHwTClkD+/HnD9txx4iAQzgQgWEgafQgWkkDDDAiAAAiAAAiAAAiAAAiAAAiA" + "QEgQgGAhaRghWEgCDTMgAAIgAAIgAAIgAAIgAAIgAAIhQQCChaRhhGAhCTTMgAAIgAAIgAAIgAAI" + "gAAIgAAIhAQBCBaShhGChSTQMAMCIAACIAACIAACIAACIAACIBASBCBYSBpGCBaSQMMMCIAACIAA" + "CIAACIAACIAACIBASBCAYCFpGCFYSAINMyAAAiAAAiAAAiAAAiAAAiAAAiFBAIKFpGGEYCEJNMyA" + "AAiAAAiAAAiAAAiAAAiAAAiEBAEIFpKGEYKFJNAwAwIgAAIgAAIgAAIgAAIgAAIgEBIEIFhIGkYI" + "FpJAwwwIgAAIgAAIgAAIgAAIgAAIgEBIEIBgIWkYIVhIAg0zIAACIAACIAACIAACIAACIAACIUEA" + "goWkYYRgIQk0zIAACIAACIAACIAACIAACIAACIQEAQgWkoYRgoUk0DADAiAAAiAAAiAAAiAAAiAA" + "AiAQEgQgWEgaRggWkkDDDAiAAAiAAAiAAAiAAAiAAAiAQEgQgGAhaRghWEgCDTMgAAIgAAIgAAIg" + "AAIgAAIgAAIhQQCChaRhhGAhCTTMgAAIgAAIgAAIgAAIgAAIgAAIhAQBCBaShhGChSTQMAMCIAAC" + "IAACIAACIAACIAACIBASBCBYSBpGCBaSQMMMCIAACIAACIAACIAACIAACIBASBCAYCFpGCFYSAIN" + "MyAAAiAAAiAAAiAAAiAAAiAAAiFBAIKFpGGEYCEJNMyAAAiAAAiAAAiAAAiAAAiAAAiEBAEIFpKG" + "EYKFJNAwAwIgAAIgAAIgAAIgAAIgAAIgEBIEIFhIGkYIFpJAwwwIgAAIgAAIgAAIgAAIgAAIgEBI" + "EIBgIWkYIVhIAg0zIAACIAACIAACIAACIAACIAACIUEAgoWkYYRgIQk0zIAACIAACIAACIAACIAA" + "CIAACIQEAQgWkoYRgoUk0DADAiAAAiAAAiAAAiAAAiAAAiAQEgQgWEgaRggWkkDDDAiAAAiAAAiA" + "AAiAAAiAAAiAQEgQgGAhaRghWEgCDTMgAAIgAAIgAAIgAAIgAAIgAAIhQQCChaRhhGAhCTTMgAAI" + "gAAIgAAIgAAIgAAIgAAIhAQBCBaShhGChSTQMAMCIAACIAACIAACIAACIAACIBASBCBYSBpGCBaS" + "QMMMCIAACIAACIAACIAACIAACIBASBCAYCFpGCFYSAINMyAAAiAAAiAAAiAAAiAAAiAAAiFBAIKF" + "pGGEYCEJNMyAAAiAAAiAAAiAAAiAAAiAAAiEBAEIFpKGEYKFJNAwAwIgAAIgAAIgAAIgAAIgAAIg" + "EBIEIFhIGkYIFpJAwwwIgAAIgAAIgAAIgAAIgAAIgEBIEIBgIWkYIVhIAg0zIAACIAACIAACIAAC" + "IAACIAACIUEAgoWkYYRgIQk0zIAACIAACIAACIAACIAACIAACIQEAQgWkoYRgoUk0DADAiAAAiAA" + "AiAAAiAAAiAAAiAQEgQgWEgaRggWkkDDDAiAAAiAAAiAAAiAAAiAAAiAQEgQgGAhaRghWEgCDTMg" + "AAIgAAIgAAIgAAIgAAIgAAIhQQCChaRhhGAhCTTMgAAIgAAIgAAIgAAIgAAIgAAIhAQBCBaShhGC" + "hSTQMAMCIAACIAACIAACIAACIAACIBASBCBYSBpGCBaSQMMMCIAACIAACIAACIAACIAACIBASBCA" + "YCFpGCFYSAINMyAAAiAAAiAAAiAAAiAAAiAAAiFBAIKFpGGEYCEJNMyAAAiAAAiAAAiAAAiAAAiA" + "AAiEBAEIFpKGEYKFJNAwAwIgAAIgAAIgAAIgAAIgAAIgEBIEIFhIGkYIFpJAwwwIgAAIgAAIgAAI" + "gAAIgAAIgEBIEIBgIWkYIVhIAg0zIAACIAACIAACIAACIAACIAACIUEAgoWkYYRgIQk0zIAACIAA" + "CIAACIAACIAACIAACIQEAQgWkoYRgoUk0DADAiAAAiAAAiAAAiAAAiAAAiAQEgQgWEgaRggWkkDD" + "DAiAAAiAAAiAAAiAAAiAAAiAQEgQgGAhaRghWEgCDTMgAAIgAAIgAAIgAAIgAAIgAAIhQQCChaRh" + "hGAhCTTMgAAIgAAIgAAIgAAIgAAIgAAIhAQBCBaShhGChSTQMAMCIAACIAACIAACIAACIAACIBAS" + "BCBYSBpGCBaSQMMMCIAACIAACIAACIAACIAACIBASBCAYCFpGCFYSAINMyAAAiAAAiAAAiAAAiAA" + "AiAAAiFBAIKFpGGEYCEJNMyAAAiAAAiAAAiAAAiAAAiAAAiEBAEIFpKGEYKFJNAwAwIgAAIgAAIg" + "AAIgAAIgAAIgEBIEIFhIGkYIFpJAwwwIgAAIgAAIgAAIgAAIgAAIgEBIEIBgIWkYIVhIAg0zIAAC" + "IAACIAACIAACIAACIAACIUEAgoWkYYRgIQk0zIAACIAACIAACIAACIAACIAACIQEAQgWkoYRgoUk" + "0DADAiAAAiAAAiAAAiAAAiAAAiAQEgQgWEgaRggWkkDDDAiAAAiAAAiAAAiAAAiAAAiAQEgQgGAh" + "aRghWEgCDTMgAAIgAAIgAAIgAAIgAAIgAAIhQQCChaRhhGAhCTTMgAAIgAAIgAAIgAAIgAAIgAAI" + "hAQBCBaShhGChSTQMAMCIAACIAACIAACIAACIAACIBASBCBYSBpGCBaSQMMMCIAACIAACIAACIAA" + "CIAACIBASBCAYCFpGCFYSAINMyAAAiAAAiAAAiAAAiAAAiAAAiFBAIKFpGGEYCEJNMyAAAiAAAiA" + "AAiAAAiAAAiAAAiEBAEIFpKGEYKFJNAwAwIgAAIgAAIgAAIgAAIgAAIgEBIEIFhIGkYIFpJAwwwI" + "gAAIgAAIgAAIgAAIgAAIgEBIEIBgIWkYIVhIAg0zIAACIAACIAACIAACIAACIAACIUEAgoWkYYRg" + "IQk0zIAACIAACIAACIAACIAACIAACIQEAQgWkoYRgoUk0DADAiAAAiAAAiAAAiAAAiAAAiAQEgQg" + "WEgaRggWkkDDDAiAAAiAAAiAAAiAAAiAAAiAQEgQgGAhaRghWEgCDTMgAAIgAAIgAAIgAAIgAAIg" + "AAIhQQCChaRhTE1NpYiICMVanz59KH/+/JIswwwIgAAIgAAIgAAIgAAIgAAIgAAIWI/AlClTiJ+l" + "t2/fTnXr1rXeCXjY40xihsNfHpY1pZhesDDFABoFARAAARAAARAAARAAARAAARAAgRAkAMHC5EFl" + "veT555832QqaBwEQAAEQAAEQAAEQAAEQAAEQAIHQILB27VqqV68ePf7445hhERpDirMAARAAARAA" + "ARAAARAAARAAARAAARCwCoGALwmxCij0EwRAAARAAARAAARAAARAAARAAARAQB4BCBbyWMMSCIAA" + "CIAACIAACIAACIAACIAACICAhwQgWHgICsVAAARAAARAAARAAARAAARAAARAAATkEYBgIY81LIEA" + "CIAACIAACIAACIAACIAACIAACHhIAIKFh6CMKpaQkEAnT55U/o+Pj6fixYtTqVKllM8sWbIYZQbt" + "gAAIgAAIgAAIgAAIhBGBjRs30vHjx5Uzzpw5Mw0ePJj4E8k9gdu3b9Ps2bO1gu3ataNixYpp+9gA" + "ARAIHAFLCBYDBgyg/fv3m0Lpiy++oFatWmltx8TE0MiRI7V9/UbJkiVp6dKl+kNuty9cuEBsY82a" + "NXT48GG6dOmS0zolSpRQQtNMnDiR2rRp47Scq4yUlBRq2LAh3blzx2GxhQsXUrly5RzmOTr4wgsv" + "0JIlSxxl0auvvkrDhg3LkLdy5Uric/A15c+fnx544AHKly8fPfTQQ9SsWTNq3Lgx5c6d26Mmk5OT" + "FY78aVZ68cUXadSoUaY0z/1etGgRLViwgGJjY+nAgQPKdVO1alWqXr068Sdfo2XKlDHFvq+Ncr9H" + "jx5NHAvaPr322ms0dOhQ+8PYB4GAEOBw2o0aNaLExERT7WfPnp34ASJbtmym2jGrcUd/T5577jka" + "M2aMWSYD0u6cOXPozTfftLEdHR2t/B2yOejDDj8ENWjQwKZm3bp1aerUqTbHsBN6BHjsDx48qPwe" + "kXF2W7ZsUX4rqbb4OlO/f6ZPn07vvvuummXa5zPPPENjx441rX0zG+bnDP6NpaaXX36Z3nvvPXUX" + "nyAAAoEkIH64BXVKSkr6S/Ax7f/NmzfbnP+nn37q1Jb40WFT1tXOiRMn/vp/9s4Dfori/P8PVToi" + "9q7B2EvsvUVjT+waa6yxa/Rn8vsnRhP9JT+j0Rhrii32qETRCBYsoGABFVCx0BREREA6KPU/z/Hb" + "y958Z/f27vbmdo/3+MItNzPP7Hvuu7f7mWeeufDCCyPrKndN5iV96QsvvBBnwvnZRx99FGvTCCbO" + "clEnjbgRWd8DDzzgLHbllVdGlil33VGfd+3adekdd9yxdOHChU6b4ZMjRoxI3b7drnvuuSdsMpV9" + "83KwVL9/q666aqL2X3PNNUvNA1EqttOo5Gc/+1lkux9++OE0TFAHBFIhYEYgI7+r9t96Lcd638pz" + "MmJpC0533nlnni/J2XbXb7UR/Z15Kz2pzxj2d+iII46otBry54yAGRxaagahluqznI80fvz4kmcH" + "vfd89tlnRdNmMKHF99D+XqZx/Pe//71oM287+gym3AIOuq/vICQIQKDxBKTxTYhvgfF4KN48gptI" + "mlszwlbSAOMxEGnPKMcleV0HWp9RlyPrqLTtP/rRj5YuWLDAZcp57qGHHoq0rT+elaQpU6ZE1qXX" + "oQ+zrnTAAQfElquUQTj/CSecsHTJkiUus8VzKiaEy9RjX0WRNNOMGTOWal9X2lYtk4V02223xbZd" + "hTQSBLJC4LHHHov9vlb6dxiVPyt/n9VyN14ALTi988471VaX2XJmJLrkOisZnCh3Ua574//+7/+W" + "K8bnOSWgYuhhhx1W/D4Zb8y6X4mZarx0q622KtrU+5Hx6i2x+93vfrfk86h7Vq3n835/OOaYY0o4" + "PfrooyUcOYAABBpDIPOCxd/+9reSm0etN9NweX1IsVOcR4E+vMUlfencY489Um+vCiDlXtKDdsWN" + "cuvLfiVJPTzCvOx9MwWgRXV6LqxQ22XSOFbPgrh0wQUXxLY7jTYk8fSIa2P4MzNtaGktDxN33313" + "uDrv+3379o3lrd8HHbkgQSArBMx0ttjvbBr3CK3DuGBn5ZKraoeK9DaLb7/9tqq6slpIBxnsa0wy" + "OJH0eszUwRb1V+M9mdQe+RpHYNiwYS36+pFHHqlrg/S39aijjiqxe91115XYnDlzZsnn9vc9zeO8" + "3x/MFO4SVjoAR4IABBpPIPMxLM4880y56667zP00/WTcQOXmm28uVmw8CsS44xeP7R2NJbDpppva" + "pwvHWvbAAw8Uoy47P6/1pHlJlyuuuKJsNbvssosYF1Rnvptuuqmi2BJmFEg09oAr7bvvvvLiiy+2" + "+EjjdGyyySYtzqd9Ytq0aZHzi7fbbru69YNehxGlZODAgalcksZ92H///QsxTmqpUL9/K6+8ci1V" + "VFXWeJrI1ltvHVvW/OBXHPsltkI+hECNBEaNGiX63S2XdE7zVVddVZLNjGSKmfZWci7qQOMJaeyj" + "vCaNpaTxl4Kk8YRee+214LAptm+99VYhnkn4Ysx0x9Ri7mgcJo1FFE5Tp06Vnj17hk+x3wQEjDeN" + "mAGTkivRe02vXr1KzqV5cOONN8pll11WrFLjVmgsi7Zt2xbP6U6fPn1EnzfKpfPOO69FrLXf/e53" + "svHGG5crKp07dy48B5fNmOEMrmeaWbNmiRl4yXCraRoElgMCjddM4ltgAgyWqJ2mS1I7tj0mqvEo" + "0NZ//vnniUfIdbRZ5zTqqIt6Q6gyHufVEb5eHcmOSzrnNpzf3jdBxOKKt/gsboqCETJa5NcTGqvA" + "tluP4z/96U9O+67RsrTt//znP3faruak1hXXPv2+GEGjZG6qK7+6uPtOEydOLNsubat54fPdNOxB" + "IBUCril2JhBbKnXnoRL9bdPpb8E/nSffbEljI9n31KjpjpVe+9dff92ibv29JzUngZNPPrmkv/X3" + "O6l3bDVEdPqF/d01Qa+rqapYRqcO23Xq93h5SS4v4X//+9/Ly+VznRDILIFMTwnRKRb2jTN8PGDA" + "gKV6I632n+3Wr+674frD+8ajILIT9bNwXte+zonVuXCueBT6g6ZihD2P1q7nkEMOiWyDflAu3odR" + "iWPL2x/qj63dhuDYRFW3sxeO46akqDgT1VfGY2KpPgzrg6JZVmppuTgYUSxcAc6CNut2woQJkW2I" + "apt9Pq0gTK+//nokX22rzoMNgqTqd/Uf//hHZH6dBuMz6ZzZct/XgLtZ7cRn07AFgdQIuO5nzGlO" + "DW8mKnIFI9SXljTSSy+91OKerXGySM1JwB58Ouigg+p2ofobbE8lNZ4WNdlzxS1TG8tbsqfYaFBe" + "EgQg0FgCmRYsVJAIXnpc27TnylXjUfD444/HtlHbrXmSJF3xYe+9946tT0e8olJcvA/1VKkkffrp" + "p7Ht0FVQXMksPxpZ7oYbbnAVcZ5TYUd/7F39rueirseefxguH1XG2QAPJ+P6WtvqilIfFSPF56id" + "PsxrlPsw27j9uO+sB8yYgEDVBFz3s9GjR1ddHwWzR8B+6YsbnKi09RpLwL436kpQpOYj4HrZ/+1v" + "f1u3C7XFVPWMmD17dk32XF7G6g28vKVbb7215O92eRRtlrc+53qzTyDTMSyM27/omu+uZB4kxYxQ" + "uz6q+ly3bt3E3PCd5XWddvOSVvKZrrGt8/rMC1nJ+eDAeCgU5u7vuuuuwamyW+NVUliz257zGhT8" + "wx/+IGYaQXBYso2L96HrYhtBoyR/3METTzwhRx55pDOLXpfO6bOTEZCkQ4cO9unisRGgZM899ywe" + "l9sxQo+YiM2R2cyfV4vPTjvtNLn33ntbnNcTZ599tvz1r391fub7ZP/+/QuxK6LsaiyU733vey0+" + "/tWvflVYS137YI011pA111yzEHdFv4dXX311i/z1OKHfv+uvvz5R1RoTZvLkyYnykgkCWSLgup/p" + "350JYCetWrXKUlNL2qK/YfrbaLzJxATkky222EK23HJLr3Owda78F198IUbYLsRvMAK0aCyHjTba" + "SMyLlbRp06akzZUc6G+kXpvxyCv89mpd66+/vqy33nqFeCFxv0G2nenTp7eIhaRxm3TOfhrp2GOP" + "FTNdr6SqQYMGSSXPBCWFExzo76LxzCsymjRpknTv3r3ISH837PgGCar1msVMNxSzspRobCaNV6Xx" + "PjR+mHlxlI4dO3ptS1Jjzz//vGi8pnAyUwnEeIOGT6Wy74oVdt9994mZklJT/a64ZWYQSM4999yq" + "6tXnxOHDhxeeAbQvV1hhhUKMM+3LHj16VFVnVCG952g8Gn121nu03ms0vtZqq60WVSTy/DPPPCOH" + "HnpoyefGszb2+bYkMwcQgED6BLKsqZgf+xKV01x98dgIGak2XderDtdv76vHgZ1+85vfxJYxN2q7" + "SKLjONf/uJF0e6QofA3qfVFJiouir1MVXMk1nzLcBp3iU0mKW33CvDg4q4pjkKX1weO8K+Kmd6jn" + "iT2VyQmiTieN4BP7nQ/3t+6ra2W5pJ5U2p+uf7okIAkCjSDgup9FTUULt09/F8Lf5UqXyFQPJo1z" + "FK5DR0+Dv3sT7Ljks2D0T6fVmReLyL9P9c4yAQDDTY3d1ylf4TbE3ZeCinQKm8as0XL2vSB8bATP" + "pZV4SCoTEzSwwCVcj2tfPfN0emSSpEs/2nUYsT5J0UR5jGDbov5aR8GjDOsUAb1fumIQ2Neofakx" + "iOKSToUM97/uax9UknQ6oF2HxgxxJfUwNQEky0411O971JRUV736HGa3Qf+GkiSNc2aXNQJ8seg5" + "55xT/NxmHBwH5eOe3YoVJtyxPRy17uD+kLAKZzaXl7EJ4OnMG3VSpzirV3Gch6yy0b8NIww6p0m7" + "6tYpzQHLYKtLyOp1//GPf4yMp6V/D5WuyqPXHPRfsNU4PiQIQKBxBDI9JSTuh1eDO6aZ9CEluDHZ" + "W7052kl/7O184eNaArNpjITghqwPjfrjpO5/OqUiav50uXgflQZiiovL8T//8z82jsKxCgJhBuH9" + "4KHaWTDipO3yGK5PA1HaSR+wwnnsfX0ByULSOBp228LHGttCky5XptdUz6BdlfB47rnnYtsdvoZg" + "33gElTXhcpsOyqf9d162MWSAwP8RuPPOO1t835O4eJtRyhblKnmZ0Jek4PsfbC+//PJiv9j1qyDy" + "8ssvRz6wB3UE2yR/U6576a9//etiG+wdFRT088BGkq1Oe9NlIMsljUsUJ0RH2VKxVJeMjkv6omOX" + "dw1OxNUR9ZnrGcGsMBOVvabzurS1PjPY11Lu+L//+78jX3RVHLfLX3vttYnbqYKUvkjbdbiEkqef" + "fjqR0BKu6/jjjy/GeIprlFllrkUbkg4muQRA5RIk1/WF2xje12e5NJIGTw/Xq/vGuyKNqp3fIRWS" + "kiazqlIiUTHcfuWSpD/M6kQtrltFBL3/heuL2tfverjv4q5JhRC7nkYENo9rI59BYHkjkFnBwriT" + "trhhhG8gn3zySap9patehOsP77s8Cuw5buH8qhzrute1JH1ZrSSVi/fhiocQVb8+XIevx97v16+f" + "s6iZchFZTgObVZJc65mH26Fihp1cAc7CZSoZ0bPrTvNY5zCH22Xva/BX/REPzuuDqApI+tJS6WhH" + "Wu1+7733iu0J2pVkm2Qky0z7iazbuAWndQnUA4GKCLjuZ0mixT/55JMtvs/6AJwk6SpH9qi8/v2r" + "gBAkexS0mhdV/b2IS657qV6XK+lLgAaSTHI/sPPoC596BkQl10uKXUfcsXqqxP322V6cyjKtpN8V" + "u206Ip92Mkuzt7Bj2407jlv1SkWlcNlKfsfV2yNcVvddgx3lfg/tOsLH+reinkVxSZmHy+h+0hdX" + "FZjCZfX7FCSXqBfOa+8n8VAK6o7a6uCF/YKelneFy8u4EpFFhZRq7kUBJ/1bj0s33XRTSV9oOfte" + "GdQVtb3mmmviTBQ/cw0A6sAKCQIQaByBzAoW5aYDVPpCXw5xnEeBuq3ZKc6l/y9/+Yudve7H6n0R" + "dZPWwHGVpPfffz+yLrURdokM12s/3ITbUwmTuOChQZ2u6R3qZhx8bm91hE5H22r5l9Z3zhXIz25v" + "3LG6XCd94Ar3T7X7Kh5GeTvpA4r90B9ue/hFK8p+1EOH1p0W8yjbnIdAFAHX/Uz/FsolFdnCfwO6" + "n9Ql2fae0LIqjodTuZcCjWivy7HqPTdKSNAXkbi/Lde91LWkqYrAtoASXLu+SF1yySWFaQoqwkb9" + "xl500UXhyyvux62ipF6HOtqv3ig6TU2nMEbdo+K8Yux7j15LWsk1ZVS9dtJMcQMn+qKuL3kPPPDA" + "UhU19IU56Bt7qx46rmR/f5I+S5jYIC1eXpW1CnLhFNV+/Y7rtF/16jNxCQrLpbsERL0OPR+X4kSH" + "uHIqpNmcwp5Oeo26jKn+UyHDzqvCQvC5bk3cqjhziT4bOHBgCztpeVe4hNakIov2kX39wbE+H+gU" + "Hs2jnjT6/BJ8Ft7qM1rcoNIJJ5zgLBfUofeEe+65p+BtEued6/LwccEP6g22V1xxhSsb5yAAAU8E" + "MitYmACCsTcndQes9p8d5b2cR4H+aIaTztMNbmKubZKH2nB9aezHvTS6vBHibLpckoPr1IdCV1KP" + "kiCPa6s/VnFJ5/XqA2rcaHtQrz7MuF6Ek5QN6qhmW24EIO76gs/UvbIa23YZfRiqdJnaoA2VbPUB" + "0x7RCbdFH8LUAyl8LtjXF5ZyyeU2HZR3TfspVx+fQyANAvq3FXwPg62+cCVJKiYGZYJtklgsKqYG" + "+YOtPsSHxUnXKGiQV//eXKKCiv8ukUNFjahk30ujrj1KKNdYBK6Xj6jflqlTp5Y0RcWUsJdZcI06" + "UBAVh0M5mUDdLRiGR8XDRlxenK7BiXCZSvZdc/jTnJYYde9Uwcr1+6ht1/O2CKFsVVxxJXupd/0e" + "JUmuGFja9+Gk01SDfg1v9cUzqv0q/Lm+y1G/zS7RIc6jJNw+Exy1RfseeeSRcJbivutlX72U0k66" + "YkeYle5XMmUjrj36Qm7XrfHUyiUVblxiod67dPDLlfQZ3PVcod+3qOSyEbTX5cmpXtgu0bmcwBXY" + "t+2xtGlAhi0EGkMgs4KFBjcLbkZpb9W9PZxGjhwZa0sFinBSFTeqTUlHIML1pbFvjxSF26cjLJUk" + "vTGHy4f3VSRyJde8ynA55aIvoPpPR9o0AJz+YOmPmusBJFzW3rcffIL2xDGw66jmOA0hKuohrZr2" + "1BInJWAWt9V56faLS7idQSDXqP479dRT46ovfOZymw5sXHnllWXLkwEC9SDgmoqgfwtJk/2grJ4G" + "5dJ5553X4r6rI5Lh5Hox0r8XFSvilg92/WbFBcS176X6Emkn9bRz/e1roMW4pCPnwd94sNXfj3Dq" + "3bt3izz6u2GP0IfLBPt6XUG9wVbvZXZyeXHagxN2maTHKri42LhEnKR12vnUMyW4vmCr8/TLJZ0i" + "Y/eviiuu5Pq+RXlYBuV1yfOgPcHW9ujRftTvbPB5sNWYEeViNrl+M/S74Uou0SEqDphd3uX9ESWW" + "uV72o0QX207SYxUGAk7BtpIpOuXs6LNZUG+wTRJo0iWAaX+XG1CJEtxcAox+54I22dso7yC9Xpe3" + "m/5dJkm2oJLkeSZJveSBAASqI5BJwUJ/sFw/9vaNqtpjOwBa1KiP1u/yKIh7odcgXnFJfwB0xKea" + "f1EvqK6RojCbDz/8MK5JLT5zjWwF9enImSu5RraCMmludYTN9UAT9eOXlm19wEsjuV4cXG1Ue/oQ" + "aT9Y2nk1yFW9kn7fbHvB8WWXXVYwqwHqgnP2Nsmosr6o6MuQ65/9ElOv66ReCNgEXHEByt3bw3XY" + "7stmucPwxy329e/Y/vtxvYS5Xoz0tzJOrFBj+ptniyh67Eque6lOVbGTS2BxxSiwy7leaHVaRzjZ" + "bVU2US+L4XK67wr+6Zr+olNFbOb24IRdd9Jjbatdd5qDGa4+UgHAfraJaq+2Jdy+qNVv9NkhnE/3" + "g6DQUXW7XmB1KkM46Uohdr36wpy0/fbLddRLqCs+RtLvkU7jCLdRbbi+R3pddnt0ICbt5ApqnpbA" + "poJe+FqD/XL9oc+zQd5gq88sSQd3bA8ercMlkuh1BvWHtyo6lkuu+5RZYrVcsRYeIOrFTIIABBpH" + "IJOChbqLhW9Kae7rC6+ddPQryoY+eNpJX66i8usyaXGp0mUhw3bUHdCVXCMO4XKu0SVXPXqu3JQF" + "+8EjqMd+QA/bT2tfpx5EjVqUY1BrGyoZXQ2YuLb6ol+uLeqWHASK062OokSVSXPOdbi9rhUSgjZo" + "PwTfKZ2bGpy3t+UebMP22IdAlgi47mdxI3l22+0HcZfwHS7jmlblWkHDfjHSv7m4GA1hG657j+sF" + "zHUvtWNw6BQO++9dX+h0hatySW2GyyobFbzDSd3p1f1eR7lVgLAFjXBee9/uu6iXR9uLs1wf2Xbi" + "jnUUP3yNul/p1My4+nX0Wl/idLBFp+WouOxyi4+qQ/sq3L4od3f1CAnn0/0oD0e15YplYHvy6Euw" + "srbrLTdtNHwtGrfBLj9p0qRwlsK+S3RwDXi0KGhO2B4gUV4orpf9qGc1l52k5+w4GdqH4eliSetx" + "5XMJU+oFWy6p14HdD/p9TJpcq3Hokqh20mci206S9mk96vFllx0yZIhtosWx3f9nnHFGizycgAAE" + "/BHIpGChywfZN5i0jl1eCrbrV9iWBq2yU1x+nV4Sl/SmF66/kv3bb7/dWbVrpCio1zVK56zk/066" + "1p8O6tJt1OonrgeQcLla9vWHWZfHdD1cB9cSx6AW20HZSkZXgza5tvYDVFB/sHXN4dSXgChPC2UT" + "Tjrypg9+lfyzH3r05SRoj73VAGZhV8+41XWSuG+H284+BLJCwHU/U5fspMm1THbU34NrRQ7Xw7Hr" + "xUj/PpOMFmq7Xas26P3CTq5gkXaMCY1/Yd8bknhXBLY0Cr993wk+q2WrfWS/aLi8B1xenK7BiWrb" + "onESbD5JlpOt1l4l5VxBteNeMm1vl7ipevoSaV+37dHgeoF0DSTFXZNrypZrMMX+LkSJDrYtlyAX" + "FefD9bKvHiRpJtdAUtKAmEna4fp7dgoGWg8AAEAASURBVD0rh+uKiuWmf9tJk4pX9vfFdR9xBfaN" + "Wq3Otq1Lpto2kkwLskW9pLFPbPscQwAC6RDIpGAR54pu33gqPbbXUtaXwbg6XG7pUS+PWk+5JbZ0" + "tCfOXtxnuh69K7lG54J6Ko1s7HKFDuqKciF2BYsLytSy1dFEfchzzWm0OeiDSJQt7S+d5lLLv7S8" + "BVw/vEG79SUpygUzTpAJe51UI4iFv1fqmm7/UAftU452UD8VxILPw1tlTYJAHgm4HsSjRumjrs/1" + "EmPHTtKyKkK4puC5hARXnZWM2msAvfDfqO67lge376X60mcnV5Bn1wi3Xa7aYxWrtX6NAaSeBOrZ" + "pdPrAu8CHdF2cdRrdK1C4vLidA1OVNteezRc21HvJZpVANIpeno/V+8LfSlTTzldTUXjhqgg4xLi" + "tG0aMyQq2R4rUa7xffr0afH9Cq+qEdTvWsEhiWt/UF63rik3dqwuFfL02sL/knojuUR79TxyJbUb" + "tqH7aS8/rs8fto2oAKCuNpY75+oT+1nZrsMlypYTOew69Nh+nj7rrLNaZLOfSXTgJKmnjOtv/e67" + "725hI3zC9gJT9q5pceEy7EMAAvUlkEnBwqXSBzdrnb6hP8jV/tPVKMJJXcOCul3b8GiylnPdyMLl" + "4m6i5VbSCNfj2o8aobNv5uGyGjSrkuQKWBbUpw89rqRKd5DHtdWRAP2nL9MqrkQ9NAVl1YMl6sXd" + "ZV/7JI5BpQ9DLhtpnYv7bttu0WGbcXFWwq601QhigdeMCk9xfWO7UUaN+Go/pjn6E+bAPgTqTcA1" + "X7pSF2+XK73rXuz6u3aNMOo1u16MKnkJ1nqDe2ywtT0nXPdS9QoLJ52mFpQPtvoCkXbSwQS9Zn1h" + "jru/B22I2qoIbyeXF6drcMIul+RYhQO7Ldp+ZZt20nu2Xp/GSLFtVnIct3qJ7Y7vGrjQ77vtzaDX" + "HBbTg2u3PTa0XKVsXMt72gFqKxEdgrYFW/ualaVLRNT8rpf9JIMsga0kW9dAUiV/++Vs2DFN9Hr1" + "RT8uuaZS2wMaceX1M9d90ha5XHGyKlke2PVdUXEtLunAo/33o8tEkyAAgcYRyJxg4XIRC984Kpmn" + "mQSr3oTC9Yf3XT/MKkiE89j7cW7D+lBg5096HDVirT+icXXoMnhJk+thNVx3VPR314NwUM7l6ql2" + "1HMiyOPaVvLj4BptCdeZ1GU6Kada8tlrwofbOWDAgMiqdV5nOG94PxgJUVfM8Pkk++GRY9eoYFCH" + "/i3otJzwP9fSdUF+/b4Gea+77rpE0f0jL54PIOCRgB1/Qr/T1bh42y9m+ncQTipA26OLehwlTLte" + "jCp50XPFwrHLu+6lGkcinFx5osTscLlK9nVAwmYT3Fsq3epKEXZyeXHagxN2maTHLhd09RZMO7mC" + "MFbKJsivL45RyTWSrmJ1OLmmG7mCLrsGbWxBLFxv1L6uUBW0PdgOHTq0JLuOiAefBdso0aGkoDmw" + "BSD9LkYle4qwHqeddHW24BqCrd0H1dp0CZAqNsUNvqkt+7rjGEW1zbVCnz1w44qTpZ5WSZMr3onr" + "nhCuzyVyJJlGEq6DfQhAIF0CmRMsXFGHgxu0bl2KfS1I4lzoXa5paivuQUofVqKSPhyqWhz1zxWo" + "K7j2qBFr17JdQRn90akkuR60grp0GzXdJW5Kir7URiXXvMmwvaTTMOK4hV/Io9rh83ycYBG3XJxr" + "hCVgpSPCmlTwCM4l3QZz5csJX0nri8pXbhUDn32ALQjEEXBN26rGxdt2pT/nnHNKzLpeqGy39nAB" + "+wVBBcZKku3d5XrBUDdz+2/YfrhXTwQ7jytQXiVtC+fVF0/97bJtRB3rCL2+YOqSnq48LgHIZuEa" + "nAi3qZJ9napit0MDh6aZ4oIi27aVpV6fBgt3iXHKIi65XirDI+k6SGP3l/7uumKUjB07tgWbq6++" + "Os688zOXeGeLEfbfsev77qrcNWgWFXTb9bIfFcDUZSvpOdtzstLYZHF2XEutu+K+2HXY3piuwSm7" + "jH3sEsNsYcAVJ8v1N23XHRy7ptO6psIF+XXret6Ke7YPl2UfAhCoD4HMCRauH/vgB9g1l7ZWLPYP" + "QWBLtzqC4UpRc2W1TJQXgqse+1zcCiKqEruSa95ocA0qJFSSXMvBBXVFeXioCm8/rARldBs3N1bb" + "pg8C4fzhfX1AL6fyax2uAGdBPfZLguZvZHJF+de2KsO45HpAC64xWNpU53MH55JudaRKk2tlgKR1" + "lMuX9EEx7vr5DAK+CLjuZ9W4eNtu5eFRdlfcH73H2h4PwTW7XoyiROygTHjrCiKoL3R2Unds++95" + "zpw5Jdlc0ymSisslFTkONCCki7+2SdurXio6xU8HNuzBC9dvkWu02/VCevbZZztaU90p1zKKrulA" + "1dW+dGmU0K/cdOqSeieqJ6pOGbD7zrV8rr4QxiWX274GvQySy9MuKt6DilH296uaYKQub0B7Gqk9" + "sBQlOgTXEWxdwv/1118ffFyydV1P3CoqJYUrOLD/JuxpExVU1SKry1MnSawPux+reda66qqrWnwf" + "wt8tbawdJ6vSQShb6FWW9nfFhuIKjl6ujF0HxxCAQLoEMidYuH7sgxujLqGUZtKRgaBu1zbK7Swu" + "zkMtbYzz9tAHOVeKm1rheiB11aHn1L3QVszDTKJ+wFwjJuFy48aNizJZOK8PVeH89n65uYZaiT1a" + "Fq6jHg8PsRdU5kOXa6e2t9xLvf2jHb7GwJU5qu5wXns/cKN1jULYeas9tpe1K4OIjyHQMAI6hc7+" + "nkeJteUaabsy6/01SCo22HbiYii4XowqGbXXgJK2PddSofaLoCs2hU4RsesqN2IZXLdu4x78XQK2" + "DlQk8XBxBSXVpVzt5PLijBqcsMsmOXZ50YU9EpLUEZVHxQP7RVz7QgOl2h4GrjpcL6dJBlns6U2B" + "J5D+vtvfhbjRf423ZOcvN6hhX4fL48P2CPjiiy9a2Em60pe+eNtt1JV8XMk1NSUYQHDlr+aciph2" + "e6IElGrqV7HOrr9c3C/9G7bLVOpZoh449ndZxQQVZ4PksqPxNpIml/eIPieVS/ZAZtx3ulxdfA4B" + "CKRDIHOCRZz3gj2XtlYEr7zySoubbvgmHL5xhm3Fxb3Q8sFLYLhMkn37oSDclqiHPNcDQFBOfwyS" + "eCho21wPoUE9uo0SHuJiK+iPT5KkU2/CtsL7+vAXNeqodbsCnIXLV/IgnaStteZxvahoe+NYDRs2" + "LJJP2OtIRznVE6eSf8F33F4ZIMyw1n177n6tDCkPgXoRcLkoV+LJEG6X68VK71eu8+VGf10vRq4l" + "kMP2g339DdD7hP13rGJzOLnupeeee244S2HfNR1B41okSUHsCP1t0pFPnaag3iaaXPENVOSxvQSi" + "7Lg8BF1TVVyrpUQNTkTZijqvbbU567WmlVwBYXXqUdLfeVdwxSSru9jTm4LAsCeddFKL69Xfq6jk" + "msKqHiOVJFewR3tgwhVwM0p0CNt2eaBof9rePEEZ1yBT1LNaUKbSrSs2VSVBJ8vZcz13quATl1xC" + "QqVeSragq5yDKaqBbde9MuypFuSL2ur9y/57tL8rdlmdmmuXqUQctuvjGAIQSIdApgQLnZdm3yjC" + "x2m5nQbo4lzo41RcV9CxcDu1rC6NlzTpg1rcVJi4uYHlmN14441lm6EBzsLtt/f1ZTYqRc0b1jqS" + "TkmJeyHXeuw5jeG2xMXdUBEg6YNcuM567rtGOgPeUVG/XaOOQZm0ltpSVkGdaW/TDpRbz/6h7uWb" + "gC4DbX//o6bjlSPlmsahIoEr5k+5F37Xi1FSIUVf1OxrcsUtcN2HXcv/uYT+JC+DrmUmdSQzCB6o" + "y77a7dTflyRJVxRx3cNsUUbrconGgXCbxFZcHn1Gsa+hEk/HuLr1Mw3+atdfbjQ8qNMV9Dvs9RPk" + "c23twNr6fXQNlkTF/QrqdC0xec011wQfl91qLCT7+vXYFhRcv7NJnsnsYJtad9wUBPtlvx4j8a5V" + "MlxCXFl4jgzqnWnzTCqwab5w2bjnVNu0Ch72VA2tK4jHFeRXcSFsQ/fj+iMop1uX0KPl7e9KuIzu" + "u8ThpH9jdl0cQwAC6RHIlGDh+rEP36wqCbSTBJFrLfnAnsYMiEuum21QVrf68KQjB1EvzLq8av/+" + "/ZfqFJJwOdd+XOBKbaPtvmbXoXOpXaNUekN3BReyy+uoY1TSHyk7f3CsdpMm2xU5qEO3en1RHOOE" + "nixORYgawdHr1NEqO8VN+dEySdyA7Tpdx+qWqyyT/oubhqNRvsP12EsJq319MVTXW/sfIxmu3uGc" + "LwKuGDP6Il1tsl9oXAHkNAZPuWTXo3/7eq7caK5OIXB5LbpERBUntN7wP1egOddLo2tFCPuaXNce" + "Hu10ReZPOl3AJZxHCdY2j7jBCfsayh27PBXTEpXVti0caF/ZS9O62qjeM67fWPVwSZLs0XD93Xf9" + "BpT7PdJYMOHvl+5rf8R5UQbti7oG15LDOhUobEe/C+WSfY1BeVf9WpfLm0Y9iNJOLiFPnx3TSBov" + "IrjOYKvPxUlSNf0f1OuKe6JeYNrH4eTyptF2JgnifdFFF7W4tt/85jfh6p379nXpdyctQdNpkJMQ" + "gEAiApkSLFw/9sFN1DWXNtEVxmSyFeLAlm6DOZpRxV2BmcLlg3292emDgo5I6D+9GcbZDcqFt+Ue" + "2lxzLsPldV/boZ4SOrqk+XXOp53HdawjDlEPEy63wHAdtloexVLPu6LTh+uK+oGOi3mi5fW60/g3" + "ZsyYuOZX9FlcrBAVLXTkSsU710N4mEmSuZgVNayCzK4R34B3lLgUVO960AuuS1/CSBBoBAEd6Q++" + "h+FtOVEgrq3l4srovanciJ9rqkTQvnIeCMEUjCC/bvX3yJVcvyP2C4SWc82p13ta3EO9a6qNlgnX" + "7xpJTrKCRNS0RNeIr8sjsdzghItV1DnXAIROT0gr6XNJuC91Xz1e4pL2V1TQ5iR8te44oT1oT9IB" + "CpeHUVi4iroWW4RQu/r34/KccAVNjPtdipvme/vttzub5PIwqXR6i7Ni66ROaw0YB1uX4GgVS3To" + "8kRJGutDByaC9gRbfS4ol6ICxb/88sstikYNDOo9LHzvsAuqR0TQpvA2aqW7oLzGmgnn1/1ygrL+" + "Puh9Jfwv8BoL6mULAQjUTiBTgoXrxz64ebjm0tZy+a6gTIEt3WoAr3JJRyfCZeq1HxU/Imif/mBX" + "KoIkaas+DMTNZXQp/+F6XQ8SQZvtrT7sqr1w+fB+1EiQPVoWLpPmvnqjpJVcS8tV2lZllWTucVpt" + "tuuJ8uqxg5/Z5fTYNY85uP4kDzyuOjkHgVoJuII2ul56K7HjGhEPvuu6TeKZ4PI8CNfhcg9XzwrX" + "ih9aLiqujx0sMkrY0Ot3CZYqjrjEbZdYoe2wA13qC6XrN0DnsbuSvrBceeWVkb8Z+jxhJ9f0xzRf" + "Ml2xQlRQ0Bf+av+FPShcUyBV5HV5UOq16zQcl0AQfH/UEy5J0t/noIxrq88fST1gdcUUuw7t96hB" + "Cf2d02k1dhk9juo710CGK7ioenzExdBSG1EBX9W23aZg1a0kTJPmcT2rxk2TTVqv5rNjk+j1lBPA" + "gvpdKx1pefXadd0H9DvkijOjZVzxL3Sal803fKzeFy4RKmrwKwkzlwgTFfA+4OBapY64XQEdthBI" + "j0CmBAvXj31wg3LNpa0FQ7llHJMopLrKSL1fmJO6q7qCcQXsqt2W85DQud1RdSedGxvuw7iHT7Vj" + "z0d2jZZFtaeW80nnTIavJW5ff4ijXviTtjNq2bg4u2l9Fre6TpL5yLfcckvk96YeD3xpXTf1NDcB" + "1+h1rS7e6h0X9Tet94C4UcKAtsYhiqojOK+CrgaTVLf2P/zhD5ErPrnEDbXj8nqKWzpRA9O5xAX1" + "4tN7k77kqVAR9bIcNQARFa9H7yvqdaYrfOhqKioElRPpXTE+dLQ8YBZskwxOBH0Rt9XR26DONLf6" + "8h0kfelzXbf+3uozkgYPVVFDf7tdL+12u3SJ2qQp7jernEdq2IaOSEeteqVt1hF49V7Q75G68Lu+" + "Z3odceK2KzCsltHvp8YB0amWl156aWTdYU5RSxq7vBO0raeffnqBvf5N6qpDtSadUhluj+4nETqT" + "2NXvjV23/r4nTdo/dnk9Vs9cFXT0b1Y9J/Qe5prWpnn1GdrlZTZkyBBn3WF7KrIqCxXBtF9VZA1/" + "Huzr9JBySZ/57e94kudvl81K/q7KtYvPIQCBZQQyI1i41okPbja6dc2lraUT45ZxrCQKsarM9k0u" + "3O5q91W80ZueS6mOum6X+2819vVHTAN0lUuu4GWBPVXuK03llji13Z/LxTwJ2lLrNu7BqNJrDPLH" + "eRmUa29Sl83AVtpbfQCJamO/fv3KmnNFlg/qSytaf9lGkAECFgGX23ytD55xrvRJRUd7Wom+FOmy" + "hsHfTNJtnOjvuh+VG5F0BYBM0hYVJaKm2WgMhKgX1Li6XS9Drt8gjUdg15NkcML6qjgP1U3frjuN" + "Y3tKgt5jq6nXxcj2cnFe2P+dtL+HQRvUbb+S5xStTr0mXMJLUGe5rU4/cY2uB+2fMGFCxd8j/V7q" + "FJmwbX2ZjkpRnkPh8uVG56PqDp9XtuE6dT9JLIZwHa599YC169Vn2UqS/h274v7Y9UYdq6gT5R2k" + "yy7b5e66664W5+w89rF6b0Tdb8LXqvc7u2y5e6AKznYZPbYH18J22IcABKojkBnBQt0BXX/4wbkk" + "I1GVIFClPajb3mpAwEqSjnqoylvNg5ZtW2/gqhZXe73K0XbttW3EHeuPdtgFNY5DnHeJjj5Uk6Lm" + "LGqblW/Y7VSZx11LWp9V8lBXyTXrCEIlD216/eW8XiqxX23euJclHXktl+I8qfRviQSBRhDQ0TT7" + "nlFr7Br9Ptt16nElorg9Cqru8fqSnWQEXW3pfaNclHuX11O5lUv0Rco1yuy63uCcitzqYRaXXFMG" + "gvKurXLQ3wV7hQfXy5c9uFBJP8S1WT9TzxZX+2o955qS4ApaGGdHRQ/Xy1WSkefgutXLxWVDPV6q" + "Sep9YAc4dNUfPqe/l1FeQnYbXC+g4brC+xo/Tb/PGqg7fN7lpRPYUU8E+28zXFb3k7woB/XFbe3f" + "zCgPpbg67M9cXrnqHVJp0r+9uMErm0lwrB61cWKha9qZ5netHBLUaW814G2csBVcq9Zr3xuSCHGu" + "KVp6vyVBAALpE8iMYOFaGz24+cTNpa0WiX1zCmzpVpXzapK67qnyX+5HLGxL82qAKH0pTvKyl6Rd" + "+iOpLpH2j1zYbnhfHwJ0ZKFS90W9MYfrCe9r9OlqkstlN1xvONhUuaCU4XK17CfxNqnmWrWMjihG" + "zTUP2qz9ox5B5SKwV9uGSsu5om9rW/W7XC65HpqD60ziflmufj6HQLUEbPFQ729JHnbL2bPr1e97" + "Uo9B1zxxjYETJA0W6KpfbWj71WvE5W4dlA+29jxsLZt01FynVLjcooO/a92qEB4VOyNoQ3irI5Tl" + "AkNrneEVXGwPGbv/9Hcx3Cbdr3RwItxGe7+alza7Pa7jqCkJGvg77jlGr1+nPYRjSdleFpWspHWP" + "iXdht0/7oJYUPKvEDVSoTW232i8ndtlt0QGcOEb6UhxeTtwehCm3pLGKejrIZHPRYxVj0kp2DA+1" + "WWtyrQqkXlPVJg0ua7fT5qLfSRW+wt/JKHt2v4XFRfXytPsqbEv5VDLVyyVuaeygcskV3FMZkCAA" + "gfQJtNIqzR86KWUCZmRNjAAgJmCmmFE6MUHQxPzYSs+ePQv/VlppJdlkk01krbXWStlyaXVBO7Qt" + "QTs0h9pdc801ZY011pB1111X2rZtW1qQI68EzHroYgLCFb4zJlK1dOjQQdZff33ZYIMNxDzMSbt2" + "7by2B2MQgEDtBIzAKvvtt19JRSbIn5hYLSXnog6M+78cfPDBJR+bkVH5wQ9+UDynvy1mlFvMS76Y" + "FwFZZZVVCvcN88IkHTt2LOar945ZzUTMS4IYN3gx4rsYwVw22mijwr/u3btXZd649svHH39c+Kf1" + "G3FGjDAqu+++u3Tp0qWqOpupkBkZLvyuKyMjCIkR2GT11VeXXr16yY477pja77o+Jur3yUwdKsE3" + "evRo+c53vlNyrtoD8/Jf6GezZKUYL8/Cdeh3SP/pM0rr1q2rqlr/PoxAWOCjz0H6DKa/rTvttJP0" + "6NGjqjrtQvo91ecrM9VFVlxxxUL96623nnTq1MnOWtWx8UYQ86JfLGsEQjGDQsXjLO3oPWjYsGFi" + "BlgKPLp161a4H+n3RPuyffv2ZZurf+vKMZyMuFjCQD8zsU7ECE6i/NWO3hv0e7ryyiuHi8buG2FW" + "jCBW+H4EGY3oIMbTKzhkCwEIZIAAgkUGOoEmQAACEIBAcxHQl8nttttOTKDIkgszUf8LQnHJyYgD" + "E1xSTGT9kk8DUaLkJAcQqCMB43UqRx55ZIkF45UjZhpMyTkO6kPArHwhP/7xj4uVq3CnomCzJuPd" + "IHvttVfJ5el38PDDDy85l8aB8dwRMxWmpCoVQTbeeOOScxxAAAKNJYBg0Vj+WIcABCAAgSYk8Oc/" + "/1nM0nslV2amDMovf/nLknNxB4ceeqg888wzxSw6gqijiSQI+CKgo93GPb/gvRPYNK79BW/AtDwU" + "gnrZugmoZ4h6hYSTeqSoJ00zJrO8qJjpTCWXpgzU0ybNpOKvMjTTuYvVmqDmctlllxWP2YEABLJB" + "AMEiG/1AKyAAAQhAoEkI6LSILbfcsuRq1B3axFxI7Caubvg6lSL8MG1WvpAHH3ywpF4OIFAvAvod" + "1NHne++9t8SEWa2hxah0SQYOUiegI/467SdI6hlgVr0JDptqe9xxx4mJK1G8JhXIdNps2snEjxOz" + "JG+xWhMnQ5599tmqpx8VK2IHAhBInQCCRepIqRACEIAABJYXAjpXW+MT6Zx1EyBRXnnlFTFL6ZWM" + "SCsLEwBQvv/97yfGojEp7PgAZlUOufjiixPXQUYIJCWg4oTGHtBRbI2xZZbkFbMSl5jAriVVaIwA" + "/Y5XG1OipDIOEhPQKThmha5ifhUrVLRoxrTOOuuIxjIJUj1iSjz//PNiVhYKTIiKIjoVRGO7kSAA" + "gewRQLDIXp/QIghAAAIQyAkBs1pR2bn81bxc9O7dW44++ugSChpcUwNOkiCQNgFXoEOXDQ2sqgHD" + "SX4JqOCpHgBBatY4FmZlpBYxfiqdShcwitqq15oG2gyLImaVkxYBkqPKcx4CEPBPAMHCP3MsQgAC" + "EIBAkxA499xzW4xChy9Np4JoNHtdnaCS5BJC1C1aRwJJEEibgMZGKRcj4NZbb5Xzzz8/bdPUl4CA" + "BvHV1d007kKQdGUSvb80U0qyMlIt16ueRDrl5LHHHitWw/e6iIIdCGSWAIJFZruGhkEAAhCAQNYJ" + "HHvssSUPv+H26ijokCFDyr4IhssE+/vss0/B9T441hFBddMnQaAeBDS+ylZbbRVZ9W9/+1vR5TVJ" + "jSNw9dVXy1VXXVVswP333y8nnXRS8bgZduq9MpJOq9HpNUE655xz5I477ggO2UIAAhklgGCR0Y6h" + "WRCAAAQgkH0CutygLjtoJw2Q+fvf/74Q28L+LMmxHWSPB+sk1MhTLYGRI0fK5ptv3qK4im66fGmz" + "BnhsccEZPmGvFnLGGWfInXfemeEWV960Cy+8UNTjIUjqQaKeJGkke1rN3nvvLc8995y0b98+jeqp" + "AwIQqCMBBIs6wqVqCEAAAhBobgLTp08XndevL3w6ZUMfsLfYYoumXXKwuXtz+b06nXKgL4YaeHD0" + "6NGy4oorykYbbSQ77LCDdOzYcfkFk7Erv/vuu2XKlCmFVqlHzEEHHZSxFma3ORoDaPDgwcUGnnnm" + "mRVP1SsWZgcCEPBKAMHCK26MQQACEIAABCAAAQhAAAIQgAAEIJCEAIJFEkrkgQAEIAABCEAAAhCA" + "AAQgAAEIQMArAQQLr7gxBgEIQAACEIAABCAAAQhAAAIQgEASAggWSSiRBwIQgAAEIAABCEAAAhCA" + "AAQgAAGvBBAsvOLGGAQgAAEIQAACEIAABCAAAQhAAAJJCCBYJKFEHghAAAIQgAAEIAABCEAAAhCA" + "AAS8EkCw8IobYxCAAAQgAAEIQAACEIAABCAAAQgkIYBgkYQSeSAAAQhAAAIQgAAEIAABCEAAAhDw" + "SgDBwitujEEAAhCAAAQgAAEIQAACEIAABCCQhACCRRJK5IEABCAAAQhAAAIQgAAEIAABCEDAKwEE" + "C6+4MQYBCEAAAhCAAAQgAAEIQAACEIBAEgIIFkkokQcCEIAABCAAAQhAAAIQgAAEIAABrwQQLLzi" + "xhgEIAABCEAAAhCAAAQgAAEIQAACSQggWCShRB4IQAACEIAABCAAAQhAAAIQgAAEvBJAsPCKG2MQ" + "gAAEIAABCEAAAhCAAAQgAAEIJCGAYJGEEnkgAAEIQAACEIAABCAAAQhAAAIQ8EoAwcIrboxBAAIQ" + "gAAEIAABCEAAAhCAAAQgkIQAgkUSSuSBAAQgAAEIQAACEIAABCAAAQhAwCsBBAuvuDEGAQhAAAIQ" + "gAAEIAABCEAAAhCAQBICCBZJKJEHAhCAAAQgAAEIQAACEIAABCAAAa8EECy84sYYBCAAAQhAAAIQ" + "gAAEIAABCEAAAkkIIFgkoUQeCEAAAhCAAAQgAAEIQAACEIAABLwSQLDwihtjEIAABCAAAQhAAAIQ" + "gAAEIAABCCQhgGCRhBJ5IAABCEAAAhCAAAQgAAEIQAACEPBKAMHCK26MQQACEIAABCAAAQhAAAIQ" + "gAAEIJCEAIJFEkrkgQAEIAABCEAAAhCAAAQgAAEIQMArAQQLr7gxBgEIQAACEIAABCAAAQhAAAIQ" + "gEASAggWSSiRBwIQgAAEIAABCEAAAhCAAAQgAAGvBBAsvOLGGAQgAAEIQAACEIAABCAAAQhAAAJJ" + "CCBYJKFEHghAAAIQgAAEIAABCEAAAhCAAAS8EkCw8IobYxCAAAQgAAEIQAACEIAABCAAAQgkIYBg" + "kYQSeSAAAQhAAAIQgAAEIAABCEAAAhDwSgDBwitujEEAAhCAAAQgAAEIQAACEIAABCCQhACCRRJK" + "5IEABCAAAQhAAAIQgAAEIAABCEDAKwEEC6+4MQYBCEAAAhCAAAQgAAEIQAACEIBAEgIIFkkokQcC" + "EIAABCAAAQhAAAIQgAAEIAABrwQQLLzixhgEIAABCEAAAhCAAAQgAAEIQAACSQggWCShRB4IQAAC" + "EIAABCAAAQhAAAIQgAAEvBJAsPCKG2MQgAAEIAABCEAAAhCAAAQgAAEIJCGAYJGEEnkgAAEIQAAC" + "EIAABCAAAQhAAAIQ8EoAwcIrboxBAAIQgAAEIAABCEAAAhCAAAQgkIQAgkUSSuSBAAQgAAEIQAAC" + "EIAABCAAAQhAwCsBBAuvuDEGAQhAAAIQgAAEIAABCEAAAhCAQBICCBZJKJEHAhCAAAQgAAEIQAAC" + "EIAABCAAAa8EECy84sYYBCAAAQhAAAIQgAAEIAABCEAAAkkIIFgkoUQeCEAAAhCAAAQgAAEIQAAC" + "EIAABLwSQLDwihtjEIAABCAAAQhAAAIQgAAEIAABCCQhgGCRhBJ5IAABCEAAAhCAAAQgAAEIQAAC" + "EPBKAMHCK26MQQACEIAABCAAAQhAAAIQgAAEIJCEAIJFEkrkgQAEIAABCEAAAhCAAAQgAAEIQMAr" + "gYYLFkuXLpVHHnlEBg8eLOuss07hn1cCGIMABCAAAQhAAAIQgAAEIAABCOSQwMYbbyzbbrttDlue" + "rMmZECxat26drLXkggAEIAABCEAAAhCAAAQgAAEIQKBA4MEHH5QTTjihaWlkSrDo1auXdOjQoWlh" + "c2EQgAAEIAABCEAAAhCAAAQgAIFaCXzwwQeisxUQLGolWaa8Qg48LN577z3ZYostypTgYwhAAAIQ" + "gAAEIAABCEAAAhCAwPJLoH379rJw4UJ5++23mRJSz68BgkU96VI3BCAAAQhAAAIQgAAEIAABCDQb" + "AQQLTz2KYOEJNGYgAAEIQAACEIAABCAAAQhAoCkIIFh46kYEC0+gMQMBCEAAAhCAAAQgAAEIQAAC" + "TUEAwcJTNyJYeAKNGQhAAAIQgAAEIAABCEAAAhBoCgIIFp66EcHCE2jMQAACEIAABCAAAQhAAAIQ" + "gEBTEECw8NSNCBaeQGMGAhCAAAQgAAEIQAACEIAABJqCAIKFp25EsPAEGjMQgAAEIAABCEAAAhCA" + "AAQg0BQEECw8dSOChSfQmIEABCAAAQhAAAIQgAAEIACBpiCAYOGpGxEsPIHGDAQgAAEIQAACEIAA" + "BCAAAQg0BQEEC0/diGDhCTRmIAABCEAAAhCAAAQgAAEIQKApCCBYeOpGBAtPoDEDAQhAAAIQgAAE" + "IAABCEAAAk1BAMHCUzciWHgCjRkIQAACEIAABCAAAQhAAAIQaAoCCBaeuhHBwhNozEAAAhCAAAQg" + "AAEIQAACEIBAUxBAsPDUjQgWnkBjBgIQgAAEIAABCEAAAhCAAASaggCChaduRLDwBBozEIAABCAA" + "AQhAAAIQgAAEINAUBBAsPHUjgoUn0JiBAAQgAAEIQAACEIAABCAAgaYggGDhqRsRLDyBxgwEIAAB" + "CEAAAhCAAAQgAAEINAUBBAtP3Yhg4Qk0ZiAAAQhAAAIQgAAEIAABCECgKQggWHjqRgQLT6AxAwEI" + "QAACEIAABCAAAQhAAAJNQQDBwlM3Ilh4Ao0ZCEAAAhCAAAQgAAEIQAACEGgKAggWnroRwcITaMxA" + "AAIQgAAEIAABCEAAAhCAQFMQQLDw1I0IFp5AYwYCEIAABCAAAQhAAAIQgAAEmoIAgoWnbkSw8AQa" + "MxCAAAQgAAEIQAACEIAABCDQFAQQLDx1I4KFJ9CYgQAEIAABCEAAAhCAAAQgAIGmIIBg4akbESw8" + "gcYMBCAAAQhAAAIQgAAEIAABCDQFAQQLT92IYOEJNGYgAAEIQAACEIAABCAAAQhAoCkIIFh46kYE" + "C0+gMQMBCEAAAhCAAAQgAAEIQAACTUEAwcJTNyJYeAKNGQhAAAIQgAAEIAABCEAAAhBoCgIIFp66" + "EcHCE2jMQAACEIAABCAAAQhAAAIQgEBTEECw8NSNCBaeQGMGAhCAAAQgAAEIQAACEIAABJqCAIKF" + "p25EsPAEGjMQgAAEIAABCEAAAhCAAAQg0BQEECw8dSOChSfQmIEABCAAAQhAAAIQgAAEIACBpiCA" + "YOGpGxEsPIHGDAQgAAEIQAACEIAABCAAAQg0BQEEC0/diGDhCTRmIAABCEAAAhCAAAQgAAEIQKAp" + "CCBYeOpGBAtPoDEDAQhAAAIQgAAEIAABCEAAAk1BAMHCUzciWHgCjRkIQAACEIAABCAAAQhAAAIQ" + "aAoCCBaeuhHBwhNozEAAAhCAAAQgAAEIQAACEIBAUxBAsPDUjQgWnkBjBgIQgAAEIAABCEAAAhCA" + "AASaggCChaduRLDwBBozEIAABCAAAQhAAAIQgAAEINAUBBAsPHUjgoUn0JiBAAQgAAEIQAACEIAA" + "BCAAgaYggGDhqRsRLDyBxgwEIAABCEAAAhCAAAQgAAEINAUBBAtP3Yhg4Qk0ZiAAAQhAAAIQgAAE" + "IAABCECgKQggWHjqRgQLT6AxAwEIQAACEIAABCAAAQhAAAJNQQDBwlM3Ilh4Ao0ZCEAAAhCAAAQg" + "AAEIQAACEGgKAggWnroRwcITaMxAAAIQgAAEIAABCEAAAhCAQFMQQLDw1I0IFp5AYwYCEIAABCAA" + "AQhAAAIQgAAEmoIAgoWnbkSw8AQaMxCAAAQgAAEIQAACEIAABCDQFAQQLDx1I4KFJ9CYgQAEIAAB" + "CEAAAhCAAAQgAIGmIIBg4akbESw8gcYMBCAAAQhAAAIQgAAEIAABCDQFAQQLT92IYOEJNGYgAAEI" + "QAACEIAABCAAAQhAoCkIIFh46kYEC0+gMQMBCEAAAhCAAAQgAAEIQAACTUEAwcJTNyJYeAKNGQhA" + "AAIQgAAEIAABCEAAAhBoCgIIFp66EcHCE2jMQAACEIAABCAAAQhAAAIQgEBTEECw8NSNCBaeQGMG" + "AhCAAAQgAAEIQAACEIAABJqCAIKFp25EsPAEGjMQgAAEIAABCEAAAhCAAAQg0BQEECw8dSOChSfQ" + "mIEABCAAAQhAAAIQgAAEIACBpiCAYOGpGxEsPIHGDAQgAAEIQAACEIAABCAAAQg0BQEEC0/diGDh" + "CTRmIAABCEAAAhCAAAQgAAEIQKApCCBYeOpGBAtPoDEDAQhAAAIQgAAEIAABCEAAAk1BAMHCUzci" + "WHgCjRkIQAACEIAABCAAAQhAAAIQaAoCCBaeuhHBwhNozEAAAhCAAAQgAAEIQAACEIBAUxBAsPDU" + "jQgWnkBjBgIQgAAEIAABCEAAAhCAAASaggCChaduRLDwBBozEIAABCAAAQhAAAIQgAAEINAUBBAs" + "PHUjgoUn0JiBAAQgAAEIQAACEIAABCAAgaYggGDhqRsRLDyBxgwEIAABCEAAAhCAAAQgAAEINAUB" + "BAtP3Yhg4Qk0ZiAAAQhAAAIQgAAEIAABCECgKQggWHjqRgQLT6AxAwEIQAACEIAABCAAAQhAAAJN" + "QQDBwlM3Ilh4Ao0ZCEAAAhCAAAQgAAEIQAACEGgKAggWnroRwcITaMxAAAIQgAAEIAABCEAAAhCA" + "QFMQQLDw1I0IFp5AYwYCEIAABCAAAQhAAAIQgAAEmoIAgoWnbkSw8AQaMxCAAAQgAAEIQAACEIAA" + "BCDQFAQQLDx1I4KFJ9CYgQAEIAABCEAAAhCAAAQgAIGmIIBg4akbESw8gcYMBCAAAQhAAAIQgAAE" + "IAABCDQFAQQLT92IYOEJNGYgAAEIQAACEIAABCAAAQhAoCkIIFh46kYEC0+gMQMBCEAAAhCAAAQg" + "AAEIQAACTUEAwcJTNyJYeAKNGQhAAAIQgAAEIAABCEAAAhBoCgIIFp66EcHCE2jMQAACEIAABCAA" + "AQhAAAIQgEBTEECw8NSNCBaeQGMGAhCAAAQgAAEIQAACEIAABJqCAIKFp25EsPAEGjMQgAAEIAAB" + "CEAAAhCAAAQg0BQEECw8dSOChSfQmIEABCAAAQhAAAIQgAAEIACBpiCAYOGpGxEsPIHGDAQgAAEI" + "QAACEIAABCAAAQg0BQEEC0/diGDhCTRmIAABCEAAAhCAAAQgAAEIQKApCCBYeOpGBAtPoDEDAQhA" + "AAIQgAAEIAABCEAAAk1BAMHCUzciWHgCjRkIQAACEIAABCAAAQhAAAIQaAoCCBaeuhHBwhNozEAA" + "AhCAAAQgAAEIQAACEIBAUxBAsPDUjQgWnkBjBgIQgAAEIAABCEAAAhCAAASaggCChaduRLDwBBoz" + "EIAABCAAAQhAAAIQgAAEINAUBBAsPHUjgoUn0JiBQI4JLFmyJMetp+kQgAAEIACB+hFo3bp1/Sqn" + "ZghAILMEECw8dQ2ChSfQmIFAzgh8/fVMefGV1+WLLybLwkWLc9Z6mgsBCEAAAhDwQ6BH966yzdab" + "yXbbbu7HIFYgAIFMEECw8NQNCBaeQGMGAjkisNh4VNz7j94yfebsHLWapkIAAhCAAAQaR2CfPXdC" + "tGgcfixDwDsBBAtPyBEsPIHGDARyRGDkh2Ok73MDctRimgoBCEAAAhBoLIFuXTvL2Wcc19hGYB0C" + "EPBGAMHCE2oEC0+gMQOBHBHo++wAGfnRmBy1mKZCAAIQgAAEGk/g0ot+IsS0aHw/0AII+CCAYOGD" + "srGBYOEJNGYgkCMCj/buJ+MnTMpRi2kqBCAAAQhAoPEEECwa3we0AAK+CCBYeCKNYOEJNGYgkCMC" + "fZ7qL6PGjs9Ri2kqBCAAAQhAoPEEECwa3we0AAK+CCBYeCKNYOEJNGYgkCMCrw4aKm8OGZGjFtNU" + "CEAAAhCAQOMJIFg0vg9oAQR8EUCw8EQawcITaMxAIEcEXhv8trzx1vActZimQgACEIAABBpPAMGi" + "8X1ACyDgiwCChSfSCBaeQGMGAjkiQNDNHHUWTYUABCAAgcwQQLDITFfQEAjUnQCCRd0RLzOAYOEJ" + "NGYgkCMCBN3MUWfRVAhAAAIQyAwBBIvMdAUNgUDdCSBY1B3xMgMIFp5AYwYCOSJA0M0cdRZNhQAE" + "IACBzBBAsMhMV9AQCNSdAIJF3REvM4Bg4Qk0ZiCQIwIE3cxRZ9FUCEAAAhDIDAEEi8x0BQ2BQN0J" + "IFjUHfEyAwgWnkBjBgI5IkDQzRx1Fk2FAAQgAIHMEECwyExX0BAI1J0AgkXdES8zgGDhCTRmIJAj" + "AgTdzFFn0VQIQAACEMgMAQSLzHQFDYFA3QkgWNQd8TIDCBaeQGMGAjkiQNDNHHUWTYUABCAAgcwQ" + "QLDITFfQEAjUnQCCRd0RLzOAYOEJNGYgkCMCBN3MUWfRVAhAAAIQyAwBBIvMdAUNgUDdCSBY1B3x" + "MgMIFp5AYwYCOSJA0M0cdRZNhQAEIACBzBBAsMhMV9AQCNSdAIJF3REvM4Bg4Qk0ZiCQIwIE3cxR" + "Z9FUCEAAAhDIDAEEi8x0BQ2BQN0JIFjUHfEyAwgWnkBjBgI5IvD0My/Jx6M+zVGLaSoEIAABCECg" + "8QQQLBrfB7QAAr4IIFh4Io1g4Qk0ZiCQIwIPP/qMTPxico5aTFMhAAEIQAACjSdwyQWnStu2bRrf" + "EFoAAQjUnQCCRd0RLzOAYOEJNGYgkCMCeFjkqLNoKgQgAAEIZIYAHhaZ6QoaAoG6E0CwqDviZQYQ" + "LDyBxgwEckSAGBY56iyaCgEIQAACmSGAYJGZrqAhEKg7AQSLuiNeZgDBwhNozEAgRwQQLHLUWTQV" + "AhCAAAQyQwDBIjNdQUMgUHcCCBZ1R7zMAIKFJ9CYgUCOCDAlJEedRVMhAAEIQCAzBBAsMtMVNAQC" + "dSeAYFF3xMsMIFh4Ao0ZCOSIAEE3c9RZNBUCEIAABDJDgKCbmekKGgKBuhNAsKg74mUGECw8gcYM" + "BHJEIAseFlO/+lK+nvaVzJ83t0CuY+fO0mOlVWSVVdfIEclkTZ04fpzMnj1D5s2dK+3at5dOnTrL" + "aquvJV26rZisgpzkWrhggUycMFbmzp1j+nVe4To7mX5dc+0NpP0KK+TkKmgmBCAAgWgCeFhEs+ET" + "CDQbAQQLTz2KYOEJNGYgkCMCjYxh8cHwoTLsnTekdevW0q37itKqVSvR+9QC87I7b87swv6W2+wg" + "W2+3S46Iups6eODz8uEHw6VHj5WkQ8dOhUxLFi+WhQsXyYzpU6Vr1+6y3U57yAa9NnFXkJOzs2Z8" + "LYMGviCfjRsla6+zvrRu00ZmzpgunTt3lYWLFsg0I06tZc7vsvt+stIqq+XkqmgmBCAAgZYEECxa" + "MuEMBJqVAIKFp55FsPAEGjMQyBGBVwcNlTeHjPDa4lnTp8mgV18QHYXv0LGzTJ70ucyZM6tFG9q2" + "aSvqbdGpczfZbc/9ZLU11m6RJ+snJnw6uvACr94iS5YslvHjRsuChQtaNLtDh07SqnUrWXe9XrLL" + "Ht+XjsbzIm/p/eFDZLARKzbfclvjMTNFJk0cL4uXLGlxGV26dDNeF3OMQLNnQaRpkYETEIAABHJA" + "AMEiB51EEyGQEgEEi5RAlqsGwaIcIT6HwPJHoM9T/WXU2PHeLlxf4Ps99U9Zb8ONZa4RKSZ/ObGs" + "7S5du8m38+fJDrvsnStvi6FvDJQP339XVl9zHfnqy89l1qyZZa+1m5ka8o251kOPOtFMFcmPQNPv" + "qUfMNJc50rVbD/ls7MeyyHiPlEt6ra3btpXjT/6p8a5pXS47n0MAAhDIFAEEi0x1B42BQF0JIFjU" + "Fe9/Kkew+A8L9iAAgWUEHu3dT8ZPmOQFh96DHrn/L7KOmRLwnpkOUk06/qSfSo+VV62mqNcyXxkh" + "5ul/PWCmP2wg48Z8XLFtfZk/8fQLKy7XiALqWTF21Ecy13hNzPh6akVNaGOmA+k0mP0PPqqicmSG" + "AAQg0GgCCBaN7gHsQ8AfAQQLT6wRLDyBxgwEckSg77MDZORHY7y0ePCA52X611MK0wXmmBgV1aTu" + "PXrKCaeeV01Rr2WeePRe0akPoz/5oCq77duvIOuu38u8yB9ZVXlfhTRmhYpQ6xuPmTGjRlZltn27" + "9rKzmQaz+VbbV1WeQhCAAAQaQQDBohHUsQmBxhBAsPDEHcHCE2jMQCBHBHwF3fxi4mfyYr8nZJXV" + "1qzK4yBAqi/yvb67mey136HBqcxt3x3ymkz4bJx88808mTb1q6rb165tO/n+gYdnOhCnTu9pa6Z1" + "qBfJ4gTTQKJgqGhx2rmXFwKwRuXhPAQgAIEsEUCwyFJv0BYI1JcAgkV9+RZrR7AoomAHAhD4PwK+" + "gm6+OeilQoyDj0YOr5n9Cit0kNPNy21Wk3pXrLjiSpLGteqKGj886uRMXqoGTb3rjutkrbXXk88n" + "fFpzG3fb6wey1fd2qrkeKoAABCDggwCChQ/K2IBANgggWHjqBwQLT6AxA4EcEfAVdPPxB/8unbp0" + "Mctdjq6ZTisT9+Co40433hpr1FxX2hV8++038sBdN0v3FXvIFLOEZ61JPQ/OOP8XtVZTl/KfjvlI" + "3hk62AQU/aKwBG2tRlY1/XnUj8+stRrKQwACEPBCAMHCC2aMQCATBBAsPHUDgoUn0JiBQI4I+Aq6" + "ed/f/iTtVlhBZpglTdNI+x7wQ9l4063TqCrVOjTo5DN9HpFZM6enVG8rOfeSK1KqK91qRo5428To" + "GCkTP/80lYo7d+4ip5z1s1TqohIIQAAC9SaAYFFvwtQPgewQQLDw1BcIFp5AYwYCOSLgK+jmHTdd" + "Ix07dZb58+amQmf7nfY0y5zulUpdaVbyxeefST8jWCxYuCC1ak8582LpbAJ4Zi3psq0ffzgiNXEm" + "y94kWWNPeyAAgcYTQLBofB/QAgj4IoBg4Yk0goUn0JiBQI4I+Aq6qR4Wi5cukW/mz0uFzm577W/i" + "HeycSl1pVlLwsHjiIZk1e2Zq1Z5x3s9Fg41mLX0wYmhBsJg8aWIqTevQoaOcds5/pVIXlUAAAhCo" + "NwEEi3oTpn4IZIcAgoWnvkCw8AQaMxDIEYH+Lw6SYe99XPcWP/bg32SBCdKY1lSJQ350vKy7wUZ1" + "b3elBpbFsPhz4VorLevK38bE6zj7ol+5Pmr4OY1hMeSNV2XqlNpjdejFdOveQ0487YKGXxcNgAAE" + "IJCEAIJFEkrkgUBzEECw8NSPCBaeQGMGAjki0PvJ52Xcp5/XvcVvvPaifD5+bCqBKNu2aSMnnn6R" + "dDIxD7KYej9yl3z7zTcyc8bXNTevu1lt5ISfnF9zPfWoYMG338q9f/2jLF6yJJXqN9p4C9nvoCNS" + "qYtKIAABCNSbAIJFvQlTPwSyQwDBwlNfIFh4Ao0ZCOSIgC/BYuKEcfLis31k7tzZNdPp1n1FMxJ/" + "Yc311KsCje0w6uP3UwkwuslmW8s+P/hhvZpac73PPPGgfD1tqsyZM6vmun541Emy1job1FwPFUAA" + "AhDwQQDBwgdlbEAgGwQQLDz1A4KFJ9CYgUCOCPiaEqJIXnquj0z6YkJN00LatmkrBx9+fOZfbB+6" + "9zZZZAJvzp07p+pvQ7du3eXwY3+SyYCbwUV9PWWyPP7wnTV7Wayz3oZy6BEnBtWyhQAEIJB5AggW" + "me8iGgiB1AggWKSGMr4iBIt4PnwKgeWRgK+gm8pWp0k8cM8tImYKQbWraGyx9fayxz4HZb6rPv9s" + "jDzf91+iMS2qSRq7Yv+Dj5QNem1aTXGvZd5+81X56INhMmvWjKrs6uoxRxxzqnTv0bOq8hSCAAQg" + "0AgCCBaNoI5NCDSGAIKFJ+4IFp5AYwYCOSLg08NCsXw8cri89spzJijltxVT6rnyqnLEcadJu3bt" + "Ky7biAKvvPC0jBv7SVUro3x3ky3l+wce3ohmV2Xz4fvukHlmuo/GtagktWrVSvbc9yDZbMvtKilG" + "XghAAAINJ4Bg0fAuoAEQ8EYAwcITagQLT6AxA4EcEfAVwyKMZNSH78mrrzwrSxYvloWLFoY/itxf" + "d/3vyB57HyjdTBDKPKXXB/aX4e++IXr/TZLatm0rm27xPdl59+9L27btkhTJRJ6lZsnafk/9UyZ9" + "Pj6x94x6Vuy4y16IFZnoQRoBAQhUSgDBolJi5IdAfgkgWHjqOwQLT6AxA4EcEWiEYKF4FpolTp99" + "+p/y5aTPZdGiRZHEOnTsJDvsvKdssfUOkXmy/sGUyV8UpoeoB0LctfZYaRXjbXCgrLn2+lm/pMj2" + "fTBiqLzx6ouyePGi2LgWGrNCBSimgUSi5AMIQCDjBBAsMt5BNA8CKRJAsEgRZlxVCBZxdPgMAssn" + "Ad9TQmzKUydPknfffl2+NME4dZqIel20bddOdPT9O9/dXLY0MStUtGiGNG70R/L+8CEybdqUQkBO" + "vSe3a99BunXvLpsZr4qNNt5S2hgPi7wn7cP3Rwwx03/ek9mzZ8pi40XTulVrc63tZZXV1pCtvrdT" + "5oOm5r0PaD8EIFB/AggW9WeMBQhkhQCChaeeQLDwBBozEMgRAZ9BN3OEhaZCAAIQgAAEYgkgWMTi" + "4UMINBUBBAtP3Ylg4Qk0ZiCQIwKN9rDIESqaCgEIQAACECgSQLAoomAHAk1PAMHCUxcjWHgCjRkI" + "5IhAo2JY5AgRTYUABCAAAQi0IIBg0QIJJyDQtAQQLDx1LYKFJ9CYgUCOCCBY5KizaCoEIAABCGSG" + "AIJFZrqChkCg7gQQLOqOeJkBBAtPoDEDgRwRYEpIjjqLpkIAAhCAQGYIIFhkpitoCATqTgDBou6I" + "lxlAsPAEGjOpEpg/f758M/+bYp3dV+wurVu3Lh4n3Zk5c6ZZgWJJIXvXbl2lbROsxpD02uPyEXQz" + "jg6fQQACEIAABNwEECzcXDgLgWYkgGDhqVcRLDyBxkyqBO6/7z75zZVXFeu8/8EHZNfddiseJ9mZ" + "MX26bPe9bYtZf3P1b+XkU04pHi/PO32fHSAjPxqzPCPg2iEAAQhAAAIVE0CwqBgZBSCQWwIIFp66" + "DsHCE2jMpEpg2rRpsuN22xfrPObYY+Xa6/5QPE6y8/BDD8kVv/xVMeug11+X1ddYvXi8PO882ruf" + "jJ8waXlGwLVDAAIQgAAEKiaAYFExMgpAILcEECw8dR2ChSfQmEmdwIXnny99n+lbrPf9D0dKx44d" + "i8fldo740Y9kxPARhWz77vd9+fudd5Yrstx83uep/jJq7Pjl5nq5UAhAAAIQgEAaBBAs0qBIHRDI" + "BwEEC0/9hGDhCTRmUicwcMAAOe3UnxTrvfW22+SgQw4uHsftjBk9Wn6w3/7FLCpWqGhBWkbg1UFD" + "5c0hy8QcmEAAAhCAAAQgkIwAgkUyTuSCQDMQQLDw1IsIFp5AYyZ1AosXLZZdd9pJpprpIZr2239/" + "+evf/5bIzo033CC33XJrIe/KPXvKoDffIOBmiBxBN0Mw2IUABCAAAQgkJIBgkRAU2SDQBAQQLDx1" + "IoKFJ9CYqQuBm2/6s/z5ppuKdQ995x3psVKP4rFrxxY6zr/wArn0sstcWZfbcwTdXG67nguHAAQg" + "AIEaCCBY1ACPohDIGQEEC08dhmDhCTRm6kJg/GefyT577V2s+39+/3v58Qk/Lh67dga9NkhOOemk" + "4kcvvvyyrL/B+sVjdkQIusm3AAIQgAAEIFA5AQSLyplRAgJ5JYBg4annECw8gcZM3QicfMKJMnjw" + "4EL9222/vTz6+GOxti679FJ58l9PFPLsvPPO8uAjD8fmXx4/JOjm8tjrXDMEIAABCNRKAMGiVoKU" + "h0B+CCBYeOorBAtPoDFTNwL/fvppufjCi4r1vzzgFVl3vfWKx+Gd2bNnyzZbblU89edbbpZDDzus" + "eMzOMgIE3eSbAAEIQAACEKicAIJF5cwoAYG8EkCw8NRzCBaeQGOmbgTmz58vO2+/g8yZO7dg4/Kf" + "Xy68/4AgAABAAElEQVTnnHee017vxx+Xn//X5YXPunTuLG8MHVLRUqjOSpvwJEE3m7BTuSQIQAAC" + "EKg7AQSLuiPGAAQyQwDBwlNXIFh4Ao2ZuhK4+re/lX/cc2/BxgYbbCD9X37Jae/4Y4+VIW8NKXx2" + "2umnyxVX/tqZb3k/+fQzL8nHoz5d3jFw/RCAAAQgAIGKCCBYVISLzBDINQEEC0/dh2DhCTRm6kpg" + "5AcfyGGHHFq00efpp2SLLbcsHuuOHaDz3/36yqabblqSJ+5AVxeZNWtW2VVI4urQz/Rvbvr06dK9" + "e3dp06ZNuewN+fzhR5+RiV9MbohtjEIAAhCAAATySuCSC041y6Rn87c9r0xpNwSySgDBwlPPIFh4" + "Ao2ZuhM49MCD5MOPPirYOeOss+SXv/plic3bbrlVbrzhhsK5rbbeSp7o06fkc9dB/xdekIGvDJD3" + "3n9PRgwfUciiU0m23W472WzzzWWPPfeQnXfZxVW05JwKKk8+2Uc+NNsRw4cXp69okNDNt9hctt12" + "Wzn44EOkTUYecvCwKOk+DiAAAQhAAAKJCOBhkQgTmSDQFAQQLDx1I4KFJ9CYqTuBhx58UH79qysK" + "dlbu2VMGv/lmUQBYsmSJ7LHbbvLlpC8Ln//+2mvluOOPi2zTvLnzRKeZPPboo5F5gg8OOugguf7G" + "G5yxMPTvS6eqXHP11UH2yG2vjTaSv/3977Le+u6AoZEF6/ABMSzqAJUqIQABCECg6QkgWDR9F3OB" + "ECgSQLAooqjvDoJFfflSuz8CM2fOlG233qZo8L4HHpDddt+tcDx0yFA57phjip8Ne2+EdO3atXgc" + "3tG/ieOOOVbeHjq0eFqXP914002kx4o9ZMpXX8mbb70lo0eNKn5+wAEHyO1//UvxONj50403yq03" + "3xIcisbX2HXXXWWVVVcVbe/HxiMkWJJVM62+xuryTL9+suKKKxbLNGIHwaIR1LEJAQhAAAJ5J4Bg" + "kfcepP0QSE4AwSI5q5pyIljUhI/CGSNw2aWXypP/eqLQqqOOPlqu++P1hf0rfvlLefihhwv7xxx3" + "nFz7h2sjW/7aq6/KqSefUvhcPTXuue8fhekf4QLqsfF0n6fk0p/9rHj64X8+IjvutFPxeIaJU7Hd" + "97YtHt9085/lkEMPldatWxfP6c57I96TM087TaZOm1Y4/zNzDRdcdGFJHt8HTAnxTRx7EIAABCDQ" + "DAQQLJqhF7kGCCQjgGCRjFPNuRAsakZIBRki8Mbrr8uJPz6h2KL3PxwprVq1ks03+U9wzcd6P16I" + "QVHMZO1cf9318pfbby+cvc1sDzz4ICvHfw5v+fPNctOf/lQ4ccqpp8hVZhpJkAYOGCCnnfoT52dB" + "nmD75htvyAnH/7hwGLfKSZC/3luCbtabMPVDAAIQgEAzEiDoZjP2KtcEATcBBAs3l9TPIlikjpQK" + "G0jAjlXx9zvvlFatW8mZp59RaJWKAS+89GJBxIhq5n//4r/lsX/+s/DxU/9+2gTF3CIqq3w67lP5" + "/j77FD7XaSMPPrLMi0NP9HniyaIHxq+vvFJ+cvppkfXo3+HO2+9Q9LL48JOPRW+CjUp4WDSKPHYh" + "AAEIQCDPBPCwyHPv0XYIVEYAwaIyXlXnRrCoGh0FM0pAvSPUS0LTkUcdVRAnej/+eOG4nHCgmR5/" + "7DH5xeU/L+TXaSX/a6aPxC0/OuqTTwpLlHYzy5R26NChUE7/FxYzNKDm4//qHRk3Q/NPGD9eWptl" + "TjV+RWezEkkjEzEsGkkf2xCAAAQgkFcCCBZ57TnaDYHKCSBYVM6sqhIIFlVho1CGCUyaNEl232VX" + "Zwvfenuo9DRxKeLSF198IXvsuixYp+ZTseHMs86UXUywzLXXXjuuaIvP9tlzLxlvhAhNuhzqueef" + "J3sZj4yNN964RSyLFoUbeALBooHwMQ0BCEAAArklgGCR266j4RComACCRcXIqiuAYFEdN0plm4BO" + "AXn5pZdKGnnIoYfIzbfeWnIu6kADdGqgTjutu+66sudee5ngmjsWBIyVVlrJzlJyPOzdd+XUk06W" + "OXPnlpxX8WLf/fYrBOncZZddZP0N1i/5vNEHTAlpdA9gHwIQgAAE8kgAwSKPvUabIVAdAQSL6rhV" + "XArBomJkFMgBgeefe07O/ek5JS0NL3Na8kHEgQoel1x4UQuxIZxdlyg974LzC+JF+Hx4X6eGnHn6" + "6TJu3Ljw6ZJ9ja1x1k9/KkebKSht2rYp+awRBwTdbAR1bEIAAhCAQN4JEHQz7z1I+yGQnACCRXJW" + "NeVEsKgJH4UzSmDBggWyg1lSNPBsWH2N1WXga6/FxqJwXcr8efPklZdfkQEDB8rL/fsXg2LaeXWp" + "1N/97neRYsPixYtFVwJ51SyZ+sJzz0eKF1ttvZXcdc89Us5zw7af9jEeFmkTpT4IQAACEFgeCOBh" + "sTz0MtcIgWUEECw8fRMQLDyBxkzuCejfyuhRo+Stt96SV155RV7q/2LJNf303HPk57/4Rcm5qIPJ" + "X35ZqOfVga/Kc/36FYUVza+iRe8nnmhojAtiWET1HOchAAEIQAAC0QQQLKLZ8AkEmo0AgoWnHkWw" + "8AQaM01HYOLEiXLF//ulDDTeF0Ea+s470mOlHsFhoq16cdxww41yz113FfPffe89stfeexePfe+8" + "OmiovDlkhG+z2IMABCAAAQjkmgCCRa67j8ZDoCICCBYV4ao+M4JF9ewo2XwEhg8fLg/e/4BMnTpV" + "jjnmGDnokINjL1L/fg4/7Ify/vvvF/Ld/+ADsutuu8mM6dPlxj/eIJO/+qqwysjlP788th798A/X" + "Xit/+8tfC/kuvuRiueiSS8qWqVeGPk/1l1Fjl61uUi8b1AsBCEAAAhBoNgIIFs3Wo1wPBKIJIFhE" + "s0n1EwSLVHFSWc4JDB40SE4+8aTCVWhAzfsferDsFf3pxhvl1ptvKeS79bbbCiLH/PnzZYtNNyuW" + "ff/DkdKxY8fisWtn0GuD5JSTltk+6eST5bfXXO3K5uXco737yfgJk7zYwggEIAABCECgWQggWDRL" + "T3IdEChPAMGiPKNUciBYpIKRSpqEwFeTJ8suO+1cvJoXXnpRNtxww+Kxa+fkE06UwYMHFz7615NP" + "yNbbbFPY32+ffYvBNf9w/XVytPHYiEt3GLHjj9f/sZDl/5klVc88+6y47HX9rO+zA2TkR2PqaoPK" + "IQABCEAAAs1GAMGi2XqU64FANAEEi2g2qX6CYJEqTiprAgIXnn++9H2mb+FKunTuLDffdqsznsTi" + "RYvldiMy3PSnPxXyrtyzp7z2xuvSrl27wvGDDzwgV17x6yIRnRZy9jnnOINp6jKsl196WTH45nMv" + "PF+YSlIs7HmHoJuegWMOAhCAAASaggCCRVN0IxcBgUQEECwSYao9E4JF7QypobkIjB07Vo4wcSmC" + "JVH16nbeeWfZaputZZNNNpElS5atFvKaWaI0iF2hea774/Vy1NFH624h6bSQE44/XkYM/0/wyl4b" + "bSQ77bijbLbFFtK9WzcZM2aMDBs2TF5+6aWgmBx51FFy/Q3LPC2KJz3vEHTTM3DMQQACEIBAUxBA" + "sGiKbuQiIJCIAIJFIky1Z0KwqJ0hNTQfgWHvvis/PfMsmTptWqKLu+322+XAgw9qkXeaKX/m6aeX" + "iBYtMoVOnH/hBXLxxZdIm7ZtQmf97xJ00z9zLEIAAhCAQP4JIFjkvw+5AggkJYBgkZRUjfkQLGoE" + "SPGmJTB79my5+8475emnni7GorAv9qCDDpJLL/+v2DgXixcvlt6PPy6PP/a4vD10qF1F4Xi77beX" + "8y843zn1xFmgzicJullnwFQPAQhAAAJNSQDBoim7lYuCgJMAgoUTS/onESzSZ0qNzUdAg3FOmTJF" + "ZsyYWbi4niv3lHXXWVc6de5U0cXOnDlTJk2aJLPM9ptvvpEePVaSNddaU3qa+BdZSgTdzFJv0BYI" + "QAACEMgLAQSLvPQU7YRA7QQQLGpnmKgGBItEmMgEgeWKAEE3l6vu5mIhAAEIQCAlAggWKYGkGgjk" + "gACChadOQrDwBBozEMgRgf4vDpJh732coxbTVAhAAAIQgEDjCSBYNL4PaAEEfBFAsPBEGsHCE2jM" + "QCBHBHo/+byM+/TzHLWYpkIAAhCAAAQaTwDBovF9QAsg4IsAgoUn0ggWnkBjBgI5IoBgkaPOoqkQ" + "gAAEIJAZAggWmekKGgKBuhNAsKg74mUGECw8gcYMBHJEgCkhOeosmgoBCEAAApkhgGCRma6gIRCo" + "OwEEi7ojXmYAwcITaMxAIEcEshJ0c+7sWTJ33hxpZdh16tRFOnftliOKNNVF4Ntvv5H5c+fIvHlz" + "TZ92lo6du8gKK3RwZeUcBFIhMN981/Q7t2DhAulsvm+dunSTNm3apFI3lTSGwBKzXPjcOeb3wfRr" + "+3btC/eRjuZ+koWEYJGFXqANEPBDAMHCD2dBsPAEGjMQyBGBRnpYfDX5C/lg+FD57NPR0qZ1G+nQ" + "oaMsNf99M3+eLF6yRNZd7zuy2ZbbyhprrZsjojT13SGvyafjRsvXU78yywF3kXnmRaNDx06Ffu3R" + "c2XTr71k+533BBQEUiHw7Tfz5e23XjX3kTGi+/pda2dEivnz58sc86K7znobSq/vbi4bb7Z1Kvao" + "xA+B0R+/L6PMvwmmXzt37S4dVlhBFixaVLiP6G/FuqZft9l+V+lsRKlGJQSLRpHHLgT8E0Cw8MQc" + "wcITaMxAIEcEGhXD4u03X5VhQwfLqquvKe3at5eJ4z8tjIoG6Nq2bVsQMBYsWFAQLXbe/fvSqpX6" + "X5CySuCrLyfKoIEvmH7rYP51kmlTJsuUKV+WNLdLl67S1oyStmrVWnbfa39Z24hSJAhUS2DUR+/J" + "6wP7y/rf2Ui+/fZb+fKLzwsiRbi+7j16yhLzottzldVktz33l24rrhT+mP2MEVDBerDp02nm3rH6" + "mmvL9K+nmn6dUBCxg6a2N55aXY0X3qwZ02WXPfeTzbfaPvjI6xbBwitujEGgoQQQLDzhR7DwBBoz" + "EMgRAd+Cxczp0+TF5/tI2zZGkDAjoWNGfViWVrfuK8qSxUtk3x8cJmutu2HZ/GTwT2DoGwNl+Nuv" + "y7obbCQzvp4iU413RVxSd/1F5iVyw16byN77HxaXlc8g4CTw0nN9RL20Vl5ldZkwfmxh5N2Z8f9O" + "duu2osyePVN23WM/2WrbneOy8lmDCIwdNVIGvvysrLb6Wuaev0jGfzY2tiXt2rUz08w6Spdu3eWg" + "Hx5bEEpjC6T8IYJFykCpDgIZJoBg4alzECw8gcYMBHJEwPeUkL59HhZ9cRg7+qPCnOSkqFq1bl3w" + "uDj59IukjfG+IGWHwAQzpWfgS33NCPbqMm7MxxU1rH37FWSb7XaR7Xbao6JyZF6+CaiH1leTJxam" + "G301eVJFMHT62WFHncRUs4qo1T+zelY8cv9fZM211pPPxn1SEDSTWtXYFquvuZYccsRJSYukkg/B" + "IhWMVAKBXBBAsPDUTQgWnkBjBgI5IuAz6Ob7w4fIxyOHF+hU+pKhhfShdLU11pJDj/T7UJqj7mxI" + "Ux+57w7pufJqMvqTD6qyr1N9jjr+dFlltTWrKk+h5YuATj16uvcDxttqg4oFsoCUTk06+cxLgkO2" + "GSDw0nNPmWk98+WLz830QDMVsNKk4ufW2+5i4uP4Ez8RLCrtJfJDIL8EECw89R2ChSfQmIFAjgj0" + "fXaAjPxoTN1bPHvmdNEX2w16bVoIpFatQf3B2HGXvWXL7+1UbRWUS5HA4IHPF+aYzzDzzGfNmll1" + "zd2695ATT7ug6vIUXH4IPPHoPwrxCzQgY7VJ7yNrG8HjgEOPrbYKyqVIQANsDjVeMxqXotw0kDiz" + "GhvnhFPOkW4mbomPhGDhgzI2IJANAggWnvoBwcITaMxAIEcEHu3dT8ZPqMyluprLG/b2YBNE7SsZ" + "Y0bhdQWQWpJOKTnx9AtrqYKyKRG4647rC9H6R38ysqYa1cvigEOONoLWJjXVQ+HmJjB50ucy8MW+" + "ZgT+WyOQzajpYvU799OLfkUw35ooplO431OPSBez2sf7I96uucKNN91K9j3gRzXXk6QCBIsklMgD" + "geYggGDhqR8RLDyBxgwEckSgz1P9ZdTY8XVv8dO97y+sBjJuzCc129IXjVOMO7cumUlqHIGJ48fJ" + "4FdfMIEMZxWWk6y1JeuYEW+m+9RKsbnLa3BXjV3xmVk2t9ak95Gdd9u3sDRmrXVRvnoCSxYvljtv" + "u1ZWXWNtmTSx9t+ijp06y0/OvrT6BlVQEsGiAlhkhUDOCSBYeOpABAtPoDEDgRwReHXQUHlzyIi6" + "t/iBu26WLsbdd5JZni6NtN+Bh8tGm2yZRlXUUSWBjz4YZoKnfpjKy6M2oXOnLnLK2T+rsjUUWx4I" + "6MogixYtTLS6UBIe62/4XbO6xHFJspKnTgR0uuBT/3pQ5poVXGr1vguaeO4lvw5267pFsKgrXiqH" + "QKYIIFh46g4EC0+gMQOBHBHwFXTzb7f8Xjp27Cxz5sxKhc7Ou+4j39tx91TqopLqCLzz1muFAHkT" + "jKdFGqn9CivIGef+PI2qqKNJCfz7iQeMYLE4lZF4RbT6muvIEcf+pElp5eOyvjQi9sCX+sm0qZNT" + "a/BpP72ssGx2ahVGVIRgEQGG0xBoQgIIFp46FcHCE2jMQCBHBHwF3bz7L3+Utm3amqVMZ6dCZ9sd" + "dpeddtsnlbqopDoC7737pnw69hP5fMKn1VVglWprlqs964L/Z53lEAL/IfDcvx+V+fPnpyZYrGKW" + "4j36xLP+Y4A97wS+njJZnuvbW2ZMn5aa7ZNNjKMuJtZRvROCRb0JUz8EskMAwcJTXyBYeAKNGQjk" + "iICvoJu6QshCs1RdWh4WBxxylGy40WY5It18TdUAqsPeft3EFEgnaGvXrt3lpDMuaj5QXFFqBF59" + "qa9MmfKlTJ40MZU6e313M9n/4KNSqYtKqiMwf97cwgpS33wzv7oKrFIam+Sci6+wztbnEMGiPlyp" + "FQJZJIBg4alXECw8gcYMBHJEwFfQzQH9ny682E41o2lpJF8jaGm0tVnrmGNWaXjsoTslrReN1dZY" + "S4487vRmxcV1pUDgkw9HyIh33iyIFilUJzuZqWXbMrUsDZQ11fHwP26XeUa4WPDtNzXVo4Xbt2sv" + "Z5z/i5rrSVIBgkUSSuSBQHMQQLDw1I8IFp5AYwYCOSLgK+jm2FEj5c3Br6Ti9tutew858bQLckS5" + "eZv66AN/NZ4zusTkzJovct/9D5ONN9+m5nqooHkJ6Gj8g3ffIgtN4M1aU5vWbczyyBdIZ7OcJqmx" + "BAa98qyJh/OZTJ36Vc0N+Y7xvPuB8cDzkRAsfFDGBgSyQQDBwlM/IFh4Ao0ZCOSIgK+gm4rkycfu" + "KwRWq3UUbbc995ettt05R5Sbt6njRn8kA4ybvr5I1pI6dOwkp5xxsbQxcSxIEIgj8Oagl2TUh+/J" + "7BoD+K69zvpy2FEnx5niM08E5s6ZLQ/fe5ssWbK4ppVCdDrIj085R7r3WNlLyxEsvGDGCAQyQQDB" + "wlM3IFh4Ao0ZCOSIgK+gm4pkiol18OSj98iixYurJrTuehvKIUecWHV5CqZP4IW+/5KvvpxovCxm" + "VFW5Bts85PAfy5prr19VeQotfwQevPtmWbhwkQnAWZ1Q1s0EZDzcrA7SuUvX5Q9eRq/4gxFD5Z23" + "BtUU52j7nfeUHXbey9sVIlh4Q40hCDScAIKFpy5AsPAEGjMQyBEBX0E3AyRvDX5ZRpjVJRYurNyl" + "u2OnLnLksadKtxVXCqpjmwEC6l3x0D23mtHRJUaMWlRxi7bcZgfZfe8DKy5HgeWXwPhxo6T/c33k" + "2yoCNbZp3dpMGTha1v/OxssvwIxe+ROP3iuzZ0yXufPmVNzCRixRi2BRcTdRAAK5JYBg4anrECw8" + "gcYMBHJEwFfQzTCS1wc+L+8NG1KR62/79ivIwYcfL2usuW64KvYzQmDyl59L/75PyOzZM0V/a5Km" + "9TboJQcedpy0Ni+RJAhUQuDjkcNl4IvPVOSx1apVazMCv6dst9MelZgirycC33wzT17s94RMNPEs" + "FlfgidfdiNiHH3OqdOrcxVNLl5lBsPCKG2MQaCgBBAtP+BEsPIHGDARyRMBX0E0byWdjR8mA/v82" + "nhbfyoIYbwt9wej13U1l1z1/4P1h1G4zx+UJ6PSQz8Z+UjYoYrdu3U2f7i8b9Nq0fKXkgEAEgbmz" + "Z8mzT/9TZphR+QUm+GtcWm31NWWPfQ+WVVZdIy4bn2WAwNA3X5Whbww0LVkaK4CqkL3Vtjt5nQYS" + "xoNgEabBPgSamwCChaf+RbDwBBozEMgRAZ9BN20sek8a+sYA0ZHSOSboWnhkXt22e66yWuFBdN0N" + "NrKLcpxhAhPHj5W3hwySyZM+l0WLSqeIdOzUWdZbv5fsutcPZIUVOmT4Kmhangh89MG7ZqrZWzLj" + "66klnlsahLGriVex6eZbyzbb74YnT446ddb0aTL0rdfk03GfmKk/pcud6tKlq6+1juxu7iO+Amy6" + "0CFYuKhwDgLNSQDBwlO/Ilh4Ao0ZCOSIwNPPvCQfj/o0Ey3Wl9t5JvK/LjPIahGZ6JJUGjF75nTT" + "n+3wkEmFJpUkITBrxjRZoWNnRLEksHKSR2PlLDbL2XYxAlRWEoJFVnqCdkCg/gQQLOrPuGABwcIT" + "aMxAIEcEHn70GZn4xeQctZimQgACEIAABBpP4JILTpW2bds0viG0AAIQqDsBBIu6I15mAMHCE2jM" + "QCBHBLLkYZEjbDQVAhCAAASWcwJ4WCznXwAuf7kigGDhqbsRLDyBxgwEckSgkTEscoSJpkIAAhCA" + "AARKCCBYlODgAAJNTQDBwlP3Ilh4Ao0ZCOSIAIJFjjqLpkIAAhCAQGYIIFhkpitoCATqTgDBou6I" + "lxlAsPAEGjMQyBEBpoTkqLNoKgQgAAEIZIYAgkVmuoKGQKDuBBAs6o54mQEEC0+gMQOBHBEg6GaO" + "OoumQgACEIBAZggQdDMzXUFDIFB3AggWdUe8zACChSfQmIFAjgjgYZGjzqKpEIAABCCQGQJ4WGSm" + "K2gIBOpOAMGi7oiXGUCw8AQaMxDIEQFiWOSos2gqBCAAAQhkhgCCRWa6goZAoO4EECzqjniZAQQL" + "T6AxA4EcEXh10FB5c8iIHLWYpkIAAhCAAAQaTwDBovF9QAsg4IsAgoUn0ggWnkBjBgI5ItDnqf4y" + "auz4HLWYpkIAAhCAAAQaTwDBovF9QAsg4IsAgoUn0ggWnkBjBgI5IvBo734yfsKkHLWYpkIAAhCA" + "AAQaTwDBovF9QAsg4IsAgoUn0ggWnkBjBgI5ItD32QEy8qMxOWoxTYUABCAAAQg0ngCCReP7gBZA" + "wBcBBAtPpBEsPIHGDAT+P3vnAW9Fcf3xI0U6iGBHBQVRERsWLIii2LHG3jUaS+wmMbbEFGOPscQe" + "NRo1KCo2QFEjiA1sgIhSBRERlA4KPPjPue+/l337ZveWt3fe3b3f4fPYPmfme3b37v525kyCCBB0" + "M0HOoqgQgAAEIFA2BBAsysYVFAQCJSeAYFFyxNUGECwcgcYMBBJEgKCbCXIWRYUABCAAgbIhgGBR" + "Nq6gIBAoOQEEi5IjrjaAYOEINGYgkCACBN1MkLMoKgQgAAEIlA0BBIuycQUFgUDJCSBYlBxxtQEE" + "C0egMQOBBBEg6GaCnEVRIQABCECgbAggWJSNKygIBEpOAMGi5IirDSBYOAKNGQgkiABBNxPkLIoK" + "AQhAAAJlQwDBomxcQUEgUHICCBYlR1xtAMHCEWjMQCBBBAi6mSBnUVQIQAACECgbAggWZeMKCgKB" + "khNAsCg54moDCBaOQGMGAgkiMPSNEfLpmC8TVGKKCgEIQAACEKh/AggW9e8DSgABVwQQLByRRrBw" + "BBozEEgQgQEvvCZTpn6ToBJTVAhAAAIQgED9E0CwqH8fUAIIuCKAYOGINIKFI9CYgUCCCCBYJMhZ" + "FBUCEIAABMqGAIJF2biCgkCg5AQQLEqOuNoAgoUj0JiBQIII0CUkQc6iqBCAAAQgUDYEECzKxhUU" + "BAIlJ4BgUXLE1QYQLByBxgwEEkSAoJsJchZFhQAEIACBsiGAYFE2rqAgECg5AQSLkiOuNoBg4Qg0" + "ZiCQIAK0sEiQsygqBCAAAQiUDQEEi7JxBQWBQMkJIFiUHHG1AQQLR6AxA4EEESCGRYKcRVEhAAEI" + "QKBsCCBYlI0rKAgESk4AwaLkiKsNIFg4Ao0ZCCSIAIJFgpxFUSEAAQhAoGwIIFiUjSsoCARKTgDB" + "ouSIqw0gWDgCjRkIJIgAXUIS5CyKCgEIQAACZUMAwaJsXEFBIFByAggWJUdcbQDBwhFozEAgQQQI" + "upkgZ1FUCEAAAhAoGwIIFmXjCgoCgZITQLAoOeJqAwgWjkBjBgIJIkALiwQ5i6JCAAIQgEDZEECw" + "KBtXUBAIlJwAgkXJEVcbQLBwBBozEEgQAWJYJMhZFBUCEIAABMqGAIJF2biCgkCg5AQQLEqOuNoA" + "goUj0JiBQIIIIFgkyFkUFQIQgAAEyoYAgkXZuIKCQKDkBBAsSo642gCChSPQmIFAggjQJSRBzqKo" + "EIAABCBQNgQQLMrGFRQEAiUngGBRcsTVBhAsHIHGDAQSRICgmwlyFkWFAAQgAIGyIYBgUTauoCAQ" + "KDkBBIuSI642gGDhCDRmIJAgAoOHDJOxX0xMUIkpKgQgAAEIQKD+CSBY1L8PKAEEXBFAsHBEGsHC" + "EWjMQCBBBJ55brB8Pe3bBJWYokIAAhCAAATqnwCCRf37gBJAwBUBBAtHpBEsHIHGDAQSRGDwa6aF" + "xThaWCTIZRQVAhCAAATqmcAaa6whl198Rj2XAvMQgIArAggWjkgjWDgCjRkIJIjA7Dk/ymNPvJCg" + "ElNUCEAAAhCAQP0S2GjD9eSEYw+p30JgHQIQcEYAwcIRagQLR6AxA4GEERg5aoy8895HUlW1MmEl" + "p7gQgAAEIAABtwTWW6+9nHLCYW6NYg0CEKhXAggWjvAjWDgCjRkIJJTAnB9+lCWLlya09BQbAhCA" + "AAQgUFoCm2yyUWkNkDsEIFCWBBAsHLkFwcIRaMxAAAIQgAAEIAABCEAAAhCAQCoIIFg4ciOChSPQ" + "mIEABCAAAQhAAAIQgAAEIACBVBBAsHDkRgQLR6AxAwEIQAACEIAABCAAAQhAAAKpIIBg4ciNCBaO" + "QGMGAhCAAAQgAAEIQAACEIAABFJBAMHCkRsRLByBxgwEIAABCEAAAhCAAAQgAAEIpIIAgoUjNyJY" + "OAKNGQgkkMC06d/Kd7N+kGXLliWw9BQZAuVPoGmTJrLeeu1k4w4blKSwVStXypdfTpEf584rSf5k" + "CgEIiLRv11a27LoZKCAAgQojgGDhyOEIFo5AYwYCCSPw5lvvyceffZGwUlNcCCSTwK47byu99tgp" + "1sL/+ON8eX7gazJ3/sJY8yUzCECgNgEVLX5x5AHSsmXz2htZAwEIpJIAgoUjtyJYOAKNGQgkiIC2" + "rOg/YHCCSkxRIZB8AqeceJist2772CryzHOD5etp38aWHxlBAALRBLp23lT6Hbpv9E5shQAEUkMA" + "wcKRKxEsHIHGDAQSRODDUWNk2DsjE1RiigqB5BPYf989ZNvuXWOryD/uflSWr1gZW35kBAEIRBNo" + "2aKZnHv2CdE7sRUCEEgNAQQLR65EsHAEGjMQSBCBd979SN7/8LMElZiiQiD5BHr32kV27rFNLBVZ" + "aWJX3H7no7HkRSYQgEB+BNZs3EguuuDU/HZmLwhAIPEEECwcuRDBwhFozEAgQQSGjxglH4wcnaAS" + "U1QIJJ8AgkXyfUgNKptAgwYN5LKLTq9sCNQeAhVEAMHCkbMRLByBxgwEEkRg4ItDZcLkaQkqMUWF" + "QPIJdO/WRQ7o2yuWitDCIhaMZAKBggisscYacvnFZxR0DDtDAALJJYBg4ch3CBaOQGMGAgki0H/A" + "IJk2fWaCSkxRIZB8Al07dzQB+/rEUhEEi1gwkgkECiKAYFEQLnaGQOIJIFg4ciGChSPQmIFAggi8" + "OvhtGTd+UoJKTFEhkHwCPXbYWvbp3TOWiiBYxIKRTCBQEAG6hBSEi50hkHgCCBaOXIhg4Qg0ZiCQ" + "IAIE3UyQsyhqaggQwyI1rqQiFUqAoJsV6niqXbEEECwcuR7BwhFozEAgQQSGvjFCPh3zZYJKTFEh" + "kHwCPXfZTvbcvUcsFaGFRSwYyQQCBRGghUVBuNgZAokngGDhyIUIFo5AYwYCCSIw4IXXZMrUbxJU" + "YooKgeQT2HrLzeTgA/eOpSIIFrFgJBMIFESAGBYF4WJnCCSeAIKFIxciWDgCjRkIJIgAgkWCnEVR" + "U0MAwSI1rqQiFUoAwaJCHU+1K5YAgoUj1yNYOAKNGQgkiABdQhLkLIqaGgJ0CUmNK6lIhRKgS0iF" + "Op5qVywBBAtHrkewcAQaMxBIEAGCbibIWRQ1NQQIupkaV1KRCiVA0M0KdTzVrlgCCBaOXI9g4Qg0" + "ZiCQIAK0sEiQsyhqagjQwiI1rqQiFUqAFhYV6niqXbEEECwcuR7BwhFozEAgQQSIYZEgZ1HU1BAg" + "hkVqXElFKpQAMSwq1PFUu2IJIFg4cj2ChSPQmIFAggggWCTIWRQ1NQQQLFLjSipSoQQQLCrU8VS7" + "YgkgWDhyPYKFI9CYgUCCCNAlJEHOoqipIUCXkNS4kopUKAG6hFSo46l2xRJAsHDkegQLR6AxA4EE" + "ESDoZoKcRVFTQ4Cgm6lxJRWpUAKNGzWUi399WoXWnmpDoPIIIFg48jmChSPQmIFAggi8OvhtGTd+" + "UoJKTFEhkHwCPXbYWvbp3TOWiqxcuVJuv/PRWPIiEwhAID8CtLDIjxN7QSAtBBAsHHkSwcIRaMxA" + "IEEE+g8YJNOmz0xQiSkqBJJPoGvnjtLv0D6xVATBIhaMZAKBgglcccmZBR/DARCAQDIJIFg48huC" + "hSPQmIFAgggMfHGoTJg8LUElpqgQSD6B7t26yAF9e8VSEQSLWDCSCQQKIkDQzYJwsTMEEk8AwcKR" + "CxEsHIHGDAQSRGD4iFHywcjRCSoxRYVA8gkQwyL5PqQGlU2gYcMGcumFp1c2BGoPgQoigGDhyNkI" + "Fo5AYwYCCSJA0M0EOYuipoYAgkVqXElFKpQAQTcr1PFUu2IJIFg4cj2ChSPQmIFAgggQdDNBzqKo" + "qSFA0M3UuJKKVCgBgm5WqOOpdsUSQLBw5HoEC0egMQOBBBGo76CbC+b+IF9/PVF+mPO9LFm8KEOu" + "eYuWsna7dWWTTTeXtdZunyCaxRX1p6VLZNrUiTJr5jeyZMkiWb5smTRv0UpatV5LNt50M1l/w42L" + "yzhBR+nv03TDYPq0yeY8WCxLlyyWZs1bGA4tpMPGnWTjjptLgwYNE1Sj6KISdDOcD9eDSKVdD2Fn" + "g94Tp389WRbMn2vuCwul8ZprSvPmLWW9DTYy98bOmXtE2LEu1hN00wVlbECgPAggWDjyA4KFI9CY" + "gUCCCNRX0M2ffloq7w17Xb6ZNsW8kHcwD+giy5f/LCurqqTKDNNYVbVCFi9cIBtstInstue+0tK8" + "vKcxffTBcPlk5AjZcONNpUmTZvLzz0szDFYYDitXrjIv7ovM+iayW6/9ZCPz4p7GNPHLsfKuORda" + "r9VOWrduI8uW/SSLF5mXk0ZrykpT4Z9+WpJZ3r3XvrLVNjumAgFBN+1u5HoQqcTrIXg2fP/dDBkx" + "bKisWPazrN1+HfN7UCXLfv4pc080co6Z/1nmz/tRttl+Z9l1j3hG2wmWIdcyQTdzEWI7BNJFAMHC" + "kT8RLByBxgwEEkSgPoJuThg/xjyMvi7rm69kVVUrM60LwpC1btM2I1zsttd+0n37XcJ2S9z6Wd99" + "Y17Sh0pD02pAWxJM/Orz0Dq0bNnKvMQvky5bdpM9eh8oDRumo6WBfklXoeL7Wd/KOutuIDOmT5HF" + "/9/KJghjzTWbiP61WWtt2avPQYlveUMMi5oe5nowwlwFXw/+s0FFq09HvSubbraFzJs7R2Z//51/" + "c415/X1QtbvXPgfKJp261NhW6gWCbpaaMPlDoLwIIFg48geChSPQmIFAggi4Dro59tMPZezoj6Rd" + "+3UzTX1/Nl/NciV9qdeuAdo1Yr+Djsq1e9lv15ez555+RDbfYmv50XSFmfvjnLzK3Nq0MmnYqJEc" + "f+p5ee1fzjvp79HT/75X1mq7tqxYvly+mT41r+K2Mi0wFpnWF8efdI6s1W6dvI4px50QLFZ7heuh" + "ugtIJV8P3tnw5pCBsmDBfGnatKlMmfSltzpy2qxZC1m5aqXs3LOXEbV3jdw3zo0E3YyTJnlBoPwJ" + "IFg48hGChSPQmIFAggi89Mqb8uWEqU5KvMA04dWH8k036yqTJ35RsM3GjRubFgYHmG4BOxR8bDkd" + "8Hz/R6WFaTUx6atxBRdLWxl0NF8e9z3wiIKPLacD3n17SEaomT17ViZeRSFl06bYa7Vtb4Sbcws5" + "rKz23X7bLWW/PrvHUqaVpgvV7Xc+Gkte9ZEJ14NIpV8Pet5py7uPPnxHmhoBYuaMrws+FRs1bCQn" + "nn6BtGjVuuBjizmALiHFUOMYCCSXAIKFI98hWDgCjRkIJIjAU/1fkRnfznJS4kEvPm26MzQyYsX4" + "TFC5YozqC/vJZ14oTZo2K+bwej9GmzvPMK0JNLhmvi0rgoVuZFpZ7HvAEbJZl62CmxKx/M3Xk+St" + "11+SduusL19PmVBUmdc0cT26dO1muoccUtTx9X3QZp02lqMO7xtLMVasqJI77n4slrxcZ8L1IML1" + "IPKziWn033/fZ2L5dJQJJqZNMamR6Sq3tml1dfSJZxdzeFHHEHSzKGwcBIFEEkCwcOQ2BAtHoDED" + "gQQRcNXCYooRKT4eNcKM9NBIvvt2WtGEGjdqLBt02EQOOeLEovOorwO1j/oTD9+Z6ZsdFbMin/K1" + "MCOpnHr2pfnsWnb7DHjqoUwMiq++GFPnsql4paOpJC3RwqI6ZgPXg0is18MZv5ZWGtchYendYa/J" + "wgXz5NsZ0zOxPIotvgraPXbZU7bfKZ7WS1HloIVFFB22QSB9BBAsHPkUwcIRaMxAIEEEXMWwePuN" + "l03T/yV590uOQqhdQ355wZVRu5Tltq++GC3jxn5aVHPnYIUaNmgg/Y4+2YyismlwU1kvLzIvJc88" + "9bC0atlaZs8OD6aXbyW2NaME7LH3gfnuXjb7EcNChOtBhOuh+pJ8SuPZrNVWpk4ursWV/8Jex7Tc" + "+sVJpW9lQQwLP3XmIZB+AggWjnyMYOEINGYgkCACrgSLp01z3+ZmNIwZ30yNhc5Rx50h623QIZa8" + "XGUy/M1XM6N9fGX6aseRunXfUfbaN1ldIiaZ0VDGj/vMjAwzKQ4E0sYE7TzxtAtiyctlJggWIlwP" + "YuLYcD0sXbJY/vvE/ZkRkxYtWlDny1DF3HMuurrO+eTKAMEiFyG2QyBdBBAsHPkTwcIRaMxAIEEE" + "XHUJ+dd9t5rI781kvgm8GUfSoS27bbtTHFk5y2PIy/1NX+2fYxNtNum4mekac5Kz8sdhaIwZJWaq" + "if6f76gguWw2a9ZcTv/V5bl2K7vtdAkR4XoQ4XoQM1LSLBnyygAzhOkPsV2n511ybWx5hWVEl5Aw" + "MqyHQDoJIFg48iuChSPQmIFAggi4Crr5wF03iA4/F8cXNMW7fY/dZLde+yWItMjLzz2RCTYa18t6" + "+/bryTEnn5MoBh+bUQBmfjvdtLCYGEu5dWSAsy/8fSx5ucyEoJtcD3q+cT2IiWk0Xd7532CZ/X3d" + "u4h51/BJp58vrddq5y2WbErQzZKhJWMIlB0BBAtHLkGwcAQaMxBIEAFXLSw0uN7KlVWyePGiWOj0" + "7nOwbL1tj1jycpXJm0MGyty5c+T7776NxeQmHTdPXPDR8Z9/IuPGfCyzYmLQzHQzOv2cy2Lh6TIT" + "WliIcD2IcD2ILJw/V1545jEjZi+M7RI8+9e/Fx1NqZSJFhalpEveECg/AggWjnyCYOEINGYgkCAC" + "rmJYvPjs42Yoz8VmKM/ZsdDpd+SJ0mHTzWPJy1UmI997W6ZPmyKzZk6PxWTXrbaVPgccHkterjKZ" + "MW2yDH/7NZn7QzznQWszQshJZqSQpCViWIhwPYhwPYhUVVXJg3f/reihroPXvgoJ5158TXB17MvE" + "sIgdKRlCoKwJIFg4cg+ChSPQmIFAggi4Eiw+GTlCJn41TubEMDKEDl132jmXmi9ojRNEurrp89BB" + "z8vChfNjKfcRx5yauFFCtOIP3XOjrGH+LVu+rM4cGCVETMullXL7nY/WmaXrDLQrANcD14Oed6+8" + "8FTmvhiHkLnuuuvL0ScySojr6xl7EEg7AQQLRx5GsHAEGjMQSBABV11C5v04R55+/L5YvqKtv+HG" + "cuSxpyeI8uqiPvvkgzLvxx9k+Yrlq1cWMaeizVnn/7aII+v/EB0d4msTw2LhgroLNyedfoHpq752" + "/VeqwBLQJaQaGNdD9WgplX49fGlGDtIWN3GIubvuvrfsuEuvAq/IwnenS0jhzDgCAkkmgGDhyHsI" + "Fo5AYwYCCSLgKuimItFWFhq/YMGCeUUT0pgFR/7iVGmzdvui86jPA2dMnyKDX3rGDG/6c9HFWGON" + "BrL/wUfKZl22LjqP+jxwuWlZ8cS/7pJVpmXAzz//VHRRtjExTHqZWCZJTATdrPYa14MI10P1ufDy" + "80/I/Llz6/T7sN76G8mRZshrFRNcJIJuuqCMDQiUBwEEC0d+QLBwBBozEEgQAVctLDwk/Z+4X35a" + "uqTI4JtryF59DkzccKZe3b3psDdekelfTy76wbxL121kv4OO9LJL5HTC+DHy3rChsnhJcUFY27Vb" + "N/Ni0njNNRNZf1pYrHYb14NIpV8PejYsMENeP/nYPzMnhj6vFpq01dlhvzhF1ll3g0IPLWp/WlgU" + "hY2DIJBYAggWjlyHYOEINGYgkCACrmJYeEhmzpgmrw58uqgWButtsJEcddyZXlaJnWqQueef/pf8" + "+MP3UmVaGRSSmjRtJqecdZE0bpzMF3V/Xd8Y/IJMnviFrFixwr8657y2MDn2xLNk7XXWz7lvue5A" + "0M3VnuF6qGZRydeDdzaM/vh9ef+dN819scpblfd0l932lh67lr4riFcggm56JJhCoDIIIFg48jOC" + "hSPQmIFAgggMHzFKPhg52mmJl5ihTQe99N9MLId8ukbol7Pte/Q0D6N7OS1nqY0V8oKiL+mdt9ha" + "du/dV5o3b1nqojnL/5OR75i+68OMvVV5iTcqWu21z8HS3gTWS3JCsKjtPa4H7TZXmdeD/2zQkVPe" + "fO3FjKi9bFnuwLw6UtAevfeXjpt39WdT8vmGDRvIpReeXnI7GIAABMqDAIKFIz8gWDgCjRkIJIjA" + "wBeHyoTJ0+qlxJ9+9J6MMoHWogJQ6sPooUeeIG3aJjNmRS6w003wybdefymyi4wKNn32P0w6dd4y" + "V3aJ3L5w/lx57dUB8v2smaHlV8Fmux12lV337CMNGjQI3S8pG7p36yIH9I3na3BSRwmx+YrrQaQS" + "rwfbufDmkIHylek6FtU9pMPGHWX/Q4+RJk2a2rIo6Tq6hJQUL5lDoOwIIFg4cgmChSPQmIFAggj0" + "HzBIpk0Pf1F0UZWpk8bLhC/HyaJFC2WFCUbZvEVzWcsIFN233yWRI0AUw2z29zNl3OhRsmD+PBPj" + "Y2nmAbxl6zayVbftZIMOHYvJMnHHLDYtb8Z88qHM+f5bWWrinDRs1FBatGhlhJqtZHPz17BRo8TV" + "KazAXTt3lH6H9gnbXND6NAkWXsW5HiQjYlbK9eD5PTjV59axn34o35hgxdoyb9XKVdKiVStZb/0O" + "snX3HaVps+bBQ5wuE3TTKW6MQaBeCSBYOMKPYOEINGYgkCACrw5+W8aNn5SgElNUCCSfQI8dtpZ9" + "eveMpSJpFCxiAUMmECghAW3pddlFp5fQAllDAALlRADBwpE3ECwcgcZMrASWmq/NPy1dPfRhm7Xa" + "FNUkfP78+bKyqjrAYavWraRRir7W1gW466CbdSkrx0IgLQSIYZEWT1KPSiUQd9DN5cuXy6KFq0dN" + "atGyhegLUqFpyeIlZrjo6mGzmzZrKs2aNSs0C/aHAAQsBBAsLFBKsQrBohRUybPUBB7/97/lj9f9" + "IWvm8f88IbvvsUd2OZ+ZeWZs9x477Jjd9Y9/ul5OOfXU7HIlz9RH0M1K5k3dIaAEECw4DyCQbAJx" + "B90cP368HHLgQVkoF19ysVx0ySXZ5XxnDj+0n4wdOzaz+0EHHSR331s9VGy+x7MfBCBgJ4BgYecS" + "+1oEi9iRkqEDAj/88IPs0mOnrKVjjj1Wbrz5puxyPjNPPfmkXHPV1dldR7z3nqy/QbJHGchWpo4z" + "9Rl0s45F53AIJJYAQTcT6zoKDoEMgVIE3TzUCBZfGOFCkz6jDB8xoqAWpRO++koO3P+AzPH63933" + "3CMHHXJwdpkZCECgeAIIFsWzK+hIBIuCcLFzGRG48IIL5NVXXs2WaOwX4wpq5njk4YfL6M+qh+7s" + "s9++8uBDD2XzqvSZcgi6Wek+oP6VR4Cgm5Xnc2qcPgJxB938zxNPyHXXXJsF9cyAZ2XHHj2yy7lm" + "brn5Frnvn9UtKlq2aCEffvyRCeDcJNdhbIcABPIggGCRB6Q4dkGwiIMiedQHgWFvvy1nnHZ61nQh" + "Xw0mTZwo++/XN3usihUqWpCqCRB0kzMBAu4JEHTTPXMsQiBOAqUIujlv3jzpsf0O2WKeetqp8ofr" + "r88uR81UraiS3XfdVeaYVqmazjr7bLnq6quiDmEbBCBQAAEEiwJg1WVXBIu60OPY+iQQ/CHer29f" + "uf/BB/Iq0u233Sb33HV3Zt/27drJiA/eJ+CmjxxBN30wmIWAIwLEsHAEGjMQKBGBuINuesW87NJL" + "ZeDzL2QWtZXEyE8+ziv45jvDh8tpp6yOzTVoyBDZousWXrZMIQCBOhJAsKgjwHwPR7DIlxT7lSOB" + "O+/4h/zjjjuyRRv18cfSdu222WXbTFDouODCX8tll19u27Vi1w19Y4R8OubLiq0/FYdAfRDouct2" + "sufu+Tf1jiojw5pG0WEbBEpDoBQtLLSk7737rpx84knZQj/48MPSZ98+2eWwGb/Qsf0OO8iA558L" + "25X1EIBAEQQQLIqAVswhCBbFUOOYciEw7euvZZ/ee2eL85cbbpATTjwhu2ybGfHOCDn15JOzm954" + "6y3p2KljdpkZkQEvvCZTpn4DCghAwCGBrbfcTA4+cO9YLCJYxIKRTCBQEIFSBN3UAlRVVclee+4p" + "3838LlOefv36yR133RlZtgULFsgO226X3efmW2+Ro3/xi+wyMxCAQN0JIFjUnWFeOSBY5IWJncqY" + "wCnmq8O75uuDph477ST9n30msrSXX3aZvPDc85l9evbsKf95+qnI/StxI4JFJXqdOtc3AQSL+vYA" + "9iFQNwKlEiy0VPea0T1uveXWbAE/HTNaWrVqlV0Ozjz7zDPyu9/8Nrt69OdjpYXpTkKCAATiI4Bg" + "ER/LyJwQLCLxsDEBBF5+6SW5+MKLsiV96+3/ySabbppd9s8sXLhQtu++bXbVP8wXikPNlwpSTQJ0" + "CanJgyUIuCBAlxAXlLEBgdIRKFWXEC3xt99+K7123yNb+Ftvv12OPOrI7HJw5thfHCMfjRqVWX3S" + "SSfJn/76l+AuLEMAAnUkgGBRR4D5Ho5gkS8p9itXAkuXLpWeO+0sixYvzhTxN7/9jZx7/vnW4g54" + "9ln57RW/yWzTwFXvjxpZ0FCo1kxTuJKgmyl0KlUqewIE3Sx7F1FACEQSWLNxI7nogtVBLiN3LmLj" + "maefIW//73+ZI3v12lMeffxxay5fT/1a+uy9d3bbcy88L9ttv312mRkIQCAeAggW8XDMmQuCRU5E" + "7JAAAn8yQ3w99sijmZJ26tRJhr71prXUxx97rIz8cGRm2xlnninXXLd6bHPrARW6khYWFep4ql2v" + "BGhhUa/4MQ6BOhMoZQsLLdyQwYPl/HPPy5bz3fffk/XWXz+77M3c9Y875Y6//z2z2LlLFxny+mve" + "JqYQgECMBBAsYoQZlRWCRRQdtiWFwLjPP5d+hxyaLe7Al16Ubbp3zy7rTDBA58uDXpWtttqqxj4s" + "VBMghgVnAgTcEyCGhXvmWIRAnARKGcNCy7ls2TLZeYcdsy1K9aOLfnzxJw2422uPPbIBOv/4p+vl" + "lFNL1+rDb5t5CFQaAQQLRx5HsHAEGjMlJ3DogQfJF+PHZ+ycdfbZctXVV9Wwec9dd8vtt92WWbft" + "dtvK8wMH1tjuLeiXieFm7PKw1GTNNaVdu3ay0y47S69ee8mmHe3xMmzHz549Wy44b3V3ld9d+btM" + "oFDbvrruD9deJ1988UXY5sj17U0Z/3n/fZH7hG1EsAgjw3oIlI4AgkXp2JIzBFwQKLVgoXW48W9/" + "kwfvfyBTna223FJeHjyoRtVGfvihHH/scdl1H33ysazVtvZw759+8onc8NcbsvsFZ1o0by4tW7WU" + "tmu1ld333EP67r+/NGzYMLhbdvk/TzwhA1+wP1fpTq1bt84ECW3Xvr30O6yfbLfd6hFMspkwA4GE" + "EUCwcOQwBAtHoDFTcgJP/uc/cu3V12Ts6Mv6ux98IA0bVf+4Br843HDjjXLc8at/0P2F849b7l8f" + "Nn/PP/8pBx58UNjmGusfMWOn/+XPqwNfHXLoIXLn3XfX2Me/4O/C4l+f7/ykqVPy3bXGfnQJqYGD" + "BQg4IUCXECeYMQKBkhEodZcQLfhXX34lBx1wQLYOg18bIl222CK7fOXvrpRn/vvfzPJhhx8uf//H" + "Hdlt/hmNhaExMfJN2t328iuukAMOOlC0nsF0y003y3333htcHbrc28TYuPiSi4mtEUqIDUkggGDh" + "yEsIFo5AY6bkBObPny87brc6qNS/jdq/h/kqoGnUyFFy3DHHZMsQNRyYX7DYZJNNzJeJtbLHLf95" + "mSxeskSmTZuWXacz1//5T3LyKafUWBdc0Gutb599ZcqUmiLCex9+IOuuu25w98zydUaAGTN2TK1t" + "8+bOq1EGbTESTM2bNS96yFaCbgZpsgyB0hMg6GbpGWMBAqUk0Nh8JLn416eV0kQm7yONEDH6s9GZ" + "+V9fdKFcaoZr17TUPJ9ss3W3zLz+98ST/5Hddt89u+yf8QsWGoR8s86bZzevrFopi00g89nff5/t" + "fuJtPPOXv5Srr7naW8xO/YLF+husX+O5JuzZSQ++17QE3d8nwGQzZAYCCSCAYOHISQgWjkBjxgmB" + "y82P9gvPPZ+xdfQvfiE333pLZv6aq66Sp558KjN/zHHHyY033RhaHr9g8cKLL0r3bWvGwtADlyxe" + "IjfecIP8x7Tq8NLHn30qbdq08RZrTbX55dFHHpVZv0+fPvLWm9WBQX/zu9/KueetDqJV60DLisGv" + "DpIL/n8klIsvuUQuMl8p4ky0sIiTJnlBID8CtLDIjxN7QaBcCbhoYaF1f6Z/f7nyt7/LYFBxYPiI" + "EZlWDy+ZZ5ZLLqp+HvCvt/HyCxZhIoS2Tp00caL8/bbbZciQIdls/mq6pRx/wvHZZZ3xCxYPPPig" + "7Nt3vxrbdWH58uXmA9JI+eMf/igTJ0zIbn/FdGvZ0nRvIUEgaQQQLBx5DMHCEWjMOCHw/nvvyUkn" + "nJi1NfaLcaJ9SrttuTq45jMDnpUde/TI7hOcyUew8I7xj3P+yGOPyl69e3ubak21tYQncLzx1lty" + "+KGHZr5c6EPFsOHvZLuv1DrQsqLUggUxLCzQWQWBEhMghkWJAZM9BBwQuOKSmkEwS2Fy4cKFsn33" + "1S0r+z/7TCYe1hmnnibDhg3LmMz1MSQfwcJf9uv/+Ef596OPZVZlhoUf+aE0M3EuvJSPYOHt+9NP" + "P8lxvzhGxo4dm1nVZ7995cGHHvI2M4VAYgggWDhyFYKFI9CYcUIgOSo/AwAAQABJREFUGKtCfwDX" + "aLCG/PLMszL2tQ/m62++kRExwgpUiGBxy823yH0mhoWmu++5Rw465GBrttoio3u36maaXpAsf6uP" + "h/71sGiri3wTgkW+pNgPAskhgGCRHF9RUgjYCLgIuunZ9ceq0EDj559/nvQwI4h4KWzIU297oYKF" + "to44aP8Dst1ab7rlZvmFr6ttIYKFluGb6dOltwlc7iX9kNOxU0dvkSkEEkEAwcKRmxAsHIHGjDMC" + "KiCokKDpqKOPzogTA559NrN87XXXyelnRgeZKkSwONv05Xxz6BuZvKMeDp433VSu+P8+ptrXVPuc" + "fmiCgp5wXHWTykK/LpRasKBLSMal/AcBpwToEuIUN8YgEDuBhg0byKUXnh57vrYMPxo1SrSVpyYN" + "NP7b318pv73iN5nl/fr2lfsfrB5JJLPC8l+hgoVm8ei/HpE//+lPmdz69esnd9x1ZzbnQgULPfBX" + "Z58jQ19/PZPHX0w32xNOPCGbHzMQSAIBBAtHXkKwcAQaM84IzJw5U/bczR5k6sOPRmWGJI0qTD6C" + "hV43/f/bX6668spMVl6ribB8/aN9vGkic+tQqMHWIG+/M1w6dOgQlkWN9aUWLAi6WQM3CxBwQoCg" + "m04wYwQCJSPgKuimVkCfQ2yBvHXbg2ZEsj77RrfaLEawmDFjhuy1x55qIiOSfGCeqbxUjGAx8IUX" + "5LJLLs1kccRRR8ptt9/uZccUAokggGDhyE0IFo5AY8YpAe0C4gW19AznGkLU288vWOhXio06bORt" + "kqoVVSbuxCIZO2ZsNmCUjiTy8KOPyGabbZbdzz8zefLkzEOFruux006ifU29dM9dd8vtt92WWbzo" + "4ovk4kurf7i97WHTUgsWrw5+W8aNnxRmnvUQgEAJCPTYYWvZp3fPWHJWQfT2Ox+NJS8ygQAE8iPg" + "KuimV5qHTXDLG/56g7eYmWprixEfvC+NGjWqsT64UIxgsWLFCunauUs2q68mTZSGDauHjy9GsPC3" + "NO3Va0959PHHs3kzA4EkEECwcOQlBAtHoDHjlMBrJpr1eb86t4ZN/zCnNTYEFvyCRWCTdfFW80Xg" + "8CMOt45LrgeoIKHChCYdtURHL/GSvw+nBrEa9ekn0rhxY29z6LTUgkX/AYNk2vSZofbZAAEIxE+g" + "a+eO0u/Q6K+i+VpFsMiXFPtBIF4CLoJueiX+3gw7utsuu3qLmal/mNMaGwILxQgWmsV23bbJDnXq" + "Hx2tGMFCRwo5oO/+mZLlaqkaKD6LECgLAggWjtyAYOEINGacEli2bJnsbIJPLTLjiGvKjMTxjhmJ" + "4/+/BEQVplDBQvPSGBR33nWXNGvWrEbW2iJj9113lTk//JBZ/+mY0dKqVasa+5xy4kny7rvvZtbd" + "Y+JvHHjwQTW22xZKLVgMfHGoTJg8zWaadRCAQIkIdO/WxTy894oldwSLWDCSCQQKIuAy6KZXsPPN" + "xxn/kKNet1Nve9i0GMFC3xk6d1rdmnT052OlhfnYoqkYweJzM0rIYYf2yxy/7XbbyvMDB2bm+Q8C" + "SSGAYOHIUwgWjkBjJjEE/ILFC2ZM8+7bds+WXa8XHY5L42QMfvVVue3W27LbTj7lFLn+z3/KLuuM" + "/4FAu4788U/X19iuC9oa5Omnns6s33333eXxJ/9Ta5/gilILFsNHjJIPRo4OmmUZAhAoIQFiWJQQ" + "LllDwAEBl0E361od//PJmSaA+NXXXJ0zy9mzZ0vPnXfJ7KetQj8zgoWXihEsBg8aJBecd34mi8MO" + "P1z+/o87vOyYQiARBBAsHLkJwcIRaMwkhkCUYBGsxIh3RsipJ5+cXR0M6nnhBRfIq6+8mt2ez8xr" + "bwyVzTffPHLXUgsWBN2MxM9GCJSEAIJFSbCSKQScEXAZdLOulSpGsPj0k0/k6COPypjefocdZMDz" + "z2WLUYxg8eD9D8iNf/tbJo98u7JkDTIDgTIggGDhyAkIFo5AYyYxBAoRLLRS++zVW6ZNq+4+8eTT" + "T8muPauD5s2ZM0d23Wnnguut46lfdfVVkceVWrAg6GYkfjZCoCQECLpZEqxkCgFnBFwH3axLxYoR" + "LPr/97/y+99Vj44WHNWjGMHC/7x14803yTHHHluXKnEsBJwTQLBwhBzBwhFozCSGgP8HNNglxFaJ" + "M049TYYNG5bZ9C8zWkjvvffOzPvHKz/tjNPl1xdeaDs8s27c55/LaaecmpnXZpbvjxpZKx6G/+BS" + "CxYE3fTTZh4CbggQdNMNZ6xAoJQEXAbdrEs9ChUstDvsvvvsI9/N/C5j9va//10OP/KIbBEKFSwm" + "fPWVHLj/Adnj33r7f7LJpptml5mBQBIIIFg48hKChSPQmEkMgUIEi6VLl0pP04rCC+75gREa2rdv" + "n6nrfvv0kSlTpmTmX3rlZdm6W7dQBnod+sdT15FHjjRjkoelUgsWBN0MI896CJSOAEE3S8eWnCHg" + "gkB9BN0stl6FChYP3He/3HTjjRlzOnTqO++/V2NUs0IFC//HHuJXFOtFjqtvAggWjjyAYOEINGYS" + "QyBfwWLhwoVyxWWXy9DXX8/UTYNqvjXs7cz8Z59+KkcdUS04dOrUSYa+9WbO+vvHU88VLbvUggVB" + "N3O6ix0gEDsBYljEjpQMIeCUQBqDbi5atEju/ee9cp8ZxcxLGkD8lFOrW4V66/IVLKabLrQ3/e1G" + "GWQCbnrp5UGvylZbbeUtMoVAYgggWDhyFYKFI9CYSQwBv2BxwAEHyIYbbVSj7FVVK2TWd7NqDCOm" + "O/i7g/zhmmvliSeeyBz3uyuvlHPO/VWNPGwL38+aJbvtWh3/QrdHtcootWBB0E2bh1gHgdISQLAo" + "LV9yh0CpCSQ16KZ+WNnbdPfwUtXKKlm8aLF8P+s7GT78HW91ZqqxK26++RZp2KhhjfV+waJXrz2l" + "c5ctstuXL19uWqIuksmTJsnoz2qOQPaXG26QE048IbsvMxBIEgEEC0feQrBwBBoziSHgFyzyLfSV" + "v/+9nP2rczK7L12yRLbZenX3j7ffGS4dOnTIK6tfnX1OtsXGyWb0kev/8mfrcaUWLF565U35csJU" + "q21WQgACpSGw/bZbyn59do8l85UrV8rtdz4aS15kAgEI5EcgqV1C8qudyKmnnSrXXHtdLbFCj/cL" + "Fvnkp/G6rvnDdQTazAcW+5QtAQQLR65BsHAEGjOJIXCliYD9jImEHZX0a8TGG28s3bp3l2OOOUY2" + "7bg6UNTrr70m555T3aJi5112lqf794/Kqsa2N14fKueYUUK89OXECdKoUSNvMTv173fFb66Q88zw" + "qXGmp/q/IjO+nRVnluQFAQjkILBZp43lqMP75tgrv80rVlTJHXc/lt/O7AUBCMRGIClBN9979105" + "+cSTQuutgsL6G24oG5lWpjvuuKMce9yxsu5664Xuf+cd/5B/3HFH6HbNr6N5dtL89u6zj/Tr10+a" + "NW8euj8bIJAEAggWjryEYOEINGYgkCACtLBIkLMoamoI0MIiNa6kIhVKIEktLCrURVQbArESQLCI" + "FWd4ZggW4WzYAoFKJUAMi0r1PPWuTwLEsKhP+tiGQN0JJCmGRd1rSw4QgACChaNzAMHCEWjMQCBB" + "BBAsEuQsipoaAggWqXElFalQAggWFep4ql2xBBAsHLkewcIRaMxAIEEE6BKSIGdR1NQQoEtIalxJ" + "RSqUAF1CKtTxVLtiCSBYOHI9goUj0JiBQIIIEHQzQc6iqKkhQNDN1LiSilQwgaQE3axgF1F1CMRG" + "AMEiNpTRGSFYRPNhKwQqkQAtLCrR69S5vgnQwqK+PYB9CNSNAC0s6saPoyGQNAIIFo48hmDhCDRm" + "IJAgAsSwSJCzKGpqCBDDIjWupCIVSoAYFhXqeKpdsQQQLBy5HsHCEWjMQCBBBIaPGCUfjBydoBJT" + "VAgknwCCRfJ9SA0qm0DDhg3k0gtPr2wI1B4CFUQAwcKRsxEsHIHGDAQSRGDgi0NlwuRpCSoxRYVA" + "8gl079ZFDujbK5aKrFy5Um6/89FY8iITCEAgPwJ0CcmPE3tBIC0EECwceRLBwhFozEAgQQT6Dxgk" + "06bPTFCJKSoEkk+ga+eO0u/QPrFUBMEiFoxkAoGCCRB0s2BkHACBxBJAsHDkOgQLR6AxA4EEEXh1" + "8Nsybvykei3xnO+/kx9/+F6WLlmcKUezFi2k7drryDrrblCv5XJpfNGCeTLruxmyZMkiWb5suTRv" + "0VJatWotG22ymcti1Kut5cuWyYzpk2Xx4kXmXFgizZu3MBxayIYdOsmaTZrUa9niNt5jh61ln949" + "Y8k2jYIF14OY+0DlXA9RF8KMaVNk4cJ5smTxYmm85pqZ+8J6628kLVuvFXVYybc1aNBALrvo9JLb" + "wQAEIFAeBBAsHPkBwcIRaMxAIEEE6jPo5uefjZJPP35f9MGvdZu1RJvY6n1qmXlQX7JoYWa++/Y7" + "y3Y9dksQ0cKK+s3Xk+SDd9+SBQvmy7rrbZBhoDkog59+WiqLzfottuouPXvtJ40br1lY5gnZe8G8" + "H2XEsNfl6ykTpMPGHaVBw4Yyf95cadGilSxfsUx+MILWRmb9bnvuJ2uvs15CahVdTGJY2PlwPYhU" + "4vVgOxveHfaafPH5Z9K27drStFnzzC4rq6pk+fIVMm/uHCPotpEeu/aSTp23tB1e8nUE3Sw5YgxA" + "oKwIIFg4cgeChSPQmIFAggjUR9DNBXN/kBHDX898QWzarIXMmvmNLFq0oBa1Rg0biba2aN6iteyx" + "136y3gYdau2T1BV6P37PPJBPnjheNu/STaYb4eIH08okmBoaMad5y1ayYsUKw6CvdNmye3CXRC+P" + "/WykvGvEim7ddzStbGbLzBnTpMrEZAimli1bm1YXi8wLyl6Zl5Tg9qQtI1jU9BjXQzWPSr0e/GfD" + "9KkTMwKmtrBbubJKpk2ZKMuWL/Pvkplv2rS5rNFgDdlk086yW699pZlpkeUyEXTTJW1sQaD+CSBY" + "OPIBgoUj0JiBQIIIuA66qQ+jg178r2y6WVdZbEQK7QaRK7U0XSN+XrpEdt5t71S0tvjJ1OX5/o9K" + "69ZtzAN3w0zLglwMmjRpKirgrLfhRnLAocfm2j0R2we9+LRp5r1IWrVuK19P/lJWmK+nuVJr0wy8" + "QaNGcvwpvzKtURrk2r1stxN0c7VruB6qWVTy9eCdDaPeHyZfjP1E1t9wY/n+u28yLc+8bWFTvSfo" + "OXTo0SfJeuu7E7UJuhnmEdZDIJ0EECwc+RXBwhFozEAgQQRcBt3Ue9DTj98nG5vm/WNMd5Bi0vEn" + "/0ratl+3mEPL5pg3hwzMdIOZ9NU465fDqII2Mi/ru5uWFt223Slqt7Lfpl+SJ08YL4tNq4l5P84p" + "qLza6kSbgfc9+OiCjiunnQm6udobXA8ilX496NnwvRGvX3ruCdP9q5NMmfTl6hMkzzkVLk4688I8" + "945nN4JuxsORXCCQBAIIFo68hGDhCDRmIJAgAi6Dbr779msy98fZmab/i0yMimJSm7bt5MTTzi/m" + "0LI4ZuKXY2XUB8NNfIaW8s30qUWVqaFplXHCaedJqzZtizq+vg/SPvoqXHU0rWwmTRhXVHHWNPE8" + "eppm4EkVbgi6We12rofqmBWVfj3o2aCtzrTr18SvPi/unrBmE9mkY2cjZB5V1PGFHkTQzUKJsT8E" + "kk0AwcKR/xAsHIHGDAQSRMBV0M1vZ3wtbwx6XtZZb8Oivp55SNc0D6Wdt9haeu93qLcqMVO9Bz/+" + "0B2ZL4hfjR9TdLm1hUHbduvIMSedU3Qe9XmgdgnSliL6FbUqj24gYWVV0eKM836Taa0Stk+5rieG" + "hWSC6nI9SKaLXGzXw7lXZILWlut5H1auT0a+Y+L4TDGBhpfID3Nqx/IJOy64vnGjxrLvgUc4CcRJ" + "0M0gfZYhkG4CCBaO/Itg4Qg0ZiCQIAKugm5+MOLNTLyC8eM+qzMdjedwpnlRTVqaZkbB+HjUe2bU" + "i5kFdwWx1fW0sy/NDH9q21au63SoxofvvVk26rBp0S1M/HXbo/f+su0Ou/pXJWIewUJMMEWuB66H" + "6stVW1estdbaEsfvg44odNjRp5T8PkDQzZIjxgAEyooAgoUjdyBYOAKNGQgkiICroJvP/udBM9pF" + "SxNgcmKd6axhWhgcfdyZprXGBnXOy2UGI94eIgvmz5WpkyfEYnZ7M9zrbma40ySlqZPGG9HmXdNf" + "/dvMF/a6ll2Hgj36hF/WNRvnxxN0U4TrQYTrQeTnn3+SJx6+U9qs1VZmmyGM65q05dVZF/yurtnk" + "PJ6gmzkRsQMEUkUAwcKROxEsHIHGDAQSRMBV0M1/P/B3adykicwzQ5rGkfoccJh03Wq7OLJylsfr" + "rz5notkvjqVlgRa642ZbyEGHHees/HEYGjf6I9NHfZzM+GZqHNllYoGcalqaJC0RdFOE60GE60Ey" + "QXdfGfh0RsyN5zpeQ8675Jp4ssqRC0E3cwBiMwRSRADBwpEzESwcgcYMBBJEwFXQzXvv+LM0a95C" + "li5ZHAudnXbdywxz2juWvFxl8uKzj2eGZ53zQ/F9tP1lXXf9DeXo48/yryr7eR228MsvRsf2cuLq" + "a2rcYAm6KcL1IML1IPLtN1/LICNYLFu+LLbL7NRfXiwtTADPUiaCbpaSLnlDoPwIIFg48gmChSPQ" + "mIFAggi4CrqpLSyqVq00LQyWxEJnj959TeyCnrHk5SoT/aK8YP6P8v2smbGY7GD6avdz0Fc7lsL+" + "fyafjx6VESxmzZwRS7ZNmzaTM0ygwaQlYlhUt7DgeuB60GGNX3n+SVmwcH5sl/FZ5/9WNEBzKRNB" + "N0tJl7whUH4EECwc+QTBwhFozEAgQQSGvjFCPh1T+Jj3hVbxmf88IMtMwEWN4RBHOuTw42WTTl3i" + "yMpZHtpnf9bMb2SWid8QR9piq21l3wMOjyMrZ3lon/2R7w+XObPr3lddC93aDO160hm/dlb+uAz1" + "3GU72XP3HrFkt3LlSrn9zkdjyctlJlwP1TEsKv16qI5h8Y/M70Mc55+OonTORVfHkVVkHrSwiMTD" + "RgikjgCChSOXIlg4Ao0ZCCSIwIAXXpMpU78peYnff+cN+Wba5FiCqjVq2FBOOvOixI2QMW3KV/LO" + "sKEyP6Y4HvsddKR06bpNyX0Xp4FlP/8sj95/q1SZl+w4ktZfOSQtbb3lZnLwgXvHUuykChZcDyJc" + "D9WXwICnH5aff/pJ5s/7sc7XRBsz2siJp19Q53xyZUDQzVyE2A6BdBFAsHDkTwQLR6AxA4EEEXAl" + "WMyYPkXeGDxQFi9eWGc6rdusZb6qX1jnfFxnsHJllTxy/+1SZfpq1/WFfY01GsjZJhJ+w0aNXFej" + "zvZeef4/8uMPc2TRogV1zuuwo0+WjTbuVOd8XGeAYCHC9VB91nE9VMfymPDl2FiCMm+59Xayz/6H" + "lfySRrAoOWIMQKCsCCBYOHIHgoUj0JiBQIIIuOoSokjeHDJQZn47vU7dQho1bCQHH3F8Il9SlcEX" + "Yz82gfaG1/llfaddTNDR3ZMVdFTrr+nH2bPk2aceqrNos/Gmm8mhR55UnWnC/qdLSLXDuB64HrxL" + "98lH75EVRsxdvHiRt6rgaevWbeSIY08vecBNLRhdQgp2DwdAINEEECwcuQ/BwhFozEAgQQRcBd1U" + "JNrk94lH7tJPq0VHhN9mu52k1z4HJYhw7aLq6AgLFsyVhQuKCzK33gYbyVHHnVk74wSt+eiD4TL+" + "808Nh3lFlVpHnDnymNOkTdt2RR1f3wcRdHO1B7geRCr9etCz4ZuvJ8lrJjCxxrQoJmnsir4HHyWd" + "Om9VzOEFH7Nm40Zy0QWnFnwcB0AAAskkgGDhyG8IFo5AYwYCCSLgsoWFYvly3Gfyzv+GmABrPxdM" + "qV37deXI486Qxo3XLPjYcjpAo+I//cT9sqqIOA5rNmkqh5uRQdqvu345Vamosjz173tliekipP34" + "C0naFHuvPgfJ1t3jCVpZiO249qWFxWqSXA/VLCr5evDOhv+9/pJMmfxVUaNJbbFld9n3wCO8rEo+" + "pYVFyRFjAAJlRQDBwpE7ECwcgcYMBBJEwFUMCz+SCV+MkeH/Gywrq6pk+Yrl/k2h85t03Fx67X2g" + "tDYB1dKQ5s+dI4NeelYWmzgO+Yo37dqtK73Mi/oGG22SBgSyygxzO+jF/8rMb6bl3eJGW1bsslvv" + "RIsV6jxiWNQ8hbkepKKvB//Z8J4JTPzZJ+8bHqv8q0PnG5k4Pltts4P03HNfadSoceh+cW8ghkXc" + "RMkPAuVNAMHCkX8QLByBxgwEEkSgPgQLxbPcDHE6+KX/yndmmM8VK1aEEmvarLns3HMv2Wa7nUP3" + "SfKGYW++Ip+P/jiyChq3Y8tu25kH8v2k8ZrJbl1iq+jno0fJ+8PfkKqqFZFxLTRmhYpWSe0G4q87" + "goWfxup5rgcx94PKux5WnwHVc7NnfZvpHqItsKJ+H9quvY5pbXWgbNihYzCLki8jWJQcMQYgUFYE" + "ECwcuQPBwhFozEAgQQRcdwkJopnz/Uz5ZNR78p0JxqktDbTVRaPGjUW/pG++RTfpbmJWqGiR5rTE" + "BJn79KN3ZerkCZmm0FVGwGnQqKE0bdLMBBftKDvuvEdqWpaE+VGH5hz72UjTZWi0LFw4X6pMy5sG" + "ZiQUFWjWWW8D2XaHXRMbaNVWZ7qE2KhUr+N60DA/lXU9hJ0NUyaOz9wXfvhhdiYgpz7HNl6zqbRu" + "00a2Nq0qunTtXm8jJdElJMxrrIdAOgkgWDjyK4KFI9CYgUCCCLgMupkgLBQVAiUlQNDNkuIlcwiU" + "nEBjIypf/OvTSm4HAxCAQHkQQLBw5AcEC0egMQOBBBF4dfDbMm78pASVmKJCIPkEeuywtezTu2cs" + "FdGv8bff+WgseZEJBCCQHwFaWOTHib0gkBYCCBaOPIlg4Qg0ZiCQIAL9BwySadNnJqjEFBUCySfQ" + "tXNH6Xdon1gqgmARC0YygUDBBK64JNnDSxdcYQ6AQAUTQLBw5HwEC0egMQOBBBEY+OJQmTB5WoJK" + "TFEhkHwC3bt1kQP69oqlIggWsWAkEwgURICgmwXhYmcIJJ4AgoUjFyJYOAKNGQgkiMDwEaPkg5Gj" + "E1RiigqB5BMghkXyfUgNKptAw4YN5NILT69sCNQeAhVEAMHCkbMRLByBxgwEEkSAoJsJchZFTQ0B" + "BIvUuJKKVCgBgm5WqOOpdsUSQLBw5HoEC0egMQOBBBEg6GaCnEVRU0OAoJupcSUVqVACBN2sUMdT" + "7YolgGDhyPUIFo5AYwYCCSJA0M0EOYuipoYAQTdT40oqUsEECLpZwc6n6hVHAMHCkcsRLByBxgwE" + "EkSAoJsJchZFTQ0Bgm6mxpVUpEIJEHSzQh1PtSuWAIKFI9cjWDgCjRkIJIgAQTcT5CyKmhoCxLBI" + "jSupSIUSIOhmhTqealcsAQQLR65HsHAEGjMQSBABgm4myFkUNTUEECxS40oqUqEECLpZoY6n2hVL" + "AMHCkesRLByBxgwEEkSAoJsJchZFTQ0Bgm6mxpVUpEIJ0CWkQh1PtSuWAIKFI9cjWDgCjRkIJIgA" + "QTcT5CyKmhoCcQbdXLGiSu64+7HUsKEiEEgKAYJuJsVTlBMCdSeAYFF3hnnlgGCRFyZ2gkBFESDo" + "ZkW5m8qWCQGCbpaJIygGBIokQAuLIsFxGAQSSgDBwpHjECwcgcYMBBJEgKCbCXIWRU0NAWJYpMaV" + "VKRCCRDDokIdT7UrlgCChSPXI1g4Ao0ZCCSIAEE3E+QsipoaAggWqXElFalQAggWFep4ql2xBBAs" + "HLkewcIRaMxAIEEEXnrlTflywtQElZiiQiD5BLbfdkvZr8/usVRk5cqVcvudj8aSF5lAAAL5EaBL" + "SH6c2AsCaSGAYOHIkwgWjkBjBgIJIvBU/1dkxrezElRiigqB5BPYrNPGctThfWOpCEE3Y8FIJhAo" + "mABBNwtGxgEQSCwBBAtHrkOwcAQaMxBIEAFaWCTIWRQ1NQRoYZEaV1KRCiVAC4sKdTzVrlgCCBaO" + "XI9g4Qg0ZiCQIALEsEiQsyhqaggQwyI1rqQiFUqAGBYV6niqXbEEECwcuR7BwhFozEAgQQQQLBLk" + "LIqaGgIIFqlxJRWpUAINGzaQSy88vUJrT7UhUHkEECwc+RzBwhFozEAgQQQGvvSGTJj0dYJKTFEh" + "kHwC23bbQvbvu2csFSHoZiwYyQQCBRGgS0hBuNgZAokngGDhyIUIFo5AYwYCCSLw7PNDZOrXMxJU" + "YooKgeQT2KprJznkoH1iq8itd/wrtrzICAIQyE0AwSI3I/aAQJoIIFg48iaChSPQmIFAggh8MHK0" + "DB8xKkElpqgQSD6BA/v2km26dYmtInf989/y87IVseVHRhCAQDSBFs2ayHm/Oil6J7ZCAAKpIYBg" + "4ciVCBaOQGMGAgkj8K/HnpUf5y5IWKkpLgSSSWDdddaWU086ItbCj/9ysrwy+G3R33kSBCBQWgIN" + "Gqwhvzhif9lkk41Ka4jcIQCBsiGAYOHIFQgWjkBjBgIJJPD28JEy49tZsnz58gSWniJDoPwJ6MNO" + "h43Wk1577FSSws6dt0DeMa2lfpw7vyT5kykEICDSvt1a0mef3aRZ06bggAAEKogAgoUjZyNYOAKN" + "GQhAAAIQgAAEIAABCEAAAhBIBQEEC0duRLBwBBozEIAABCAAAQhAAAIQgAAEIJAKAggWjtyIYOEI" + "NGYgAAEIQAACEIAABCAAAQhAIBUEECwcuRHBwhFozEAAAhCAAAQgAAEIQAACEIBAKgggWDhyI4KF" + "I9CYgQAEIAABCEAAAhCAAAQgAIFUEECwcORGBAtHoDEDAQhAAAIQgAAEIAABCEAAAqkggGDhyI0I" + "Fo5AYwYCEIAABCAAAQhAAAIQgAAEUkEAwcKRGxEsHIHGDAQgAAEIQAACEIAABCAAAQikggCChSM3" + "Ilg4Ao0ZCEAAAhCAAAQgAAEIQAACEEgFAQQLR25EsHAEGjMQgAAEIAABCEAAAhCAAAQgkAoCCBaO" + "3Ihg4Qg0ZiAAAQhAAAIQgAAEIAABCEAgFQQQLBy5EcHCEWjMQAACEIAABCAAAQhAAAIQgEAqCCBY" + "OHIjgoUj0JiBAAQgAAEIQAACEIAABCAAgVQQQLBw5EYEC0egMQMBCEAAAhCAAAQgAAEIQAACqSCA" + "YOHIjQgWjkBjBgIQgAAEIAABCEAAAhCAAARSQQDBwpEbESwcgcYMBCAAAQhAAAIQgAAEIAABCKSC" + "AIKFIzciWDgCjRkIQAACEIAABCAAAQhAAAIQSAUBBAtHbkSwcAQaMxCAAAQgAAEIQAACEIAABCCQ" + "CgIIFo7ciGDhCDRmIAABCEAAAhCAAAQgAAEIQCAVBBAsHLkRwcIRaMxAAAIQgAAEIAABCEAAAhCA" + "QCoIIFg4ciOChSPQmIEABCAAAQhAAAIQgAAEIACBVBBAsHDkRgQLR6AxAwEIQAACEIAABCAAAQhA" + "AAKpIIBg4ciNCBaOQGMGAhCAAAQgAAEIQAACEIAABFJBAMHCkRsRLByBxgwEIAABCEAAAhCAAAQg" + "AAEIpIIAgoUjNyJYOAKNGQhAAAIQgAAEIAABCEAAAhBIBQEEC0duRLBwBBozEIAABCAAAQhAAAIQ" + "gAAEIJAKAggWjtyIYOEINGYgAAEIQAACEIAABCAAAQhAIBUEECwcuRHBwhFozEAAAhCAAAQgAAEI" + "QAACEIBAKgggWDhyI4KFI9CYgQAEIAABCEAAAhCAAAQgAIFUEECwcORGBAtHoDEDAQhAAAIQgAAE" + "IAABCEAAAqkggGDhyI0IFo5AYwYCEIAABCAAAQhAAAIQgAAEUkEAwcKRGxEsHIHGDAQgAAEIQAAC" + "EIAABCAAAQikggCChSM3Ilg4Ao0ZCEAAAhCAAAQgAAEIQAACEEgFAQQLR25EsHAEGjMQgAAEIAAB" + "CEAAAhCAAAQgkAoCCBaO3Ihg4Qg0ZiAAAQhAAAIQgAAEIAABCEAgFQQQLBy5EcHCEWjMQAACEIAA" + "BCAAAQhAAAIQgEAqCCBYOHIjgoUj0JiBAAQgAAEIQAACEIAABCAAgVQQQLBw5EYEC0egMQMBCEAA" + "AhCAAAQgAAEIQAACqSCAYOHIjQgWjkBjBgIQgAAEIAABCEAAAhCAAARSQQDBwpEbESwcgcYMBCAA" + "AQhAAAIQgAAEIAABCKSCAIKFIzciWDgCjRkIQAACEIAABCAAAQhAAAIQSAUBBAtHbkSwcAQaMxCA" + "AAQgAAEIQAACEIAABCCQCgIIFo7ciGDhCDRmIAABCEAAAhCAAAQgAAEIQCAVBBAsHLkRwcIRaMxA" + "AAIQgAAEIAABCEAAAhCAQCoIIFg4ciOChSPQmIEABCAAAQhAAAIQgAAEIACBVBBAsHDkRgQLR6Ax" + "AwEIQAACEIAABCAAAQhAAAKpIIBg4ciNCBaOQGMGAhCAAAQgAAEIQAACEIAABFJBAMHCkRsRLByB" + "xgwEIAABCEAAAhCAAAQgAAEIpIIAgoUjNyJYOAKNGQhAAAIQgAAEIAABCEAAAhBIBQEEC0duRLBw" + "BBozEIAABCAAAQhAAAIQgAAEIJAKAggWjtyIYOEINGYgAAEIQAACEIAABCAAAQhAIBUEECwcuRHB" + "whFozEAAAhCAAAQgAAEIQAACEIBAKgggWDhyI4KFI9CYgQAEIAABCEAAAhCAAAQgAIFUEECwcORG" + "BAtHoDEDAQhAAAIQgAAEIAABCEAAAqkggGDhyI0IFo5AYwYCEIAABCAAAQhAAAIQgAAEUkEAwcKR" + "GxEsHIHGDAQgAAEIQAACEIAABCAAAQikggCChSM3Ilg4Ao0ZCEAAAhCAAAQgAAEIQAACEEgFAQQL" + "R25EsHAEGjMQgAAEIAABCEAAAhCAAAQgkAoCCBaO3Ihg4Qg0ZiAAAQhAAAIQgAAEIAABCEAgFQQQ" + "LBy5EcHCEWjMQAACEIAABCAAAQhAAAIQgEAqCCBYOHIjgoUj0JiBAAQgAAEIQAACEIAABCAAgVQQ" + "QLBw5EYEC0egMQMBCEAAAhCAAAQgAAEIQAACqSCAYOHIjQgWjkBjBgIQgAAEIAABCEAAAhCAAARS" + "QQDBwpEbESwcgcYMBCAAAQhAAAIQgAAEIAABCKSCAIKFIzciWDgCjRkIQAACEIAABCAAAQhAAAIQ" + "SAUBBAtHbkSwcAQaMxCAAAQgAAEIQAACEIAABCCQCgIIFo7ciGDhCDRmIAABCEAAAhCAAAQgAAEI" + "QCAVBBAsHLkRwcIRaMxAAAIQgAAEIAABCEAAAhCAQCoIIFg4ciOChSPQmIEABCAAAQhAAAIQgAAE" + "IACBVBBAsHDkRgQLR6AxAwEIQAACEIAABCAAAQhAAAKpIIBg4ciNCBaOQGMGAhCAAAQgAAEIQAAC" + "EIAABFJBAMHCkRsRLByBxgwEIAABCEAAAhCAAAQgAAEIpIIAgoUjNyJYOAKNGQhAAAIQgAAEIAAB" + "CEAAAhBIBQEEC0duRLBwBBozEIAABCAAAQhAAAIQgAAEIJAKAggWjty4cuVKadiwYcbakCFDpGvX" + "ro4sYwYCEIAABCAAAQhAAAIQgAAEIJA8Ap07d5YVK1bIRx99JDvuuGPyKpBnidcwLRxW5blvSXbz" + "CxYlMUCmEIAABCAAAQhAAAIQgAAEIACBFBJAsCixU/1dQkpsiuwhAAEIQAACEIAABCAAAQhAAAKp" + "IYBgUWJXqmCxcOHCElshewhAAAIQgAAEIAABCEAAAhCAQDoIeO/QrVq1ktatW6ejUpZa1HuXEEuZ" + "WAUBCEAAAhCAAAQgAAEIQAACEIBAhRNAsKjwE4DqQwACEIAABCAAAQhAAAIQgAAEypEAgkU5eoUy" + "QQACEIAABCAAAQhAAAIQgAAEKpwAgkWFnwBUHwIQgAAEIAABCEAAAhCAAAQgUI4EECwce2XRokUy" + "derUzJ8GStloo41kk002yUwbN27suDSYgwAEIAABCEAAAhBIA4F3331XJk2alKlKgwYN5IQTThCd" + "kiBQLIHJkyfLiBEjMoevscYacvzxx0ujRo2KzY7jIFAUgUQIFscee6x8/vnnRVUw10H//Oc/pXfv" + "3tndRo0aJaeddlp22T+z8cYby+DBg/2rcs5/9913ojb+97//yZdffinff/996DEdOnSQHj16yMUX" + "Xyz77LNP6H5RG6qqqmSXXXaRn376ybrbSy+9JJtttpl1m23lFVdcIYMGDbJtkt///vdy8skn19o2" + "dOjQTB1qbchzRdu2bWXttdeWtdZaSzbffHPZY489pGfPntKyZcu8clixYkWGo05LlX7zm9/I6aef" + "XpLstdyvvPKKvPjii6I/FOPGjcucN1tvvbVss802olM9Rzt27FgS+7ZMR48eLR9//LFtU97rDj/8" + "cFHfkiBQ3wR0dKpdd91VFi9eXNKiNG3aVPQFokmTJiW1U6rMbb8nl112mZx11lmlMlkv+T733HNy" + "7bXX1rA9fPjwzO9QjZVFLCxZskR23nnnGkfuuOOO8vjjj9dYx0L6CKjvv/jii8zziIvaffDBB5ln" + "Jc+WnmfB+8+sWbOkT58+3i45p/pi2rx5c2nXrp106tRJjjjiiMwzc7m/sOo9Xl+y99xzz5x19HYo" + "5hjv2DRP77//fjn33HOzVXz55ZflkEMOyS4zAwEnBMwFWtZp6dKlqwyIkv29//77Nep/1113hdoy" + "Dx019o1amDJlyqoLL7wwNK9cdTIv6atef/31KBPWbePHj4+0aQQT63FhK424EZrfE088YT3suuuu" + "Cz0mV73Dtpvhelbde++9q5YvX2616V9pXq5jtx8s1yOPPOI3Gcu8eTlYpeffuuuum1f5//znP68y" + "D0Sx2M6VyTHHHJNXmYKc/MvmBSCXGbZDwAkB8wWyzuez/9wOm9f7VpKTEUtrcXrooYeSXCVr2W2/" + "1Ub0t+5b6Ep9xgieH0ceeWSh2bB/wgiYj0OrzEeoVfos5yJNmzatxrOD3nu+/vrrWqZfffXVWudj" + "8PzMtazPKL/73e9WzZgxo1b+5bBi7Nixq/bee+9MPY0onVeRijkmr4xTsNOYMWNqnDPm41MKakUV" + "kkZAyr3ApsVDjQsl14200O3Bm5lpMRBq75JLLsmJS/M7++yzQ/MotHx6Y1i2bFlOu94OTz75ZKht" + "/fEsJM2ePTs0L62HPsza0gEHHBB5XKEM/PufeOKJq1auXGkzm12nYoL/mFLMqygSZ5o3b94q9XWh" + "ZXXxwxGXaDh//vw4kZEXBIom8MwzzxR8rRV6ber+Lq7PoiHkcaBpBVCLk2lplceRydrFfImuUc9C" + "Pk7kquk999xTI289L/72t7/lOoztCSWgYmi/fv2yPjetMUteE9PVeNW2226btannmGnVa7X7pz/9" + "qcZ+xdzXvGO22GKLVXPmzLHaqY+VCxYsWPXb3/42Wz9lkisVc0yuPNO2XT+mqQDm+V2n06dPT1s1" + "qU+ZEyh7weKBBx6ocZH4L5i6zutDSjBFtSjQh7eopC+dvXr1ir28KoDkekn3ynXppZeG2teX/UKS" + "tvCIYmy6LtTKTtcFb2xReRSzTVsWRKVf//rXkeUuxmbwmHxaekSV0b/NdBtapT/8QRv5Lv/rX//y" + "Zxf7fK7zIJ9yav1IECgXAqY7W9HXWz7nu7fPDTfcUC5VLqocKtJ7dfGmP//8c1F5letB+pHBq5s3" + "zefjRL71MV0Ha+VfTOvJfO2xX/0R+PTTT2v5+umnny5pgfRl8uijj65h9+abbw61aZry19jXO+eL" + "nWoLkuCHv1DjJdygH/aCrVPPO++8SIvFHBOZYYo3BlvZ3njjjSmuLVUrRwJlH8Pil7/8pTz88MPm" + "Xhp/Ms1A5c4778xmbFoUiLnhZZeDMxpLYKuttgquzizrsQceeGCd+/lbMzcrzUu6XHPNNWGbs+t3" + "2203MU1Qs8v+mTvuuKOg2BLmK5BcddVV/iyy89oH8o033sguezMap2PLLbf0Fks2/eGHH0L7F2sc" + "kLrGW4gquBGlZNiwYVG75L1N41X07ds3E+Mk74MsO+r51759e8uWuq/SPut///vf65TRmWeeWbLr" + "uE4F4+CKJDBhwgTRuCy5ksZO+sMf/lBjN/PVTky3txrrwhY0npDGPkpq0lhKGn/JSxpP6J133vEW" + "UzH98MMPM/FM/JUx3R3lpJNO8q8qel7jMGksIn8yX6UzMQH865hPPgHTmkbMB5MaFdF7TefOnWus" + "i3Ph9ttvl8svvzybpcat0FgWthgT5iVE2rRpIxrw3Z9MizPRYIrBZD6UZeL8GEEiE4NNY2sFz2U9" + "xnwoEy1HfabPPvtMtt9++xpFMK1tI2ONFXNMDQMVtKCx+C644IJsjdP4W5CtHDPlSaAcVRR/mUyA" + "wVjVYOOFbH7BFhO5viTbWhRoWb/55pu8v5Br6wNVpPWri7aGUGU8qlWHv7za9zAqaZ9b//7B+UJj" + "CER1UTBChrUoTz31VGQZgmUqdtm8QFvt276WFWsj7DhtchhX8jdftNnT88UIGrW+HAT31SbupUra" + "lShor9BljT9CgkDSCNi62Gnf7UpJ+tum3d+8P+0nn7ak96bg/Sysu2Ohdf/xxx9r5a2/96R0Ejjl" + "lFNq+Ft/v/NtHVsMEe2eFTx3P/roo9CsJk6cWGt/E9A8dP/gBu16ovFXgja1nvXd8sp82KxVLiNI" + "BKtQY7mYY2pkUEELyjLod72/kSDgikBZdwnRLhbBC8S//Pbbb6/SC6bYv2Czfm2+68/fP29aFIT6" + "RLf597XNa5/Y/v37W+NR6A+aihHBfrTBfLQpX1TKFe9D++oVkvRHKFgGb9lEVbdmFdUlRcWZMF+Z" + "FhOr9GFYHxQHDBiwKlccjDAWtgBnXpl1qv3uwsqQ73qN6RBHeu+990L5alm1H6wXJFXP1cceeyx0" + "f+0GU4pkvjCH2tTzQ8WMfP6iHqJKUW7yhEAcBGz3M72Pk9JDwLT+qnWPC/s4UWit33zzzVp5a5ws" + "UjoJBD8+HXTQQSWrqIoHwa6kpqVFpD1b7B69xxWS9NqwPavqs0J9JjOKRa1rLfiMHyxfMccE86iU" + "ZfV78J3g2WefrZTqU88yIFDWgoUKEv6XzeB83IpuMS0K9IINliu4nO9FrSM+eJGNg3l4y/rFKyxF" + "xfvQliqFpKlTp0bWS0dBsSVV672yBqe33Xab7RDrOu1bqD/2wTy85bD6mGZrBR9jLYCDlVG+1vrZ" + "otSHxUgp1Ve7W2+9NZSnaXbqgBImIFB/BGz3M/1KSUoPgeBLX9THiUJrrbEEvN8sb6ojQZHSR8AW" + "pPz6668vWUWDYqp+ODBdPSLtaesw7zz0ptqKrNCk9fKO96ZmOPtCs4l1/2BrbH1WypWKOSZXnmne" + "Hmxdo6MrkSDgikBZx7DQfvPaf96WzIOkmC/Utk1Fr2vdunWtvn1eZjpOu7lYvcXMVMfY7tq1qxgR" + "ocZ6b8GokTJ48GDZfffdvVU5p6ZVSWbMbls/QT34pptuEtONwJpPVLwPE7hTjKBhPc628vnnn5ej" + "jjrKtkm0Xqa1Rq1tRkCSpk2b1lrvrTAClOy1117eYs6pEXrEBPoJ3c9cJLW2nXHGGfLoo4/WWq8r" + "zjnnHNHxpMshmR/3TOyKsLJoDI4ddtih1uarr75aTEugjA822GAD2XDDDTNxV/Q8NNG/a+1f1xXq" + "L9OVqFY2eg7MnTtXGjZsWGsbKyCQBgK2+5me92a0G2t/73Kps/ZP199G05pMTEA+2WabbaR79+6Z" + "e4arMpqvcfLtt9+KEbYzfd6NAC0ay6FLly5iXqzqdN/Q30itm2mRl/nt1XtQx44dZdNNN83EC4n6" + "DQrWX+9ha6+9do3VGrfpr3/9a411xS4ce+yxovEB/GnEiBEFPRP4j81nXn8XTcu8LKOZM2dm4hZ4" + "jPR3wxbfIJ+8Xe1jhssUM0S7aGwmjVfVrl27TPwwIy5Js2bNXBWjIDuvvfaamJahNY55+eWXxbQG" + "rbEujgVbrLB///vfYrqkRGZv+z3/4osvCo47pue0ntv+ZLpUy3777edfFTmvds2HsYyP9Z6lsX6M" + "gJC5lgs9P01rk1r3NyPOiAkMGVqGYo6xZabPwhoLY9asWZm6NGnSJMNT4921bdvWdkid1ul7h8bd" + "0Xug2lZuakvvrVFJryeNp6LvK3rP1LgqGm9OnyHzTVdeeWXmHcTbP854bl6eTCEQSsCVMlKMHXND" + "rKXimopk1hkho5gsQ4/R8aq9vG1TbXEQTH/84x8jj8nVfy6Yn7cc1fQ/6kt68EuRvx7a+qKQFBVF" + "X7sq2JKtP6W/DNrFp5AUNV64eXGwZhXF4MEHH7QeUx8ro1pXRHXv0JYnuZo5xlUfHa7M7z///Fln" + "nRWXmVXakkr9afvTIQFJEKgPArb7WVhXNH/59HfBfy4XOkSmNr3VOEf+PPTrqXfdm2DHNbbpPU+T" + "dqvTqPj+69Q/r18czQOrv6iR89rs21+GqPuSl5F2YTNBSjPH+W0H52+55ZaC+rwrk4EDB2a4BPMK" + "LmvLPO0emU/SoR+DxxuxPp9D89onOGqB2sr1FTyvjC07aRcBvV/quRKsU3BZfWlEAUsuq1dpF0m/" + "/3VefVBIevHFF2vlERbPSFuYmsCN1u4G/vLr+R7WJdVWNn0OC9ZDr6F8ksY5Cx5rXkyzh2qXAm+7" + "v4z+eW971LNbNsM8Z4JfujVv7/4QloVu95fLm9drq9D0l7/8pVZe+dxb9JzTYV5znaPayinX83PQ" + "N159/FOPvU6/+uqrVcUcY2Oj3bi15XRUK2Ath17/Rvy0dgW35as+0mP85fZG49DrUUcv0m3+Onrz" + "OoqHvsf4k5bTBBCOvKY0np4Rl/2Hhc4HW9xqWUgQcEWgrLuERN3UNLhjnEkfUrwLPzi1XZR64w3u" + "51+uS2A2jZHg3bD0oVF/nLT5n3apCOs/nSveR6ExBKLicuiPlS2pIOBn4J/3Hqptx4WtCzZ59Oen" + "gSiDSW/o/n2C8/oCUg5J42gEy+Zf1tgWmnS4Mq1TKYN2RfGICqCqP/wapFaHVDWjz6wyX5JWqahX" + "TFltzaY9HnFf51H1ZRsE/AQeeuihWtdpPk28zehKtY7L9TLht6vXlnf+e1N9yPdSMH8VRN56662c" + "gXm9vPK5pmz30muvvdYrQq2pvvTods9GPlNtjq3DQOZKGpcoSogOs6Uxk3TI6KgUfADXvGwfJ6Ly" + "CNtme0YwI8yE7V6n9XofDnuRCeOj680X09AXXRXHg8d6L0/5FFa77OqLdDAPm1Dy0ksv5XyJDeZz" + "/PHHZ2M8RZWnLoEVbQKgcvGSrX7BcnrL+iwXR9Lg6V6e3tS0rsiZtQbO9fb3prbnqJwZmR1sz4d+" + "LsE89FlGzx3Pbr5THcI+rOv3+eefX1B+ek8r5phgXTRWhwrK+dZB91Pf5xJg1M6YMWNq5asfG9Xn" + "Ue9DXln0PqkfmjSpsKYfF71tUVO9L4VxzmT2///peRbMJ1+xw58P8xAohkDZChZ6EQQvDP+yqqVx" + "Jh31wp+/f97WouDuu+8O3V8VUtNsuE7F0xt8ISlXvA9bPISw/MOUeI/JoEGDrIeaLhehTDSwWSHJ" + "Np65Z1+ntkBRtgBn/mPyuSEXUsZi99U+zP5yBec1+Kv+wHnr9UFUHxD0pcVl3AgNDueVId+pllW/" + "KBTyghYc39tvyzRjLBYzx0GgTgRs9zMV5nKlF154odZ1M2nSpFyHZbbrKEfBr/J6TenDtpeCsZaK" + "eVHV34uoZLuXar1sSV9UirlX6HWuL3zaMiAsmSFUa7H03x9yzeuLRdRvX7AVp7KMK+m5EiyffpGP" + "O5mh2WvZCdqNWo4a9SrYx7+Q33Ft7RG0a/vYkev3MJiHf1mvFW1ZFJVsgRWjXq79eemLnN+enk9e" + "sol6/n2D8/m0UPLyDpvqBwEVKP1559O6QvN75JFHahyneUSJkGFl0NZRfvs6b7och+2eeRE+8cQT" + "ax0TzCNsWVsx2D6E+J+Rwo711nsfzIo5xl8xFQ6Kud965dD7WVSyCQK5WnJ7eXtTFWVUFMxH4PCO" + "0Wk+MeZsrZ69D2xR9WIbBOIgULaChe3C8C4uvWEU+kKfC5ZNMfbs6QtYMEU16b/vvvuCu5d8WW82" + "XnmD00KGrdKCjh07NjQvzdvfJNJfseDDjb8chTCJCh7q5Wnr3mH7IfX21x8s/dpWl7+4zjlbID+v" + "nPlMtcl1vg9cfv8UMq/51+WHWeuYr6gYfEHzGJTiOi+EAftWNgHb/Syfr0kqsnnnsDfV1kj5pGDr" + "CT1exXF/ynVdaiA0DaSn99wwIUEf3KPuZ7Z7qW1IUxWBgwKKV2d9kdImzPriqiJs2G/sRRdd5K9e" + "dl4fhL28glNtdahfbLU1iolLtEq7MIY9oEe1ignee7QucSXbi4a22okzRX040Rd1bf2mTcJV1NAX" + "5iBHb1lb6NhS8PzJ91nCxAap9fuhrFWQ86ew8us5rt1+hwwZssr011+lrYJsAqKWX9dHpSjRIeo4" + "FdI8Pt7U39JJ66jDmOqf7Yu7Cgvedp3GEZRy2LBhtcqUT+sKraetdUGYCGnjogKN7b6gbMIEWRUa" + "wj5I6PWq+Wm3LBPXZZU+02mLD4+1f2oLDKpCm8fXv6/Oa97eNp1699BijvFY6HkYtOMtq/Cp3ZR0" + "H20tpM9o3jb/VJ9Doz6cRV2jXj7KSH8n1EbYPS+4Xq8n/V1QDnoe2H5D8unuaGOg1ygJAi4IlK1g" + "YQIIWi9476LV5oDF/gWjvOdqURC8ILWfrlcO2zSfh9q4nRv8UuQvl601QpR9W5NkLz+9EdqStijx" + "9rFN9UYXlbRfrz6ghv24+fPUm63/i6OXbz7H+vMpdD6XOu6VI2qq/XQLtWvbXx+GCh2mNqpcwW25" + "WuzYyhRcF+Ynvy1bs2kvn2Kbq/rzZx4CxRDQa8s7D72pvnDlk1Ts847xpvnEYlEx1dvfm+oDrl+c" + "jIq1pAKBTVRQ8d/2gGp7CfDqF7yXhtU9TCjXWAS2B/Ow3xavGbNnX8UU29dQ/VAQ1ldeOZlA3bUY" + "+r+Ke/nr1NaK0/Zxwn9MIfO2/u1xdksMu3fqi4nt91HLruuDIoSeayqu2FJwqHc9j/JJthhY6nt/" + "0m6q3nnun6oYFVZ+Ff5s53LYb7NNdIhqUeIvn75E+8ul808//bR/l+y8rVWVtlKKO2m8gWCZ9Jki" + "n2S7nkzgy4yIpEKS/0+fcfXDldZB62xrpeKVQ0dmC0thgpSKiLZWmHrd265h9XlYS5piRmcp9BgV" + "p4IigNZf78/KyZb0PSPYGkaP0WsqLNl85HHWGETarceflGFQdPX296bKMygU6miD3nZvGvZs77dn" + "BgOodVy+oyD682EeAsUQKFvBQtU+70KKe6r9xPxp3Lhxkbb05u1PtqZ1Xhnz/QLhzy+O+aibln5h" + "KSTpA49Xn+BURSJbsvWr9B+rXPQFVP/0S5vefPVmrjd82wOI/9jgfPDBxytPFINgHsUsxyFEhT2k" + "FVOeusRJ8ZiFTW3DnxVTRu0DHJVszaY9O9ddd13UoWyDQMkI2Loi6Et8vinYOkNbGuRKti+g+rXO" + "n2wvRnq9qFgRNeS17TdLYzyEpeC9VF8ig0lb2tnu3RpoMSrpl3PvGvem+vvhTwMGDKi1j/5uBB+8" + "/cd481ovL19vagssaGvFGfw44eVZ6FRfvGxsbCJOoXl7+2vLFK9+3lRjUuRK2kUm6F8VV2zJdr6F" + "tbD0jp9ihjz3yuNNgy161I96znrbvan+Xtia/3t569T2m6Hnhi3ZRIewOGDB420v22Fi2TXXXFOr" + "LmGiS9BOvsv60uxx8qb5dtFR3t4xcU31HIqKh2OLx6C2o47xWKioFCynrTuR7q/XbHDfXF33Cj3G" + "JvLpOZ3ro1GYqGgTmaI+Zl1++eVWgUfrr9d8sP66rP6JEkiDgqrer3Il24dJ/W0hQcAFgbIULPQH" + "y/Zjb7soi1kXVHbDvvpo3jbVMfRK3JcAACRMSURBVOqFXoN4RSVVSPWLTzF/YS+oti9Ffi6qoheS" + "olRe/XJmSzZV3F+GuOb1C5vtgSbshyEuu3rzjyPZXhxsZVR7+oOiU9t2b50GgCpFUiHJsxE21X1y" + "lU+PjYq7oS8q+jJk+wu+xJSinuQJARsBW1yAXPd2fz7BPttmuEP/5lrzeh0HrzPbS5jtxUh/K6PE" + "CjWmv3lBEUWXbcl2L9UmyMFkE1jCXir8x9peaLVbhz8Fy6pswl4W/cfpvC34p637i37lDTIPfpwI" + "5p3vspY1mHecHzNsPlIBIPhsE1ZeLYu/fGHNwfXZwb+fzufqs257udOuDP6kI4UE89WPGfmWX/f1" + "Hx/2smWLj5HveaRdCYI2bOeR1itYHv1tjDvZgprnK7BFda/y1zHfeb3Oc4mHtla/2nI6n6RiT/Ad" + "IEwwDrYC0jrk+rhUyDG2YKX63JPLhldPm61gSwndV5+TbPz1I6HtmdfLXwOT2o7LdZ0Gu/KF/R54" + "dnRqa3kYNuqP/zjmIRAHgbIULLQple0CjGOdvvAGk379CstbHzyDSV+uwvbX/nhRSR/Mwo7NtV6b" + "A9qS7YuDPy/b1yVbProuSuXVPIMPHl4+wQd0v/245jX4adhXi1wM6lqGsB9Lr/75TlUpz1UWbZbs" + "BYrTqX5FCTsmzj7XXh1sD9t++xp13e8H/QocfLjw7691JkEgSQRs97Owfv62egUfUm3Ct/84WzR3" + "2wgawRcjvc6iYjT4bdjuPbYXMNu9NBiDwzbksd4DdISrXElt+u8PykYFb3/ymqLrV24VIIKChn/f" + "4HzQd2Evj8FWnLl8FLQTtaxf8f111PlCu2ZG5a8vDvqyqh9btFuOfszId6hOzTd4v9aPMLakLUKC" + "9Qhr4ajH2/q4B1vyqCihrIP55uo26i+fLTjhzJkz/btk5m2iQ9TLnz+DYAuQsFYo+nwVrEvYs5o/" + "/0Lng3Ey1If+7mJR+dlaiwTLXMiyXlNRLVVscXy0vLlEDn8dgs89Yddx8MVbxYRcqZBjTjvttFr+" + "zSdApVcGje8RZGvrRqFda4L7aV1yDYNsex/JJ5hq8GNTPs+4tq4kUfcDjwFTCMRBoCwFi2eeeabW" + "hRu8kItdtrVSsPUz8/LXoFXBFLW/di+JSmeddVbRdQvrK2j7UuSV3/aVLqp8YSqvl1/Y6Ce2BxDv" + "mLpO9YfupptuigwSF8Wgrvb1+EK+rkbxDT5ABcumLzrBpC8BwR8X7zhl40/65U0f/Ar5Cz70aLcV" + "/fFScU9Vd7Wh9vQBLtidyrP92GOPhZ7XYQ963rFMIVBuBGz3M22SnW+yDZMd9rBuG5FDfyeCyfZi" + "pNel9sfOJ9lGbdD7RTDZgkUGY0xo/AvvHuRN82ld4dnSYbiD9x1vW12m6qPgi6at9YCtFaft40Sx" + "ZbE1ac+nKXyx9go5zhZUO+oFLNjaJaqrnnb19M4Hbxps0aBdhrxt3tT2ISmqTrYuW7aPKcFzId/f" + "IpsgFxbnw9YKJe6vzrYPSYWMOmJ76fbY12Uadi7YxNF8W1d4fg+KvlpOW5cq7/nEq4e27MiV8j0m" + "LF6d3r/yTSrQeWXzprZ7pS0+SViLZr9t27Oh7b7uP8bWKttWJv8xOm+7d+TqfhPMg2UIFEugLAWL" + "uPrPezcH/1TFEH/Sl0H/9uC8rVm67QbhHRcWGMizqSqxt2+hUx2P3pZsX+e8vLUJcSHJ1hTayyus" + "yZgtWJx3TF2m+jVRH/Js/f2CddIHkTBb6i/t5lKXv1zN64LlCVsOKvv+MutLUliT2ChBxt/aoRhB" + "LOy88tdBv4pGtdTRcgcfAry6KX8SBJJCwPaQGvZ1L6xOtpcYm9in15StC57tgdOWZyFf7W2iom0k" + "n+C9VF/6gsnW3Nv2hTt4XLHLev/R/FVM1ZYEGpFfu9d5rQv0Yd/GUe9BtlFIbK04bR8nii1v8Gu4" + "lqPUQzSrADR16tRVej/X1hf6BVxHJdHRVDRuiAoyNiFOy6YxQ8JSsMVK2AvhwIEDa/0G+0fV8PLX" + "c9b7bfCmGk+kkGRrBRiM1VVoYEW/fW1R5JXNm4a9mKldbx9vGtUN0m8n33lbl46wAKC2PIPCjZZT" + "4xsox7A/vda8VjxRrZBtH9KCIpfaK7S7lV7fHk9vque3P+myt82b5vq4VMgxNuHZ9tHTXybbfPCd" + "wTYMrO3dIJcwMn369Fr1z6elhC1+T9j57a+PLSaMriNBwAWBshQsbCq9dzPSG6f+IBf7F2xeNXLk" + "yFoXvGdLp8GgOsHmrP59dT6quaEtYE3w+KjlsC90YS+Kmlchw1bpCWcLWOaVSR96bGnQoEGRDPVL" + "gP7py7SKK2EPTZ4dbcES9uJus68+iWJQ6MOQzUZc66LO7WCzaL/NqDgr/qa0th89j2vYNKzVjN9+" + "PvNhLwxqV6O1kyCQBAL6kB68Vgpt4m1rSm+7F9uu67AvXbYXo0JegjXfYL2CLSds91JtFeZP2k0t" + "mI8OHRl30o8JWmd9YY66vwfLElxWET6YbK04bR8ngsfls6zCQbAMWn5lG3fSjwVaP42RErRZyHJU" + "cD7toujPy/bhQs/34Eux1tkvpnt1D77M6nGFsrEN7xkMUFuI6OCVzZsG66z1t4mIur9NgMnnI4tn" + "K5+p7UNSvte+rbWITYTMVQ59TrC1EFU/+3/fbR+wdJSRQpPtfhU8n2yCQq5u2YUcYxNqbCMxRdXN" + "9lsQFPK0Xv5rTOfzER5srZVU6MmVbKMwhp3f/rxs9sJGSfEfxzwE4iBQdoKFrfmU/0IupJ9mPoB0" + "rHp//v552w+zChL+fYLzUc2G9aEguH++y/oyaEt6k4nKQ4fByzfZHlb9eYdFf7f9sHjH2Zp6qh1t" + "OeHtY5uqX/JNtq8t/jzzbTKdr7267BccE95fTh1KNCxpn0f/vv55r9WQqvH+9fnMF/rlOKx8uj6q" + "bv4Hmqg82AaB+iZga4pcTBPv4IvZzTffXKNqKkAHv7zpcpgwbXsxKuRFL9gnXO8PweNt91Lt/+5P" + "tn3CxGz/cYXM6weJIJt87me2fWxfAG2tOIMfJwopr3/fzz77rNZ9WFsLxp1sQRht9c9nna2pvVde" + "2wtesLWdrbuRbShf20eboCDm2Y2aPvDAA7UYjxo1qsYhGig2WPd8Xso0k6AApOdiWAp2EdbluJMG" + "XgzWJeiDMJtDhw6tdWwxzDV/vTfZPopo6xov2T5gadyrQlOwZY/WP5iuuuqqWnXL1SqhkGOCvo06" + "D4Jl85ZtoxAGP07ZugXqOZ4raZec4HmhMTNypWD8nnzrZXvWz/eaylUmtkMgF4Had4BcR5R4uy0i" + "r/+CDCqsdS1OVBN6W7MttRf1IKUPK2FJHw61OVrYny1Ql1f3sP6KtiZa3jGqfBeSbA9aXl46Devu" + "EtUlRcdjD0u2ftB+e/l2w4jiFucLeVg9Clkf9VIfNVyc7QuLx0q/CGtSwcNbl+/U1le+kPr49w2z" + "Weh56M+TeQi4JmDrtlVME+/gA3fwK6PthSrYrN1f9+DDs3Y7KCQFW3fZHlK1mXnwOg6+8GtLhOA+" + "tiByhZTNv6++eOo9I2gjbFm/FusLZtjwfjYBKMjC9nHCX6ZC5m1N2fMJgleIDe3qEcYjuF5Zav00" + "OJ9NjFMWUcn2wuX/yqwfaYL+0t9dW4ySyZMn1yp3obENtKw28S744hS8jm3nu63eto9mYV+7ba2N" + "wgKY2mzluy4oEhQSm0y7BAXPiaAImW85dD99pgvmd8stt2SzsLUay9XqIXuwbyYo+NpahSgHf1ny" + "ed4r5Jhga2DbBzhfka2zNsEvGLBU+fnrofMqDOdKQWFNy5sr2eL3hJ3fwbyC15Re90HRO3gMyxCI" + "i0DZCRa2H3vvQrbdsOoKIvhD4NnSqX7BsKWopu//196Zu2pSfG+8v+I2OrgiuIALOihuCG6JiSiC" + "IggiojCYCOIgLrEGMyoIhqJoooOBYiCGYqiBiZhMqCCYmIiBf4Hw68/L71zqPfNUdXXf967zFNxb" + "3f12nap6utazVU0LQdHJz1oniOAVWwVlNxp1gJEwJ6jj4IJWTcNDDX6RhrhlG0vZGCjL98trFugt" + "E5uom3JwFnTyJiHS7FWsvPxTVgb+VlALtKhjHG2KPXc8641rXHwW+WjnsHn48ccfJ7+DsvuPMmzy" + "OL8WRv7NCGwCgbz5oh0vUfHOauWllF2pTTPG1hZ/amNUY2IrDJRaOIvPHFBVjn4bcdaOUuYUvczl" + "nF++R71Y4U9ZKC9aKpj4IdjIwgs1Fylpt9qQvvLKK7koi+/Vca/KHGhpBjVGP7hhuoR2IpqomAzk" + "b6eOz0Xi3ApKpR2nlxHUBrZmD898Eu0q4iXOSJWPkGxGmgVLvZsyxfgvN+RRb2JVn504NSH3iWxS" + "UJYpX6tTJLbTX9WJI6xPIqgj7ksGV7zXitXxmeX4SVo0TKINRTwlgJmbJuhGvGQ9efLkybPKWfYf" + "6pLXwXzvqcBckdtFj+aMOrVkyu9HlCX3KeXQON51bAQ2jcC+Y1ioyT4GCzwdbzIgGQjaKsbpkAot" + "Pw/bKWNL26NmJ9YyrVALUlUfnjGQZ25yiUnt6DwlMSnT/fnnn7UsV89ZVJXv5+tS1bBGKEvLSho7" + "sXiolaPnuVLtpLxT0p8sFSjrGKrMNdrlu/maBVcEFjE1Bh5Mi1ZQ9vWRF6roDkbgICAAky7abcQ1" + "Zu1UfXAMGTSIS+kXzIbyN65bPhTUxmiO1B6Hkjk/dVRo3ggq3xRqw6Kcd9bwyRvL8r28cKfMCCp6" + "NFyUU1J1pLLS4qwJJ8qy9V4rLbq5G7ZaXjAP8qYBjHCUmjUMFA1lRtIjZMnS7tAEYn7P7aol/cff" + "Un5/SqiR66E0PvLGSZ2C0LspY1Oay4jKvgrKNCUECOr9Jc/YmOby1Bgoir5qL0rrSKVVz9A8zuUp" + "zd2U4KRlcqTyULjmk2xUO1BjWkl/ThrF2JyrPYOWUcYfJgMM6DLkd3rW7mrt3KM5o8yLp9Z3lJXx" + "JX93TEQcjMBuIbDvGBYt7YWezjgHONTUcgcs7/OgErRbfi9IX24CI01PnBcFZVlqizy1AIh0DII9" + "GgqUTS1Cgw5xjfGgBr9I18MlJm81AQYNFn81qSNplYOzSEs8ZyENvZ0OaqNCOVtYnTlzptpOS60j" + "GA5o4sz5K9u4UvUOLNF8qgWc47WYXWGyUkvv50ZgvyCg1HfnaDKU9VCLY8Yr9XxK+qsW8OoI5DL/" + "uGYOYJyIvhwxzOYyqLH0xIkT5Sura2WO0KO+TOLwHcHchPYD0l+0TQjKvwHjStYSWL0s/ikNQWWq" + "ok5LqQknRDbNR5Q18I2Yum4qKIewmB71zvNou0W5Iu453SWbN8VG5fjx42fRY76qBWXCisbInKAc" + "IWbBhHK4WWM6lHkrDRRwyto8kUYJmWprtUgzN1a+qeiDPUGdIqGYkD204h0118OcjaBM3eb4UqMt" + "q7VwHq+U6QlO9FthThrFsJiriZWZ1rSlrAWiGAFgOBWUsLJHc0aZzrV870U51NxIP3MwAruFwL5i" + "WMD1jUlUxT2dcQ5wihMc+bbU2JXTsUhHTNo5RzixUGNDWNIor1t2c1OY9ZzjjIOzMr983Tq7XA1+" + "kb7XJKW1IYdWtvcrv3HL7wZMgN6FXElzJ6+VpDPwqnn9VlLHSNMzsfXWRx0DF/nU/LlAW9nIRjoW" + "65tewPXWx+8ZgbkIcAx0tN2Ia+Z4U7SVGQeLbuXzZ2rDrzZGvYwU5dBN+S1Q4/Dp06fPqqZi9Pds" + "BtX4gkZXOA/k2NfAPGLml54A05TxPtJFnDc50FJM45Jx25Nf7R3WKJF3xD3S0hq9/Bznr0E34t5T" + "sJTT71LrJ+dV3mdne7RHJSxpzRPQU8fJvv/++2VWzeu//vrrrPqDQ2YoqHm2Z02WfQJAu+UXIW+s" + "W9olzYo1flTHcCpGnCKhTIbRYl4aagKqsp8phmDLoXguC+ZE0bYjVqZdinHFONAKc9OwfokyELfW" + "4jlf1j2Uu0zPdRbgqPr2MAJwdJxp92jOUIcyXat9l3XKTEto5H5Xvu9rI7BpBPYVw0JN9mXH6umM" + "cwBSZ8lHfqVNnqKpBqJIS8ziCclBbcPM8ap4b8aEpEynrluOKylbTY0/aGFLraRUcO5bzhwjPZzV" + "WsiDX6QhJt/ekFWRSzrUr4Zji9GD6c5+CzUJDvVFWpWD4qKX2PSoAWearfs8QZd5ZYeyaL4oL9Vl" + "Guw3VWBjiOpt/puj5q7o+pkR2A4CyscMG+mlIW9olId6fPBMhUyHPsazKWYgqthKa1GdtgVzouy7" + "XOc+TznVplGdCJHrpOpeSsbVUZW95gKKcV5jWGc8WsKJXIepe6WpuEmmcmYc8I3y0bSqjGjPqDkW" + "DZeekCXFzPvKFHNqPsIXTG5jfI+WFmWUr1YHdeQwpkBlPrSFqZDrGOkVfWgpbRo0iDYdFCOPtWNP" + "UPNzS1uyRVP1TzDK6yzFIFWmWSovNEIU4xEmSA65PdOOpsLcNEvaeJRB+XZB0412XIZTp06ttVUw" + "7TnZLmtL9WjOKK2RHpNd5VOkd+wo6+prI7AdBPYVw0JN9jFp9HTGuUC0Nmdho1mjqRwzRVnLmMGX" + "QRKJBH8MgK18y7RxPbVoUzaXkTZiyoGmBNIl3s/HGsV7OUbiUFtMqMGvTJ85yTUsea6805e0ahN0" + "y+cJ6an3Jv56jopq1a/8TalURl1hWiC5gnmnFuHxHjE+KzYdptoFdsBovHz88cdywVqWj3qiPZSD" + "WuhFOjZhDkZgLxBQDtlol1NMgVZZp/zKMDZNSamUqUT0lykNhDDBiPeJmY9UUPNIXlyTjvmgpMc1" + "fb2lpaDUiUlT0leS5J4TJGpSXyUNVRqJU8IJhVXtmRJA9EhLa/Tyc+UraOoEBr5XzWlzD76UocVo" + "j7bQK6BQGkYl4yrXOe4zE4J86T9KcwLng1GuiGtCD+i3zHw//fTTKMJarDRM5pq3rBGs3GDWGnWI" + "WDEcVXLFgFVMSJU2nnE6HL5PwDryL+Os+VzTdprSIoOZlRkK5IPWSv52au05Za6xJI1yIMo6fioo" + "zRbq8qPwBca6vMSzNPOt5aMc4fZozuALr8yL654ju5XgrOZYN8rMfMB4W/7Fb46NwBIE9hXDQk32" + "0bmULe2SCkca5ZQp8iLGgddUUN6XSxqbuq75j4jyMWHPZYL0lI0JCpxqQXH+S7pqIVGjxeBWmxCh" + "WePmZmlZmf8mr6fO9q7VSz1XR8vNLStY9dgeq/xbz9QibG7Z4v2aE0FlxxxpehYDrfL7NyOwFAHl" + "tFFteufQVxLxaOvEPZoJNclm0FHq4Sxo1YkfpKn59UEoEDSJa4wN6k8/Ld/lGuaIYm4rZgXvZ0eX" + "bErUHIDPDxVgdigJcpSL9UQOyvxxk5tM5SsEhgIb/qV/pQaFMoGEyas0KKk7klrFIAiMeqXtzM+R" + "RsWsP3o1YDkxJdPgu9eEEsxzmNXkNNzXvp0SZCjnomySWz60yKPm8JW8c5lqp27ldjjnXq1VW2ay" + "QbvGgKUN1doiv+HPBYEcTKSWg3nqXmOYKgYZazVMglTA75vSIiMPxehQzpExWWiFJWnUaU6UCc1k" + "NdbRT5QvHdIohgo08pjXc9KHMu/q6cvKhwdrvqmQxxD6+xQjX63NM3NrKl//bgRKBPYVw0JN9nR0" + "/pQtbVmRudfKbizyIg672hZdHNWoTlnS2e51r7qqcsa13bynNCSw7a7lgfRsbmgtPsmntJOEtpKW" + "1cqznee9Nn699UUCMWXGM1XeKe52b1nUe0qSNVWe/HvNFIT80M7I78f9Tiz4VB39zAhkBJT0ersq" + "3mjHRdvOMWNAqWGQyxP3+CHKafM9DF3UplFr//DDD6tOcBVzg3yU1lPr6MS///77rIU2ZUJayNjE" + "Jg9GRV7oRrlrAoiavx78HLDY5YQPGKEwgqaY9MrHB9LyKEPEPcKJ+BatGEl00NxkXEpO2RCpejPf" + "skZis8mmk7lbbdpzuTiitje05qwpjdQyDzY6tVOvKDPSaTZRtCPU5fOGLurQYm4rx7Cko30iUUZi" + "jA+AGu3Ig7h2pLHykwE9VOypB31yjrPJEqPyGvPhsjxc9zA61SkSmc527unbtXUypkE1bBHYoCEC" + "85Dv0GqntU047VyVHcxhljCO5PXrkjR8B2WyQd5oH8O0YlxCc4JxusZ0YZ+gNOmUPzzWR1NBtW/6" + "/VR44403zsKt1r6DFiccZawx6W2F2tq8JQBt0fNvRgAE9g3DQp0TX3aSns4455NyTGdJv7zO5z23" + "6MKBbU3kJd051zBvWEwoLm6tPEr9d06e8S6LHzi4U0E5LwsaU9xuRXtqgs3cfCaKyG8n49bCSNWj" + "51lLy2CqLr3Hs/WUQ73D5qXFPJwq35SKofIsHzRZVDgYgb1AQEkF52zoVJlbqvS9TMdsVsJGgGMN" + "o8/0xi2mvxqPpqS4ygFkT1nYTNSkc62NTou22iioOQh/BJlObdOlvmfrGZuwTHsT99kk4YcffliU" + "j8Ioa7m06pfbYdQNf15z1inkgdaEYrwEzakY85NsJlCWveYLoUWXdomJTPkOG81aqGkOlelrx9HX" + "aKrnYFvS5JpN9FRQGiCZztJ71n5TGjXKl8Wc/GjntYD27hQtzHzKsCQN6RmrlGnNVP7xO0yUmgaU" + "MoVmLJ4KaGsE/YhrY2pJK/ve434qqHU+5nutoNbmS4SYrTz827mHwL5hWKAOGB1PxT2SqDmfL9uN" + "lXlOcQ9zPkg94HjXOMol7alrBjdUJpfWFxyzau9UnuXvTNqlCmqua3nf0i5B+rAk5AG1LBv4lpMk" + "mJe/79T1nEXdnDpzBNecRRv1z1KDOfnNeZc20GIsKKypS80MpMy7xQyhLzkYgb1AIDsxo41v13cN" + "7Vn1lTlMcRZ6JQ3U49lktyST5fuMG1MnSSitJ6WKXX4XNlJKylzmna9Z/E558lcmA5lOeQ8OzAv5" + "hAcECTlk4cKc75Bp5Xs0W8pybepamSQoh36t/GB6sKbI7yBx7Q1oueT03PeM+SoPtA+UU0OVRzxj" + "jqlpCeU8YLhFuqkY/2m052wCQXutBTRsc9/M+fRsImv0y+d5zqxpKJVpFAM2l2/uPf2nl9FKWTBn" + "m8Iol4H1a4+jY9bKOW15r/rNkjTUg/FFbdzL/NQ1WsMthqgy26sxNyhHhMx8pB9NBcbdXMbXX3+9" + "mUyZ0fRoHSp/hDvhc61ZeP946BDYNwwLdRRSdK6WLe3SL5IXLpEXMZzzJQHVPTj/cwZo3sVmjU0x" + "arabCEySqIzlSa6sY3nNIgDJwlz1xRaD5ueff15UFaWyW5a1dDY15ZSyTLed6x5tk0WVHRMhUVST" + "Vllevg8aQVMe2JeWoZUO9dyp9sxGD0kBdvNTQS2ao6695k9Tefh3I7AEAfpZtEVixreWFLc3j0wX" + "2r0ag8qGGpXqCEgRFf0oP5sWpYoc6SPmpJJc916pOSYVylleSQ9GeM13RpShjDH/m3IADM1yY5M3" + "aPn7MS+WZeJ6rnCiLGO+XrKhyeVR9zWVbfwMtNYx1B+zh9KXVN7o5BMecp3Ke9Tzc/n4BtsJsVZp" + "CSrIk3KT/xSzK5cFAU4LI7Qny+PEsxBm6khjmHq1TXDPJjKXt3affXiQ51TIzJf87XruWUPC1KNv" + "9fg6UGVi/GH9MrWOQIiIg9reMRdnxC3z1VK4FeVakibSElO+/C0yjvQ7mHtlvytplNf5pMKewwUY" + "l8mjzLeHiaBMO6ac3WamOPn2zCdK23upELPEy9fnNgL/o/pjw3fYMAKjZG0YGQDD6DBzGKV0w7iZ" + "G8bJdrj66qtXf1ddddVwxx13DDfccMOGc14nF+WgLFEO3iDf66+/frjuuuuGG2+8cTj//PPXE/pu" + "VxEYj40aRpvOVZsZJ5bh4osvHm6++ebhlltuGcbF3HDBBRfsanlyZqPGxTA6vxtGFfdhZDoMl156" + "6aps44JmFef3fW8EjMAwjAzW4fHHH1+DYnTyN4y+Wtae1W5GtejhqaeeWvt51LIannjiia1nzC2j" + "lHsYN/nDuEgerrnmmlWfHDdMw5EjR7be2+mLcTMwjMyLYVSDH0bm+8DYcOzYsdXf5Zdfvij7UbV/" + "+P3331d/0B+ZM8O48RkeeeSR4ejRo4toHqZEo/R2Na+D0cgQGsbN3nDttdcOt9122/DQQw9tbF5n" + "mUh7GtXV1+AbnSgOt95669qzpTfj5n/1ncdjcwfmG+pBG+KPNcp55523iDT9Y2QQrvBhHcQajLn1" + "4YcfHq688spFNHMi2inrq9HUZbjiiitW9G+66abhkksuya8uuh8l9cO4Cd5KOzIIh1EotHV/EC5o" + "m6PwZxjNCYZR+DKMDIXVd6Wt8o3p20vCuIFefVu+AetYvi1/rW+7JE1ZNsbZM2fOrOrBN7/ssstW" + "Yy59gbpceOGF5esH8pq+Ao5lGJ2NDqNWRvnI10Zg1xAww2LXoHZGRsAIGAEjcK4gwGby/vvvH0ZH" + "kWtVHh2PrRjFaw8rN6NzyWH0Or/2azAl1h76xgjsIAKj1unw7LPPruUwauUMoxnM2jPf7AwCowbj" + "8OKLL24RZ3MPU9DBCOwUAi+99NIwamBskYcRg9Dqoosu2nrmCyOwmwiYYbGbaDsvI2AEjIAROCcQ" + "+Oijj4a33nprra6jyeDw9ttvrz1r3Tz99NPD999/v/UK2gVIEh2MwG4hgGbLaFax0t6JPEfV8JU2" + "YEuKHe863j4CStqNRgraCQ5GYNMIjKZUw2gKtEZ2dKQ5jGa7a898YwR2EwEzLHYTbedlBIyAETAC" + "hx4BzCLuueeetXoioRp9LnSriaOGjynF6Btpi8548sXw9ddfb937wgjsJAK0wfGYzuHLL79cy+aL" + "L75YPV976JsdReD2229fmT5EJqNPj2E89SZuHRuBjSAw+sxZzV2YGEZA0++dd96JW8dGYE8QMMNi" + "T2B3pkbACBgBI3AYEMAeG/9E2Kyz2Pvpp5+G8di5NYk09URq9dhjj3VXmQVj9g8wOi4b3nzzzW4a" + "ftEI9CIAcwK7fPxF4GMLf0XjSVzD6Nh1jQS+LGjjS31KrBHzTTcCmOCMxxlvvQ+zAqaFgxHYJAKY" + "IMKgiEB/H4+o3Zg/nKDr2AjMRcAMi7mI+X0jYASMgBEwAv+PwHha0aQt/5LNxXfffTc899xzazjj" + "XBOHkw5GYNMIYPqBw8ipgGNVHIY77C4CWU3ffix2F/9zITec0953331bVaWN4Sh1pw8H2MrQF0ag" + "gYAZFg1w/JMRMAJGwAgYgRYCJ06cOEsKXb6PKch4JODqdILy+dS1YoRwmhD+AxyMwKYRwDcK2hWt" + "8MknnwyvvfZa6xX/tkMI4MSXjSNOdyNwMgnji4MR2C4C//777/DAAw+sTpsKWr/88svqtKG4d2wE" + "9hIBMyz2En3nbQSMgBEwAgcageeff3749ttvZR2QUP3666+TG0GV+NFHH12p3sdvd95550pNP+4d" + "G4FNIoB/lXvvvbdK8t133x04XtNh7xB47733hpMnT24VgFMcjh8/vnXvCyOwBIH//vtveOaZZ9Yc" + "PH/zzTfDCy+8sISc0xiBHUHADIsdgdVEjYARMAJG4FxAgOMGOXYwBxxkfvDBByvfFvm3nvvsZO/V" + "V18dPvvss56kfscIzEaAIwvvuuuus9LBdOP4Ujt4PAuaXX+QTwt5+eWXh88//3zXy+EMDxcCMCNP" + "nTq1VSn8WMAcczAC+wkBMyz209dwWYyAETACRuBAIYAqLXb9bPgw2UBF++677/aRgwfqK7qwmBxg" + "YvDbb78Nf/zxx8qfxbFjx4YHH3xwOHLkiAHaJwicPn16+Oeff1alQSPmySef3CclczEOKgJfffXV" + "gPNowtGjRwfMHO1U96B+zcNbbjMsDu+3dc2MgBEwAkbACBgBI2AEjIARMAJGwAgcWATMsDiwn84F" + "NwJGwAgYASNgBIyAETACRsAIGAEjcHgRMMPi8H5b18wIGAEjYASMgBEwAkbACBgBI2AEjMCBRcAM" + "iwP76VxwI2AEjIARMAJGwAgYASNgBIyAETAChxcBMywO77d1zYyAETACRsAIGAEjYASMgBEwAkbA" + "CBxYBMywOLCfzgU3AkbACBgBI2AEjIARMAJGwAgYASNweBEww+LwflvXzAgYASNgBIyAETACRsAI" + "GAEjYASMwIFFwAyLA/vpXHAjYASMgBEwAkbACBgBI2AEjIARMAKHFwEzLA7vt3XNjIARMAJGwAgY" + "ASNgBIyAETACRsAIHFgEzLA4sJ/OBTcCRsAIGAEjYASMgBEwAkbACBgBI3B4ETDD4vB+W9fMCBgB" + "I2AEjIARMAJGwAgYASNgBIzAgUXADIsD++lccCNgBIyAETACRsAIGAEjYASMgBEwAocXATMsDu+3" + "dc2MgBEwAkbACBgBI2AEjIARMAJGwAgcWAT+D7gN+PzP+eGTAAAAAElFTkSuQmCC" + ) + (uuid "5d14c61a-3cfc-43b6-853d-f777adff1eae") + ) + (gr_text "© Trevor Vannoy\nSPDX-License-Identifier: CERN-OHL-S-2.0" + (at 233.75 120.25 0) + (layer "B.SilkS") + (uuid "21581c17-a2ca-4ffd-90e0-82d2d2f01bb3") + (effects + (font + (size 1 1) + (thickness 0.153) + (bold yes) + ) + (justify left bottom mirror) + ) + ) + (gr_text "Design files and documentation:\nhttps://github.com/tvannoy/laser-photogate/" + (at 233.5 123.7 0) + (layer "B.SilkS") + (uuid "e0d15f22-6a6a-4747-84c4-ce6ca4f4db06") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom mirror) + ) + ) + (gr_text "wireless\nlaser\nphotogate" + (at 228.75 125.586416 0) + (layer "F.SilkS") + (uuid "3cdf37fe-575f-439e-a85a-827e493031a1") + (effects + (font + (size 1.5 1.5) + (thickness 0.2) + ) + (justify bottom) + ) + ) + (gr_text "GND" + (at 191.75 100.25 0) + (layer "F.SilkS") + (uuid "57f6638e-4783-481d-abb8-cbce53f7517c") + (effects + (font + (size 1 1) + (thickness 0.153) + (bold yes) + ) + (justify left bottom) + ) + ) + (gr_text "GND" + (at 185.25 125.75 0) + (layer "F.SilkS") + (uuid "6bca9505-0eaf-49cd-a0f0-1f1d535bf8ef") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom) + ) + ) + (gr_text "3V3" + (at 191.75 98.25 0) + (layer "F.SilkS") + (uuid "780371f7-0088-4e3a-8f89-bdda040ff10b") + (effects + (font + (size 1 1) + (thickness 0.153) + (bold yes) + ) + (justify left bottom) + ) + ) + (gr_text "MAC " + (at 193.5 114.405485 0) + (layer "F.SilkS" knockout) + (uuid "a7e5e005-2f49-4b00-a0af-e046f7cff277") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom) + ) + ) + (gr_text "VBAT" + (at 185.25 127.75 0) + (layer "F.SilkS") + (uuid "d4499349-88bd-4f37-9b62-44fdaee89879") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom) + ) + ) + (gr_text "SCL" + (at 191.75 102.25 0) + (layer "F.SilkS") + (uuid "daf24c3c-8ecf-4496-954c-3deac87ad741") + (effects + (font + (size 1 1) + (thickness 0.153) + (bold yes) + ) + (justify left bottom) + ) + ) + (gr_text "SDA" + (at 191.75 104.25 0) + (layer "F.SilkS") + (uuid "fe91228f-7ccf-4993-849f-d433a5cb878b") + (effects + (font + (size 1 1) + (thickness 0.153) + (bold yes) + ) + (justify left bottom) + ) + ) + (gr_text "USB differential pair impedance calucation from JLC" + (at 14.25 43.5 0) + (layer "Cmts.User") + (uuid "331d33fe-d829-4172-ab0a-d885cad45aaa") + (effects + (font + (size 2 2) + (thickness 0.3) + ) + (justify left bottom) + ) + ) + (gr_text "U3 layout based upon recommended layout from datasheet" + (at 15.5 78.75 0) + (layer "Cmts.User") + (uuid "9b97dc2a-7470-4704-98c7-0fce14f0d108") + (effects + (font + (size 2 2) + (thickness 0.3) + ) + (justify left bottom) + ) + ) + (gr_text "I didn't put the battery pack super close to the lipo charger,\nbut that's because I wanted the battery enable switch and the\nUSB connector to be on the same side of the PCB so they can\ncome out of the same side of the enclosure. Not that I couldn't\nhave just made the board a little bit wider :)" + (at 55 90.25 0) + (layer "Cmts.User") + (uuid "f0b8c328-8265-4477-b6e3-ab3021e629b6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify left bottom) + ) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "47c0a39d-5ed5-4b6c-8a49-378b9fa36cd5") + (pts + (xy 234.5 137.25) (xy 179.5 137.25) + ) + (height -12.5) + (gr_text "55.0000 mm" + (at 207 148.6 0) + (layer "Dwgs.User") + (uuid "47c0a39d-5ed5-4b6c-8a49-378b9fa36cd5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "df031adf-b13e-4eb8-b820-63066c293b60") + (pts + (xy 234.5 137.25) (xy 234.5 95.25) + ) + (height 11) + (gr_text "42.0000 mm" + (at 244.35 116.25 90) + (layer "Dwgs.User") + (uuid "df031adf-b13e-4eb8-b820-63066c293b60") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (segment + (start 211.5 104.277) + (end 211.5 105.25) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "10ee2047-c003-42dc-b12e-55296e3f4b6b") + ) + (segment + (start 208.5 98.3) + (end 208.5 100.2625) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "576bf689-b5cc-4f97-a564-f370c0bb01ce") + ) + (segment + (start 211.5 105.25) + (end 211.25 105.5) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "9886d603-4c43-432e-8b78-223855be0dc6") + ) + (segment + (start 215.39 97.75) + (end 215.69 97.75) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "9c55efed-96bf-4e82-9235-2817fe09b34f") + ) + (segment + (start 214.22 98.92) + (end 215.39 97.75) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "9fee1567-680a-41de-80ba-ca3c8e60388d") + ) + (segment + (start 214.22 98.92) + (end 211.39 101.75) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "b03a37bd-11d9-4aaf-97cb-be7f8499dd5d") + ) + (segment + (start 209.9125 101.75) + (end 208.4625 100.3) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "b964ae37-9f08-4eee-9fac-a9b5a96d007a") + ) + (segment + (start 211.39 101.75) + (end 209.9125 101.75) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "e4b20e62-2bdc-4ef1-90ec-a5e54d208fdd") + ) + (segment + (start 208.5 100.2625) + (end 208.4625 100.3) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "fc564b75-f9f7-4f5d-a0af-2274557ab482") + ) + (via + (at 214.22 98.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "17af3ac5-6bcb-4139-bfd4-efb6054ce68f") + ) + (via + (at 211.5 104.277) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "e646e672-65d8-4319-b4ee-02044f21bae5") + ) + (segment + (start 214.22 98.92) + (end 211.5 101.64) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "cc8880b4-0e57-4354-8056-a6fd087e01e7") + ) + (segment + (start 211.5 101.64) + (end 211.5 104.277) + (width 0.2) + (layer "B.Cu") + (net 1) + (uuid "dead2d27-cf2f-4e10-b973-8f51bd0b8466") + ) + (segment + (start 186 110.5) + (end 187.5 110.5) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "066c9c1d-8cf7-4198-bba0-46d911e00754") + ) + (segment + (start 194.975 117.75) + (end 194.975 124.05) + (width 0.4) + (layer "F.Cu") + (net 2) + (uuid "07e2c257-27cf-4445-8a52-54fcd898f347") + ) + (segment + (start 210.5375 100.3) + (end 210.5375 98.31) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "0e1564ee-a7f7-4e09-891a-f233743c851a") + ) + (segment + (start 212.85 98.1875) + (end 212.85 97.2) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "188115d7-9a6f-489d-a9a4-4b55bea344bc") + ) + (segment + (start 210.66 98.1875) + (end 210.66 97.21) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "35b53bca-96f2-4f5e-b3f5-b26a8ddcf6f0") + ) + (segment + (start 212.594548 131.25) + (end 212.594548 132.7375) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "3aaf4f3c-2d75-4a57-82ce-baf66f771061") + ) + (segment + (start 211.5 118.25) + (end 210.0125 118.25) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "476e2489-6b4f-4ce4-bbbe-eac23260e29f") + ) + (segment + (start 202.5 134.2875) + (end 202.5 133.3) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "63112fbc-2bd6-41df-9074-7510984af553") + ) + (segment + (start 207.5 122.25) + (end 206 122.25) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "63c55001-fb35-4340-8799-c53a1c32b17a") + ) + (segment + (start 210.66 97.21) + (end 210.65 97.2) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "6f958f36-3c9a-43d5-9f3a-400a6cad73db") + ) + (segment + (start 204.8625 133.25) + (end 206 133.25) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "721990a7-fd8f-469b-94db-6bf0f16a67a3") + ) + (segment + (start 215.69 108.25) + (end 217 108.25) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "8586e30b-9cba-4ef1-95d8-98195c86c23e") + ) + (segment + (start 197.75 107.5) + (end 197.7875 107.5375) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "8a2d3b0e-d123-417e-accf-a2f9e2b6888e") + ) + (segment + (start 210.5375 98.31) + (end 210.66 98.1875) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "957e2fff-3b92-40aa-944f-92dd98147261") + ) + (segment + (start 205.75 101.65) + (end 207.2 101.65) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "a2a02a48-b883-4110-9124-b325394774c3") + ) + (segment + (start 218.9 126.85) + (end 218.9 125.75) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "a7481cd4-9f38-4e91-9445-cac24534321d") + ) + (segment + (start 209.4625 134.2875) + (end 208.25 135.5) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "adeeaca5-741a-4757-8760-e83ec57420e8") + ) + (segment + (start 209.5 134.2875) + (end 209.4625 134.2875) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "c5229533-6325-4374-a111-ec936084429a") + ) + (segment + (start 185 116.7625) + (end 185 118.25) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "dc6f4d68-b517-4a64-a0a9-13f3a3c81ffa") + ) + (segment + (start 197.7875 107.5375) + (end 199.25 107.5375) + (width 0.2) + (layer "F.Cu") + (net 2) + (uuid "face797c-342d-47fd-a417-9334510f91de") + ) + (via + (at 202.5 125.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "0b809aea-cbf0-4924-817f-84b98a9f9da8") + ) + (via + (at 201 122.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "13c4f41d-dbc9-4e94-986a-a6fa2f1a9774") + ) + (via + (at 200 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "144c0468-cbee-47f7-8c0c-8e99f5b68e24") + ) + (via + (at 210.65 97.3875) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "154df4b2-3e5c-42bc-a2e3-e6dd5e895d2f") + ) + (via + (at 224.3 104.725) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "17d784dd-01e6-4666-9311-4b4ef43873b4") + ) + (via + (at 217 108.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "17e209c7-8a8c-4356-b584-7c1256078377") + ) + (via + (at 201 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "1ddad52c-d9f9-475f-964f-09cb9ceb96f6") + ) + (via + (at 227.65 101.35) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "1f6a6ffc-bbc6-4512-adaa-2143bba47135") + ) + (via + (at 206 128.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "2e50d9da-328f-4220-b3a4-4ebde2610ffa") + ) + (via + (at 224.3 100.225) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "3224dcd4-5d86-4cb2-9365-59fc9b0d0a35") + ) + (via + (at 191 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "3593abf5-47a8-40fc-bb69-66c7e3ab79e0") + ) + (via + (at 200 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "39c65b0e-525c-4e0c-b69a-8b6bb18cad2a") + ) + (via + (at 199 122.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "3d1cf2d6-39d8-4d8c-9b22-ab7b48bb1859") + ) + (via + (at 187.5 110.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "4155318b-50bf-4c0a-a4f0-b35fd13ff143") + ) + (via + (at 227.65 102.45) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "4745a20b-72a0-4bf0-89dd-0f10a9b9486a") + ) + (via + (at 189 122.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "48e7cfec-58b3-4f58-94e2-2cf5fab87025") + ) + (via + (at 190 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "5263f735-effa-4867-8de3-37cdd2cd8278") + ) + (via + (at 226.5 100.225) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "545a9607-d443-487e-b9b3-f46f30d234cf") + ) + (via + (at 185 118.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "58276705-20fe-4598-90aa-9a217ad333fc") + ) + (via + (at 191 122.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "5ef92712-43e0-49bb-89e8-0c36a8e19a95") + ) + (via + (at 212.85 97.3875) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "5f7f858f-17b5-48c5-bae5-d528358e5b9b") + ) + (via + (at 225.35 100.225) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "606cc75b-b807-40d8-86eb-2681a0fcbfac") + ) + (via + (at 199 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "6399a436-8778-4219-8b32-3718dc5c0da9") + ) + (via + (at 226.5 104.725) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "69a765b8-2d21-428b-9914-f3982d44e914") + ) + (via + (at 191 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "6ea0cefe-f392-47d0-b569-a2b9d94d5356") + ) + (via + (at 190 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "6fde0f3a-e59b-495f-995f-db071dd9ed7a") + ) + (via + (at 218.9 125.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "76feb050-f1dd-4480-ac51-67c2fd65d014") + ) + (via + (at 225.35 104.725) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "7b8e21b0-27a2-4880-9dba-6c306101da2f") + ) + (via + (at 227.65 103.55) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "7c33ace1-3e82-452d-88ef-0a9f2f45c7db") + ) + (via + (at 223.1 103.55) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "9a080588-7774-415c-9ef0-9c512f2066fd") + ) + (via + (at 197.75 107.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "a199e2e2-2449-4d18-b5c1-ab31b478897e") + ) + (via + (at 212.594548 132.7375) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "a5ea7d6c-23f9-4b77-9295-75c30c087ac4") + ) + (via + (at 208.25 135.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "aac5a042-a8f4-4f6e-9f49-6fb35bdd1bd0") + ) + (via + (at 209.5 125.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "afcd856a-027f-4fa3-a958-d40d11bbaa95") + ) + (via + (at 189 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "b1e86c93-372a-4e7a-a7b0-8ee181a331d8") + ) + (via + (at 211.5 118.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "b3ffb39d-01a0-421e-bc33-dbacd6eab976") + ) + (via + (at 207.2 101.65) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "bfd1537f-cbac-484e-881d-c3d89bf62494") + ) + (via + (at 207.25 128.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "c4824e8e-1f03-4cc9-a173-e5c82b47582a") + ) + (via + (at 202.5 133.3) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "cf19e0fc-2a2e-455d-9a26-1bc4e35084f3") + ) + (via + (at 199 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "d1e2fc56-a3a9-440a-9438-f0a59acd7ba1") + ) + (via + (at 223.1 102.45) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "e33f2065-c8de-4f07-b047-9f0b7cbb20f0") + ) + (via + (at 206 122.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "e7023a88-ca61-4bd3-9878-fe5db5c18cee") + ) + (via + (at 223.1 101.35) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "e7fd2335-3699-44e2-97d0-4b14267dcad7") + ) + (via + (at 201 126.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "e8e5d0a4-9177-46af-a2e3-02c1b81b7111") + ) + (via + (at 189 124.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "f9931960-8875-40de-a8bb-096d74e06bb7") + ) + (via + (at 206 133.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 2) + (uuid "fa641f5d-9887-4141-b935-94c039c9e509") + ) + (segment + (start 215.59 96.15) + (end 215.69 96.25) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "2bc17cfe-2811-41a1-8ec3-96a5098d2d05") + ) + (segment + (start 208.65 96.15) + (end 215.59 96.15) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "e78dfb95-d911-47d1-bc83-c73197c87c89") + ) + (segment + (start 208.5 96.3) + (end 208.65 96.15) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "fd9a3baf-75c4-4d59-be56-6601ab2b6f76") + ) + (segment + (start 228.05 129.200001) + (end 228.05 128.75) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "08876091-4bc5-404a-a323-ba861aeeb930") + ) + (segment + (start 220.919548 132.450001) + (end 221.076648 132.607101) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "0f2eba24-4f21-4591-b7ef-48f5518547ce") + ) + (segment + (start 228.05 128.75) + (end 228.05 128.299999) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "1cffadad-896f-45aa-9c75-08eb15f64fdc") + ) + (segment + (start 222 133.9179) + (end 225.639096 133.9179) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "2ae04828-3d98-4253-941b-fd0bfedc26b9") + ) + (segment + (start 227.6679 126.069548) + (end 229.1679 124.569548) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "3e237f96-0888-47d6-8542-f887bd1c58ab") + ) + (segment + (start 229.9 113.85) + (end 230 113.75) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "7dc4776e-34a6-4c7c-8765-e7b8f8e909c5") + ) + (segment + (start 229.9 115.75) + (end 229.9 113.85) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "7dca67b6-804d-42a3-b6e8-9e3dd41cb38d") + ) + (segment + (start 227.6679 127.917899) + (end 227.6679 126.069548) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "7e6b969a-3e76-41bc-9b12-91aaa484ae43") + ) + (segment + (start 229.1679 117.569601) + (end 229.9 116.837501) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "ae79534a-011d-4a16-a4f5-2283aaa65860") + ) + (segment + (start 229.9 116.837501) + (end 229.9 115.75) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "b2ada6dd-ba55-48fa-8de0-6ad66b0ad10b") + ) + (segment + (start 225.639096 133.9179) + (end 227.6679 131.889096) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "c370538a-35e1-4b47-8ac1-80b35bd07eec") + ) + (segment + (start 227.6679 129.582101) + (end 228.05 129.200001) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "cc04b0a7-abb2-4dc9-9a14-c6baef9e588c") + ) + (segment + (start 229.1679 124.569548) + (end 229.1679 117.569601) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "ce9572cb-5fc7-4e39-95a0-e06a6136a03d") + ) + (segment + (start 227.6679 131.889096) + (end 227.6679 129.582101) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "cf56397f-a185-429d-8abb-0263726aabe6") + ) + (segment + (start 220.919548 131.475) + (end 220.919548 132.450001) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "da9ff486-cc97-43d9-9393-b8b3e4d57a58") + ) + (segment + (start 221.076648 132.607101) + (end 221.076648 132.994548) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "e5829a81-97dc-4e1a-ab82-7e708c428986") + ) + (segment + (start 228.05 128.299999) + (end 227.6679 127.917899) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "ebd34506-f26e-43f3-99f5-c52976996465") + ) + (segment + (start 221.076648 132.994548) + (end 222 133.9179) + (width 0.2088) + (layer "F.Cu") + (net 4) + (uuid "f57c9c9b-9fd0-42d9-9da7-bc0936c002fd") + ) + (segment + (start 228.8321 124.430452) + (end 228.8321 117.569601) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "0648eb75-9578-4382-a3a0-36b1155b57f6") + ) + (segment + (start 221.412448 132.607101) + (end 221.412448 132.855452) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "08fdd3a3-56d1-4331-aa18-e0c14a21a77b") + ) + (segment + (start 225.5 133.5821) + (end 227.3321 131.75) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "0a1322a2-f679-47f2-97cd-5080f5e9722c") + ) + (segment + (start 226.95 129.200001) + (end 226.95 128.75) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "0b24ff78-0a58-4721-b742-e731082adb51") + ) + (segment + (start 227.3321 129.582101) + (end 226.95 129.200001) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "0b96e9b5-4a42-4901-a990-f4f422c8fe6a") + ) + (segment + (start 228.1 115.75) + (end 228.1 113.85) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "0f72769a-b3fc-4e76-97c1-a23b9e11dda6") + ) + (segment + (start 228.1 113.85) + (end 228 113.75) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "187593dc-3c63-4823-bec5-b50ec59ab5f1") + ) + (segment + (start 227.3321 131.75) + (end 227.3321 129.582101) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "4b93cd30-f45e-4c52-8329-ab95c2fb6798") + ) + (segment + (start 226.95 128.299999) + (end 227.3321 127.917899) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "4dc03ab1-f1be-4552-83ca-3946d63004ba") + ) + (segment + (start 226.95 128.75) + (end 226.95 128.299999) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "8c5475a2-24de-4855-b012-0375007e9fdb") + ) + (segment + (start 221.569548 131.475) + (end 221.569548 132.450001) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "bbd4a179-9845-468d-8478-deb25dba48d3") + ) + (segment + (start 221.569548 132.450001) + (end 221.412448 132.607101) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "c882feba-2daf-497d-8290-75d5bcf191b1") + ) + (segment + (start 227.3321 125.930452) + (end 228.8321 124.430452) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "ccbc223d-5497-4ad7-b0ac-02f07865ffbc") + ) + (segment + (start 227.3321 127.917899) + (end 227.3321 125.930452) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "d402fedc-d087-48cb-b817-8b3b1178146d") + ) + (segment + (start 222.139096 133.5821) + (end 225.5 133.5821) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "d7a40bde-fc94-42b4-bef1-18808241150d") + ) + (segment + (start 221.412448 132.855452) + (end 222.139096 133.5821) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "e0d956f4-6b2a-4d17-abcc-351fd7a14aa8") + ) + (segment + (start 228.1 116.837501) + (end 228.1 115.75) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "e224dc38-20b1-4c0b-9681-69acae968b17") + ) + (segment + (start 228.8321 117.569601) + (end 228.1 116.837501) + (width 0.2088) + (layer "F.Cu") + (net 5) + (uuid "f8cbff19-9169-4035-af38-1bb1e0cbb504") + ) + (via + (at 208 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 6) + (uuid "2d0955b7-5981-4304-8924-be374e735b48") + ) + (via + (at 210 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 6) + (uuid "65dcff8b-8d06-4bf2-b996-14429fa62ac0") + ) + (via + (at 207 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 6) + (uuid "a371d468-0031-4bd6-ba40-a34d71ff861b") + ) + (via + (at 209 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 6) + (uuid "bcbc9fc0-2d63-4095-be0c-5292b94dbdbe") + ) + (segment + (start 202.5 128.7875) + (end 202.9125 129.2) + (width 0.4) + (layer "F.Cu") + (net 7) + (uuid "9c9fdac6-a229-4a7a-846a-804118e20e64") + ) + (via + (at 204 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 7) + (uuid "0598ff20-e404-4e1c-a22b-22cb8acc0d77") + ) + (via + (at 203 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 7) + (uuid "5b53df51-162a-4af8-a082-c9aff0e2cdfe") + ) + (via + (at 202 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 7) + (uuid "c41e70bd-581f-4584-a133-18e8fba87900") + ) + (via + (at 205 130.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 7) + (uuid "e1af77cd-3145-4d7b-95a4-00c5a28ad60b") + ) + (segment + (start 212.9875 118.25) + (end 212.9875 119.4875) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "05811021-5d7f-4876-9c7e-1cebbe38d910") + ) + (segment + (start 213 119.5) + (end 213 122) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "819bbede-878d-45c8-89bf-c325e64160e0") + ) + (segment + (start 212.9875 119.4875) + (end 213 119.5) + (width 0.2) + (layer "F.Cu") + (net 8) + (uuid "feed44b2-fbf1-4b4c-aa06-4fed543b720c") + ) + (segment + (start 213.475 133.75) + (end 213 134.225) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "8e954b92-1181-41fe-a409-9807f0282dd5") + ) + (segment + (start 215.5 133.75) + (end 213.475 133.75) + (width 0.2) + (layer "F.Cu") + (net 9) + (uuid "ed1e1c37-119e-4f7c-9390-d9c211b7d815") + ) + (segment + (start 187.5 119.25) + (end 187 119.25) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "1d903786-4d70-4021-a7dd-4d51072b04e9") + ) + (segment + (start 187 119.25) + (end 186.5125 119.7375) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "5c3bb294-a2bd-4a72-abdc-ea58a7cd27f9") + ) + (segment + (start 186.5125 119.7375) + (end 185 119.7375) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "c69f9b3c-363f-4554-9c59-db9dc0541559") + ) + (segment + (start 204.5 122.25) + (end 204.5 120.2375) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "02a776e7-8b24-4e73-b7ed-fc6c73d1dd38") + ) + (segment + (start 220.269548 130.319548) + (end 218.9 128.95) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "1ab48e9e-fe59-4975-be4f-54b7940d7462") + ) + (segment + (start 216.4 128.8) + (end 218.75 128.8) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "1c2a092c-7e5b-417b-932c-ea386266da1e") + ) + (segment + (start 216.4 130.85) + (end 215.5 131.75) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "8ff7dafa-7a91-4b4e-b376-c4c4250a9e1c") + ) + (segment + (start 216.4 128.8) + (end 216.4 130.85) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "a15deb4a-a5f7-4eea-8a7e-6bc86aaf9e6c") + ) + (segment + (start 218.75 128.8) + (end 218.9 128.95) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "d20d8e9e-bac4-4d29-b437-94e6c71c5a8e") + ) + (segment + (start 220.269548 131.475) + (end 220.269548 130.319548) + (width 0.5) + (layer "F.Cu") + (net 12) + (uuid "dc34a5db-8662-43b8-ab41-c389049dd6fe") + ) + (segment + (start 215.4 106.75) + (end 215.69 106.75) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "0e1a89c8-3b00-4243-bb3e-89a20b7922b1") + ) + (segment + (start 214 108.15) + (end 215.4 106.75) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "3d9a3259-b2fa-437c-912f-88b6533eb187") + ) + (segment + (start 216.25 111.5) + (end 222.75 111.5) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "3ebee5bd-5850-443d-9521-3de061f8bb04") + ) + (segment + (start 214 109.25) + (end 214 108.15) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "5d6e59ca-fff3-45b5-82c5-69cb2c7d5b51") + ) + (segment + (start 216.25 111.5) + (end 214 109.25) + (width 0.2) + (layer "F.Cu") + (net 13) + (uuid "879c78a6-221f-4fac-ae73-87991ebd8f0c") + ) + (segment + (start 185.735 112.765) + (end 186 112.5) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "0880b7b8-24db-4fb0-829f-45cf2cd9d176") + ) + (segment + (start 233.19 96.25) + (end 231.25 96.25) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "a44fd57f-d556-44a4-9da7-ec9578829eec") + ) + (segment + (start 182 112.765) + (end 185.735 112.765) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "b5910285-736c-43c4-9ff0-a71623fe7587") + ) + (segment + (start 186 112.5) + (end 187.5 112.5) + (width 0.2) + (layer "F.Cu") + (net 15) + (uuid "c39ecdbc-9d89-49b8-9fda-c1ec86ecc5f9") + ) + (via + (at 187.5 112.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 15) + (uuid "048199d8-b372-4b89-bca5-fbb9c84b4a2e") + ) + (via + (at 231.25 96.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 15) + (uuid "f4ac3395-b5f1-4538-9041-80e0399dabe1") + ) + (segment + (start 218.5 109.25) + (end 191.5 109.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "2229677a-7c8e-42be-b547-833d8bc8c061") + ) + (segment + (start 190.75 109.25) + (end 191.5 109.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "26af9a02-b037-4839-b040-5695d20908d9") + ) + (segment + (start 187.5 112.5) + (end 190.75 109.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "405bd876-15d6-421f-a886-b59a001f6604") + ) + (segment + (start 219.5 108.25) + (end 218.5 109.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "5bc752ab-a9ab-4e1b-a352-f3763dddec72") + ) + (segment + (start 223 96.25) + (end 219.5 99.75) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "882030b1-fdb1-44e0-9f6d-81a2d4c4bffd") + ) + (segment + (start 231.25 96.25) + (end 223 96.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "8a91e66d-00ef-4b9f-8c88-3f29376785c0") + ) + (segment + (start 219.5 99.75) + (end 219.5 108.25) + (width 0.2) + (layer "B.Cu") + (net 15) + (uuid "cc0e1178-5135-406b-95d6-d897d721b5cd") + ) + (segment + (start 221.5 100.75) + (end 221.5 106.75) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "0ad8f2ed-754b-42cf-a27d-77f1d02d9d12") + ) + (segment + (start 224.5 109.75) + (end 224.5 112.25) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "3dd038bf-2b24-4fab-9fab-10b7829c8a71") + ) + (segment + (start 233.19 99.25) + (end 223 99.25) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "5d28e614-e29e-4cc1-8cba-1598a5e6d6af") + ) + (segment + (start 223 113.75) + (end 192.25 113.75) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "97a37ee0-1778-4f8e-9cda-f278078f41c7") + ) + (segment + (start 221.5 106.75) + (end 224.5 109.75) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "dc81842d-6f08-4aa7-ab34-8888f9c3a1f1") + ) + (segment + (start 224.5 112.25) + (end 223 113.75) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "ddb4cad3-a8cd-4f7f-8706-f974ee036531") + ) + (segment + (start 223 99.25) + (end 221.5 100.75) + (width 0.2) + (layer "F.Cu") + (net 16) + (uuid "f3857dd6-bf6c-4494-8460-ba9155eee306") + ) + (segment + (start 220.5 121) + (end 220.5 116) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "0fc786f8-f20a-4d12-a9ed-5a96f677761e") + ) + (segment + (start 219.5 122) + (end 220.5 121) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "2ff9f58f-6495-499d-ae80-f5b862240d6f") + ) + (segment + (start 215 122) + (end 219.5 122) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "3a5edaf9-667c-46ba-9621-fdadc4fc278b") + ) + (segment + (start 231.25 97.75) + (end 233.19 97.75) + (width 0.2) + (layer "F.Cu") + (net 17) + (uuid "df90adcf-fd9f-498d-97c5-9238fdb16125") + ) + (via + (at 220.5 116) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 17) + (uuid "00a72735-7849-4556-ba22-5979128b01a3") + ) + (via + (at 231.25 97.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 17) + (uuid "3f4f2a2f-bccb-45cd-844e-72351a62b318") + ) + (segment + (start 220.5 99.75) + (end 221.25 99) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "1edb1fdc-11cb-4e05-b4be-757ef41666ff") + ) + (segment + (start 222.5 97.75) + (end 227.75 97.75) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "2b4742a5-6b79-4c77-b17c-9342600d4b52") + ) + (segment + (start 220.5 116) + (end 220.5 99.75) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "701a3321-0502-4fbe-92c9-59f69d04102b") + ) + (segment + (start 221.25 99) + (end 222.5 97.75) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "83b58858-6a6e-4b91-a1db-666b375b8b9e") + ) + (segment + (start 227.75 97.75) + (end 230.75 97.75) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "9a4b83ad-9abc-4cdb-ae06-547e043e0bc1") + ) + (segment + (start 230.75 97.75) + (end 231.25 97.75) + (width 0.2) + (layer "B.Cu") + (net 17) + (uuid "d3c91a4c-a348-42cd-b842-b22742076011") + ) + (segment + (start 204.5 126.9375) + (end 204.5 124.25) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "6085f1e3-242c-4010-a94a-950d6cd859cd") + ) + (segment + (start 204.8625 127.3) + (end 204.5 126.9375) + (width 0.2) + (layer "F.Cu") + (net 18) + (uuid "9fa6ae6d-a54c-4c9e-8e5c-a7b60a32bb09") + ) + (segment + (start 207.5 126.9375) + (end 207.5 124.25) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "aab42ddb-7fe9-4cc6-b9a0-e8741ce03401") + ) + (segment + (start 207.1375 127.3) + (end 207.5 126.9375) + (width 0.2) + (layer "F.Cu") + (net 19) + (uuid "fb0e63ad-d0b3-4bc1-8578-23fa782bd8bb") + ) + (segment + (start 213 110.75) + (end 210.5 113.25) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "0bc5d033-07ea-46bc-a046-3cf4b97f6813") + ) + (segment + (start 215.69 105.25) + (end 215.39 105.25) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "0f4fbd77-1088-4d5a-a779-33e1908a3b8d") + ) + (segment + (start 210.5 113.25) + (end 193.75 113.25) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "6420302a-ca98-42ab-80f6-44c9867ea3d8") + ) + (segment + (start 213 107.64) + (end 213 110.75) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "827b27d2-e42c-49ee-a96e-11f5d524e727") + ) + (segment + (start 215.39 105.25) + (end 213 107.64) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "aa5d5bbd-a324-4873-abd6-ec0b87bd5964") + ) + (segment + (start 193.75 113.25) + (end 192.25 111.75) + (width 0.2) + (layer "F.Cu") + (net 20) + (uuid "f42f5b39-6aa4-499a-8372-6cce1a8536db") + ) + (segment + (start 204.661105 103.75) + (end 204.661105 103.543094) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "10860872-0c95-478b-8c27-361bf611c95b") + ) + (segment + (start 202.059427 103.75) + (end 201.901105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "1e152e27-0966-4381-bbf6-669145f2c42d") + ) + (segment + (start 203.2542 103.336189) + (end 203.06801 103.336189) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "376dc2d6-829e-40c9-a749-7a3a7be0ae2f") + ) + (segment + (start 205.097755 104.15335) + (end 204.86278 104.15335) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "41bacb33-220d-49dd-825a-ea2f4f0497e6") + ) + (segment + (start 204.4542 103.336189) + (end 204.26801 103.336189) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "43f3249e-a448-466a-988b-126c833a5661") + ) + (segment + (start 204.061105 103.543094) + (end 204.061105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "47da4928-9f66-464b-bc7e-0e32f7aaf03c") + ) + (segment + (start 203.85419 104.16383) + (end 203.66802 104.16383) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "5a294489-f80d-47ef-8660-7a7b658bf36c") + ) + (segment + (start 203.461105 103.956915) + (end 203.461105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "5b822ea4-efe1-48db-b6c9-a46312a14842") + ) + (segment + (start 204.661105 103.951675) + (end 204.661105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "5ca0b4ba-f585-4b4d-ae61-8265953f91ad") + ) + (segment + (start 201.332891 103.75) + (end 197.9 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "61e130f1-deb2-4891-ba65-cb6f06e39d99") + ) + (segment + (start 204.061105 103.75) + (end 204.061105 103.956915) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "7d3b4d45-1bc2-42af-b7a4-56520503bce5") + ) + (segment + (start 202.659427 104.153356) + (end 202.462783 104.153356) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "8b6358ec-53e1-44bb-81a2-63a134851594") + ) + (segment + (start 202.861105 103.75) + (end 202.861105 103.951678) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "8e912254-60e0-48bc-9fa9-75f8815f5e66") + ) + (segment + (start 197.9 103.75) + (end 197.75 103.6) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "91782e98-32fc-4667-a65b-fe36cf700189") + ) + (segment + (start 215.69 103.75) + (end 205.501105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "a6c9230b-4822-40ee-bce0-058610733fe2") + ) + (segment + (start 201.901105 103.75) + (end 201.332891 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "ca31a350-608c-4005-ae15-26bbcc3c2b69") + ) + (segment + (start 197.75 103.6) + (end 188.85 103.6) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "de3db533-71a7-4570-b48b-27e579addf9b") + ) + (segment + (start 188.85 103.6) + (end 188.75 103.7) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "df2594ce-ebc8-414f-8d39-aaff4d0c885c") + ) + (segment + (start 203.461105 103.75) + (end 203.461105 103.543094) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "e6c1b768-ace3-4b1c-94bf-f949656a4b95") + ) + (segment + (start 202.861105 103.543094) + (end 202.861105 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "eeab3b9d-56cb-4adf-9e02-cce52b169761") + ) + (arc + (start 202.261105 103.951678) + (mid 202.202035 103.80907) + (end 202.059427 103.75) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "06930410-069a-453a-9508-440706603843") + ) + (arc + (start 203.06801 103.336189) + (mid 202.921706 103.39679) + (end 202.861105 103.543094) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "141b5ff2-9594-4730-a27b-0e5c2b03a6f1") + ) + (arc + (start 202.861105 103.951678) + (mid 202.802035 104.094286) + (end 202.659427 104.153356) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "1c971254-f1ef-4617-958e-9e0d2164690d") + ) + (arc + (start 202.462783 104.153356) + (mid 202.320175 104.094286) + (end 202.261105 103.951678) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "20ecec4d-ca4e-48d1-9cfc-a598e4f284e3") + ) + (arc + (start 204.061105 103.956915) + (mid 204.000501 104.103226) + (end 203.85419 104.16383) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "25f8cd34-775d-47c2-a87d-7bf903d2edb4") + ) + (arc + (start 205.501105 103.75) + (mid 205.358499 103.809069) + (end 205.29943 103.951675) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "2b70b6e9-f55a-44e8-8283-4e9c53a60f95") + ) + (arc + (start 204.26801 103.336189) + (mid 204.121706 103.39679) + (end 204.061105 103.543094) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "90817e95-d101-448a-9be1-3b7c57ae0e1f") + ) + (arc + (start 203.66802 104.16383) + (mid 203.521709 104.103226) + (end 203.461105 103.956915) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "957e5158-f69e-49bb-b84e-e24bfc384569") + ) + (arc + (start 203.461105 103.543094) + (mid 203.400504 103.39679) + (end 203.2542 103.336189) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "9a32820b-f466-4f25-9dc8-1f6b29a03076") + ) + (arc + (start 204.86278 104.15335) + (mid 204.720174 104.094281) + (end 204.661105 103.951675) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "b00eb42b-3779-4a01-a1f7-5f522422c63c") + ) + (arc + (start 204.661105 103.543094) + (mid 204.600504 103.39679) + (end 204.4542 103.336189) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "dcaedb57-c9b4-4865-af11-d7da25c52210") + ) + (arc + (start 205.29943 103.951675) + (mid 205.240361 104.094281) + (end 205.097755 104.15335) + (width 0.2) + (layer "F.Cu") + (net 21) + (uuid "f46be9dd-b191-4366-8f84-718c468966d1") + ) + (segment + (start 201.385747 102.5) + (end 215.44 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "044e5ddb-191d-43a5-ab24-9904a82b44d0") + ) + (segment + (start 191.091864 101.65) + (end 190.355859 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "1039807e-9170-40c5-ac1d-2ab1724d0a56") + ) + (segment + (start 192.651864 101.883147) + (end 192.651864 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "1c31168b-df03-4b95-9a07-e59fec25ba36") + ) + (segment + (start 191.218747 101.65) + (end 191.091864 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "1d112970-c354-4f5c-95a9-7d6ce2647220") + ) + (segment + (start 195.75 101.65) + (end 196.6 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "2608741c-af24-4e57-a939-4df6ecbfe516") + ) + (segment + (start 192.051864 101.415943) + (end 192.051864 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "432b49d9-21b9-4cfb-9710-ae8f7bdd94ca") + ) + (segment + (start 200.690172 102.5) + (end 200.810172 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "53d9ddaa-81a1-4bf9-ac35-47f956bec492") + ) + (segment + (start 200.090172 101.960352) + (end 200.210172 101.960352) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "631510f4-2039-41b8-bcd0-87bddb06fc87") + ) + (segment + (start 192.051864 101.65) + (end 192.051864 101.883117) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "64984e44-8064-45d5-a6aa-8435b07e7878") + ) + (segment + (start 200.810172 102.5) + (end 201.385747 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "652a928a-0485-4689-8fc7-4c7c7b4f98df") + ) + (segment + (start 191.818747 102.116234) + (end 191.684981 102.116234) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "7cb89381-0c53-4d3b-a352-95c71fbcd2fd") + ) + (segment + (start 215.44 102.5) + (end 215.69 102.25) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "8167c2d0-2006-49b2-bf73-8a2fabf35a15") + ) + (segment + (start 199.850172 102.26) + (end 199.850172 102.200352) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "84f651a8-2288-42a4-b51c-19fcb8fc2dab") + ) + (segment + (start 196.6 102.5) + (end 199.610172 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "86fa80ab-329a-4d33-a6dd-74f713828390") + ) + (segment + (start 192.417807 101.181886) + (end 192.285921 101.181886) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "8e6b6823-e2ec-4981-97d7-2e02bcce8e11") + ) + (segment + (start 188.8 101.65) + (end 188.75 101.7) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "9544d638-fe13-4e1a-9b66-0ddecf02e18d") + ) + (segment + (start 192.651864 101.65) + (end 192.651864 101.415943) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "9d5c2c8c-6be1-46cf-8247-6947cb963aa6") + ) + (segment + (start 195.75 101.65) + (end 193.491864 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "b8fdaa75-d9b4-4077-be1f-6433128e9ec1") + ) + (segment + (start 193.02557 102.116294) + (end 192.885011 102.116294) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "daf9c7de-bb45-46a2-a262-c3f8af082e5b") + ) + (segment + (start 200.450172 102.200352) + (end 200.450172 102.26) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "e7ff0335-b3dc-4145-84a7-726525f53d73") + ) + (segment + (start 190.355859 101.65) + (end 188.8 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "ebaaf0ab-be07-4e17-a283-b6a734f55335") + ) + (arc + (start 199.610172 102.5) + (mid 199.779878 102.429706) + (end 199.850172 102.26) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "0c093642-6ea7-4080-80c3-938e38bb64c4") + ) + (arc + (start 199.850172 102.200352) + (mid 199.920466 102.030646) + (end 200.090172 101.960352) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "2a849540-6f8a-47ac-8719-c499ced9b004") + ) + (arc + (start 193.258717 101.883147) + (mid 193.19043 102.048007) + (end 193.02557 102.116294) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "5fc7921a-7317-4fe5-b0b8-1ce40945d89d") + ) + (arc + (start 192.651864 101.415943) + (mid 192.58331 101.25044) + (end 192.417807 101.181886) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "61d896f4-7f6f-49ee-a2c6-976f7273bc9f") + ) + (arc + (start 191.451864 101.883117) + (mid 191.383586 101.718278) + (end 191.218747 101.65) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "784d52c0-0c1d-43a4-81bd-9e66cca56bfc") + ) + (arc + (start 200.450172 102.26) + (mid 200.520466 102.429706) + (end 200.690172 102.5) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "84d2e5f0-280e-4717-94ec-a523af90d120") + ) + (arc + (start 192.285921 101.181886) + (mid 192.120418 101.25044) + (end 192.051864 101.415943) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "88909dce-8e70-4f26-9bdb-8b92cf81584d") + ) + (arc + (start 192.051864 101.883117) + (mid 191.983586 102.047956) + (end 191.818747 102.116234) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "a2a17318-1bfc-4a0b-b212-7f57dbf95ea2") + ) + (arc + (start 191.684981 102.116234) + (mid 191.520142 102.047956) + (end 191.451864 101.883117) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "a718b382-cef7-4269-9ea8-44f8cf0d429d") + ) + (arc + (start 193.491864 101.65) + (mid 193.327004 101.718287) + (end 193.258717 101.883147) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "ae1858c6-400c-41ba-b16c-296fbe342255") + ) + (arc + (start 192.885011 102.116294) + (mid 192.720151 102.048007) + (end 192.651864 101.883147) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "bd05d96d-70ee-4218-b22f-087d686720f6") + ) + (arc + (start 200.210172 101.960352) + (mid 200.379878 102.030646) + (end 200.450172 102.200352) + (width 0.2) + (layer "F.Cu") + (net 24) + (uuid "f452bfeb-db97-4013-bbde-b3e61138b2d8") + ) + (segment + (start 212.423 102.077) + (end 213.75 100.75) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "067f8b85-f96b-4979-acfb-affa6d2821c5") + ) + (segment + (start 213.75 100.75) + (end 215.69 100.75) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "1e71a075-84eb-4eee-8c68-70f7341c37f6") + ) + (segment + (start 205.75 99.65) + (end 202.25 99.65) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "83608633-5c59-43d6-bb6d-8bff8e6adb79") + ) + (segment + (start 206.15 99.65) + (end 208.577 102.077) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "83862fcb-5aa8-469d-a947-e48907d76e3f") + ) + (segment + (start 202.25 99.65) + (end 202.2 99.7) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "9f8cbf44-5da4-4dbd-8070-81ebf18c6b2a") + ) + (segment + (start 205.75 99.65) + (end 206.15 99.65) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "b29e025e-1c33-4938-b592-89eb7c300d79") + ) + (segment + (start 208.577 102.077) + (end 212.423 102.077) + (width 0.2) + (layer "F.Cu") + (net 25) + (uuid "f72046c0-4771-4734-a9fa-fc88d77b139f") + ) + (segment + (start 232.064999 103.75) + (end 233.19 103.75) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "5a1282f5-6b53-40ea-9536-5514b6c24006") + ) + (segment + (start 230 110.699999) + (end 229.1679 109.867899) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "667d2823-ce00-4c5d-899c-0e32afb38ea5") + ) + (segment + (start 229.1679 109.867899) + (end 229.1679 104.319548) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "84d0e9db-9962-4a38-a87a-939ceaa7e0ce") + ) + (segment + (start 231.482899 103.1679) + (end 232.064999 103.75) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "89ee9277-7609-4de0-abdc-8dab84f809db") + ) + (segment + (start 230 111.75) + (end 230 110.699999) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "cc109fd2-f646-4ab0-9d8e-574a1bbc4a90") + ) + (segment + (start 229.1679 104.319548) + (end 230.319548 103.1679) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "e15b03ad-30f1-453d-9439-a2a94cfba319") + ) + (segment + (start 230.319548 103.1679) + (end 231.482899 103.1679) + (width 0.2088) + (layer "F.Cu") + (net 30) + (uuid "ed0154f0-5d50-4602-8bae-2affb54a6ade") + ) + (segment + (start 228.8321 109.867899) + (end 228.8321 104.180452) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "1ec843a3-f787-4536-b3c4-5e21d3110f7c") + ) + (segment + (start 232.064999 102.25) + (end 233.19 102.25) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "62f7d89a-73f3-4055-ba20-0b01f1725314") + ) + (segment + (start 231.482899 102.8321) + (end 232.064999 102.25) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "8c744307-60f2-42d3-aec4-7ff571400f47") + ) + (segment + (start 230.180452 102.8321) + (end 231.482899 102.8321) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "95f38128-0448-4b9a-b1ad-41477411ff7c") + ) + (segment + (start 228 111.75) + (end 228 110.699999) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "b41757a3-77d0-46e5-b0d6-957e4eb01c74") + ) + (segment + (start 228.8321 104.180452) + (end 230.180452 102.8321) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "d09412c3-42c4-4d87-862a-7bb9b6350ecb") + ) + (segment + (start 228 110.699999) + (end 228.8321 109.867899) + (width 0.2088) + (layer "F.Cu") + (net 31) + (uuid "e6cb8129-05d7-448b-922e-e5ce7b7ff1ce") + ) + (segment + (start 202 106.25) + (end 208.5 106.25) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "053117a0-bc35-48fe-9780-0b4305e6989a") + ) + (segment + (start 199.25 105.4625) + (end 201.2125 105.4625) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "15260148-f5a5-496b-aae2-b2901f31ea8b") + ) + (segment + (start 209.75 107.5) + (end 208.5 106.25) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "664b3463-3654-4566-bbe0-1aa35a8fb47f") + ) + (segment + (start 211.25 107.5) + (end 209.75 107.5) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "6c6add30-af94-43a7-b748-828d66c221f2") + ) + (segment + (start 201.2125 105.4625) + (end 202 106.25) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "7092f1df-00d7-43ae-baf1-3643a38825ad") + ) + (segment + (start 203.0625 136) + (end 204.8625 134.2) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "122efc45-330c-4988-b8ad-ce91f59c49fb") + ) + (segment + (start 196.3325 134) + (end 200.25 134) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "3071d49c-de76-482f-b2bd-24a2437af822") + ) + (segment + (start 202.25 136) + (end 203.0625 136) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "5f859acc-452a-410e-9a43-313d23c5888e") + ) + (segment + (start 194 131.6675) + (end 196.3325 134) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "ac4dbb04-418a-4573-a5e6-8b2a93086afa") + ) + (segment + (start 200.25 134) + (end 202.25 136) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "fc27cd2a-09d4-4144-95bb-9a212bfd38f9") + ) + (zone + (net 7) + (net_name "VBAT") + (layer "F.Cu") + (uuid "4cba2a9e-2c73-4639-b2ad-fdbdc35927af") + (name "VBAT") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.25) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.25) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 186 128) (xy 205.5 128) (xy 205.5 132.75) (xy 196 132.75) (xy 196 129.75) (xy 179.5 129.75) + (xy 179.5 125.75) (xy 186 125.75) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 181.67771 125.769685) (xy 181.723465 125.822489) (xy 181.726853 125.830666) (xy 181.731203 125.842329) + (xy 181.731206 125.842335) (xy 181.817452 125.957544) (xy 181.817455 125.957547) (xy 181.932664 126.043793) + (xy 181.932671 126.043797) (xy 182.067517 126.094091) (xy 182.067516 126.094091) (xy 182.074444 126.094835) + (xy 182.127127 126.1005) (xy 183.472872 126.100499) (xy 183.532483 126.094091) (xy 183.667331 126.043796) + (xy 183.782546 125.957546) (xy 183.868796 125.842331) (xy 183.873147 125.830666) (xy 183.915019 125.774733) + (xy 183.980483 125.750316) (xy 183.989329 125.75) (xy 185.448638 125.75) (xy 185.515677 125.769685) + (xy 185.536319 125.786319) (xy 185.963681 126.213681) (xy 185.997166 126.275004) (xy 186 126.301362) + (xy 186 127.5) (xy 186.5 128) (xy 201.212306 128) (xy 201.279345 128.019685) (xy 201.299987 128.036319) + (xy 201.408015 128.144347) (xy 201.428365 128.162626) (xy 201.428371 128.162631) (xy 201.428379 128.162638) + (xy 201.449 128.179255) (xy 201.449002 128.179256) (xy 201.449007 128.17926) (xy 201.471998 128.191286) + (xy 201.522276 128.239801) (xy 201.538344 128.307798) (xy 201.532691 128.334952) (xy 201.533187 128.33507) + (xy 201.531401 128.342627) (xy 201.525 128.402155) (xy 201.525 128.5375) (xy 202.626 128.5375) (xy 202.693039 128.557185) + (xy 202.738794 128.609989) (xy 202.75 128.6615) (xy 202.75 129.625) (xy 203.022828 129.625) (xy 203.022844 129.624999) + (xy 203.082372 129.618598) (xy 203.082379 129.618596) (xy 203.217086 129.568354) (xy 203.217093 129.56835) + (xy 203.332187 129.48219) (xy 203.33219 129.482187) (xy 203.356285 129.45) (xy 203.960851 129.45) + (xy 203.964833 129.475143) (xy 204.02236 129.588046) (xy 204.022363 129.58805) (xy 204.111949 129.677636) + (xy 204.111953 129.677639) (xy 204.224855 129.735166) (xy 204.318514 129.749999) (xy 204.612499 129.749999) + (xy 204.6125 129.749998) (xy 204.6125 129.45) (xy 203.960851 129.45) (xy 203.356285 129.45) (xy 203.41835 129.367093) + (xy 203.418354 129.367086) (xy 203.468596 129.232379) (xy 203.468598 129.232372) (xy 203.474999 129.172844) + (xy 203.475 129.172827) (xy 203.475 129.007909) (xy 203.494685 128.94087) (xy 203.547489 128.895115) + (xy 203.616647 128.885171) (xy 203.676529 128.912258) (xy 203.677072 128.911585) (xy 203.699 128.929255) + (xy 203.699002 128.929256) (xy 203.699007 128.92926) (xy 203.787338 128.975465) (xy 203.854377 128.99515) + (xy 203.854381 128.99515) (xy 203.854383 128.995151) (xy 203.866014 128.996823) (xy 203.926362 129.0055) + (xy 203.926363 129.0055) (xy 204.293681 129.0055) (xy 204.309204 129.005052) (xy 204.312781 129.005) + (xy 204.9885 129.005) (xy 205.055539 129.024685) (xy 205.101294 129.077489) (xy 205.1125 129.129) + (xy 205.1125 129.749999) (xy 205.376 129.749999) (xy 205.443039 129.769684) (xy 205.488794 129.822488) + (xy 205.5 129.873999) (xy 205.5 131.626) (xy 205.480315 131.693039) (xy 205.427511 131.738794) (xy 205.376 131.75) + (xy 205.1125 131.75) (xy 205.1125 132.426) (xy 205.092815 132.493039) (xy 205.040011 132.538794) + (xy 204.9885 132.55) (xy 203.960851 132.55) (xy 203.964834 132.575146) (xy 203.967849 132.584424) + (xy 203.965001 132.585349) (xy 203.974957 132.638389) (xy 203.948672 132.703126) (xy 203.891561 132.743377) + (xy 203.851577 132.75) (xy 203.596686 132.75) (xy 203.529647 132.730315) (xy 203.483892 132.677511) + (xy 203.473397 132.612743) (xy 203.474999 132.597841) (xy 203.475 132.597827) (xy 203.475 132.4625) + (xy 201.525 132.4625) (xy 201.525 132.597841) (xy 201.526603 132.612743) (xy 201.514199 132.681503) + (xy 201.466589 132.732641) (xy 201.403314 132.75) (xy 198.702704 132.75) (xy 198.635665 132.730315) + (xy 198.58991 132.677511) (xy 198.57953 132.611711) (xy 198.580686 132.60174) (xy 198.088585 132.109637) + (xy 198.173694 132.086833) (xy 198.276306 132.02759) (xy 198.36009 131.943806) (xy 198.419333 131.841194) + (xy 198.442138 131.756085) (xy 198.937465 132.251412) (xy 198.939247 132.249053) (xy 198.939248 132.249051) + (xy 199.030113 132.066569) (xy 199.030116 132.066563) (xy 199.034829 132.05) (xy 203.960851 132.05) + (xy 204.6125 132.05) (xy 204.6125 131.75) (xy 204.31852 131.75) (xy 204.22485 131.764835) (xy 204.224844 131.764837) + (xy 204.111958 131.822356) (xy 204.111949 131.822363) (xy 204.022363 131.911949) (xy 204.02236 131.911953) + (xy 203.964833 132.024856) (xy 203.960851 132.05) (xy 199.034829 132.05) (xy 199.059724 131.9625) + (xy 201.525 131.9625) (xy 202.25 131.9625) (xy 202.25 131.375) (xy 202.75 131.375) (xy 202.75 131.9625) + (xy 203.475 131.9625) (xy 203.475 131.827172) (xy 203.474999 131.827155) (xy 203.468598 131.767627) + (xy 203.468596 131.76762) (xy 203.418354 131.632913) (xy 203.41835 131.632906) (xy 203.33219 131.517812) + (xy 203.332187 131.517809) (xy 203.217093 131.431649) (xy 203.217086 131.431645) (xy 203.082379 131.381403) + (xy 203.082372 131.381401) (xy 203.022844 131.375) (xy 202.75 131.375) (xy 202.25 131.375) (xy 201.977155 131.375) + (xy 201.917627 131.381401) (xy 201.91762 131.381403) (xy 201.782913 131.431645) (xy 201.782906 131.431649) + (xy 201.667812 131.517809) (xy 201.667809 131.517812) (xy 201.581649 131.632906) (xy 201.581645 131.632913) + (xy 201.531403 131.76762) (xy 201.531401 131.767627) (xy 201.525 131.827155) (xy 201.525 131.9625) + (xy 199.059724 131.9625) (xy 199.085902 131.870492) (xy 199.085903 131.870489) (xy 199.104713 131.6675) + (xy 199.104713 131.667499) (xy 199.085903 131.46451) (xy 199.085902 131.464507) (xy 199.030116 131.268436) + (xy 199.030113 131.26843) (xy 198.939249 131.085949) (xy 198.939247 131.085947) (xy 198.937465 131.083587) + (xy 198.442138 131.578914) (xy 198.419333 131.493806) (xy 198.36009 131.391194) (xy 198.276306 131.30741) + (xy 198.173694 131.248167) (xy 198.088584 131.225361) (xy 198.580687 130.733258) (xy 198.492413 130.678601) + (xy 198.492411 130.6786) (xy 198.302321 130.60496) (xy 198.101928 130.5675) (xy 197.898072 130.5675) + (xy 197.697678 130.60496) (xy 197.507588 130.6786) (xy 197.507581 130.678604) (xy 197.419312 130.733257) + (xy 197.419311 130.733258) (xy 197.911415 131.225361) (xy 197.826306 131.248167) (xy 197.723694 131.30741) + (xy 197.63991 131.391194) (xy 197.580667 131.493806) (xy 197.557861 131.578915) (xy 197.062533 131.083587) + (xy 197.060755 131.085942) (xy 197.060754 131.085943) (xy 196.969886 131.26843) (xy 196.969883 131.268436) + (xy 196.914097 131.464507) (xy 196.914096 131.46451) (xy 196.895287 131.667499) (xy 196.895287 131.6675) + (xy 196.914096 131.870489) (xy 196.914097 131.870492) (xy 196.969883 132.066563) (xy 196.969886 132.066569) + (xy 197.060751 132.249051) (xy 197.062533 132.251411) (xy 197.557861 131.756084) (xy 197.580667 131.841194) + (xy 197.63991 131.943806) (xy 197.723694 132.02759) (xy 197.826306 132.086833) (xy 197.911414 132.109637) + (xy 197.419312 132.601739) (xy 197.420469 132.611709) (xy 197.408642 132.68057) (xy 197.361463 132.732106) + (xy 197.297295 132.75) (xy 196.551362 132.75) (xy 196.484323 132.730315) (xy 196.463681 132.713681) + (xy 196.036319 132.286319) (xy 196.002834 132.224996) (xy 196 132.198638) (xy 196 130.25) (xy 195.667502 129.917502) + (xy 198.744723 129.917502) (xy 198.763793 130.135475) (xy 198.763793 130.135479) (xy 198.820422 130.346822) + (xy 198.820424 130.346826) (xy 198.820425 130.34683) (xy 198.866661 130.445984) (xy 198.912897 130.545138) + (xy 198.912898 130.545139) (xy 199.038402 130.724377) (xy 199.193123 130.879098) (xy 199.372361 131.004602) + (xy 199.57067 131.097075) (xy 199.782023 131.153707) (xy 199.964926 131.169708) (xy 199.999998 131.172777) + (xy 200 131.172777) (xy 200.000002 131.172777) (xy 200.028254 131.170305) (xy 200.217977 131.153707) + (xy 200.42933 131.097075) (xy 200.627639 131.004602) (xy 200.806877 130.879098) (xy 200.961598 130.724377) + (xy 201.087102 130.545139) (xy 201.179575 130.34683) (xy 201.236207 130.135477) (xy 201.255277 129.9175) + (xy 201.236207 129.699523) (xy 201.201059 129.56835) (xy 201.179577 129.488177) (xy 201.179576 129.488176) + (xy 201.179575 129.48817) (xy 201.087102 129.289862) (xy 201.0871 129.289859) (xy 201.087099 129.289857) + (xy 200.961599 129.110624) (xy 200.888475 129.0375) (xy 201.525 129.0375) (xy 201.525 129.172844) + (xy 201.531401 129.232372) (xy 201.531403 129.232379) (xy 201.581645 129.367086) (xy 201.581649 129.367093) + (xy 201.667809 129.482187) (xy 201.667812 129.48219) (xy 201.782906 129.56835) (xy 201.782913 129.568354) + (xy 201.91762 129.618596) (xy 201.917627 129.618598) (xy 201.977155 129.624999) (xy 201.977172 129.625) + (xy 202.25 129.625) (xy 202.25 129.0375) (xy 201.525 129.0375) (xy 200.888475 129.0375) (xy 200.806877 128.955902) + (xy 200.627639 128.830398) (xy 200.62764 128.830398) (xy 200.627638 128.830397) (xy 200.528484 128.784161) + (xy 200.42933 128.737925) (xy 200.429326 128.737924) (xy 200.429322 128.737922) (xy 200.217977 128.681293) + (xy 200.000002 128.662223) (xy 199.999998 128.662223) (xy 199.854682 128.674936) (xy 199.782023 128.681293) + (xy 199.78202 128.681293) (xy 199.570677 128.737922) (xy 199.570668 128.737926) (xy 199.372361 128.830398) + (xy 199.372357 128.8304) (xy 199.193121 128.955902) (xy 199.038402 129.110621) (xy 198.9129 129.289857) + (xy 198.912898 129.289861) (xy 198.820426 129.488168) (xy 198.820422 129.488177) (xy 198.763793 129.69952) + (xy 198.763793 129.699524) (xy 198.744723 129.917497) (xy 198.744723 129.917502) (xy 195.667502 129.917502) + (xy 195.5 129.75) (xy 189.344881 129.75) (xy 189.277842 129.730315) (xy 189.232087 129.677511) (xy 189.225106 129.658093) + (xy 189.179577 129.488177) (xy 189.179576 129.488176) (xy 189.179575 129.48817) (xy 189.087102 129.289862) + (xy 189.0871 129.289859) (xy 189.087099 129.289857) (xy 188.961599 129.110624) (xy 188.888475 129.0375) + (xy 188.806877 128.955902) (xy 188.627639 128.830398) (xy 188.62764 128.830398) (xy 188.627638 128.830397) + (xy 188.528484 128.784161) (xy 188.42933 128.737925) (xy 188.429326 128.737924) (xy 188.429322 128.737922) + (xy 188.217977 128.681293) (xy 188.000002 128.662223) (xy 187.999998 128.662223) (xy 187.854682 128.674936) + (xy 187.782023 128.681293) (xy 187.78202 128.681293) (xy 187.570677 128.737922) (xy 187.570668 128.737926) + (xy 187.372361 128.830398) (xy 187.372357 128.8304) (xy 187.193121 128.955902) (xy 187.038402 129.110621) + (xy 186.9129 129.289857) (xy 186.912898 129.289861) (xy 186.820426 129.488168) (xy 186.820422 129.488177) + (xy 186.774894 129.658093) (xy 186.738529 129.717754) (xy 186.675682 129.748283) (xy 186.655119 129.75) + (xy 180.051362 129.75) (xy 179.984323 129.730315) (xy 179.963681 129.713681) (xy 179.836819 129.586819) + (xy 179.803334 129.525496) (xy 179.8005 129.499138) (xy 179.8005 127.5) (xy 181.70852 127.5) (xy 181.77174 127.652627) + (xy 181.77174 127.652628) (xy 181.864758 127.791839) (xy 181.864764 127.791847) (xy 181.983152 127.910235) + (xy 181.98316 127.910241) (xy 182.122371 128.003259) (xy 182.277063 128.067334) (xy 182.277071 128.067336) + (xy 182.441277 128.099999) (xy 182.44128 128.1) (xy 182.55 128.1) (xy 182.55 127.53033) (xy 182.569745 127.550075) + (xy 182.655255 127.599444) (xy 182.75063 127.625) (xy 182.84937 127.625) (xy 182.944745 127.599444) + (xy 183.030255 127.550075) (xy 183.05 127.53033) (xy 183.05 128.1) (xy 183.15872 128.1) (xy 183.158722 128.099999) + (xy 183.322928 128.067336) (xy 183.322936 128.067334) (xy 183.477627 128.003259) (xy 183.477628 128.003259) + (xy 183.616839 127.910241) (xy 183.616847 127.910235) (xy 183.735235 127.791847) (xy 183.735241 127.791839) + (xy 183.828259 127.652628) (xy 183.828259 127.652627) (xy 183.89148 127.5) (xy 183.08033 127.5) + (xy 183.100075 127.480255) (xy 183.149444 127.394745) (xy 183.175 127.29937) (xy 183.175 127.20063) + (xy 183.149444 127.105255) (xy 183.100075 127.019745) (xy 183.08033 127) (xy 183.89148 127) (xy 183.891479 126.999999) + (xy 183.828259 126.847372) (xy 183.828259 126.847371) (xy 183.735241 126.70816) (xy 183.735235 126.708152) + (xy 183.616847 126.589764) (xy 183.616839 126.589758) (xy 183.477628 126.49674) (xy 183.322936 126.432665) + (xy 183.322928 126.432663) (xy 183.158721 126.4) (xy 183.05 126.4) (xy 183.05 126.96967) (xy 183.030255 126.949925) + (xy 182.944745 126.900556) (xy 182.84937 126.875) (xy 182.75063 126.875) (xy 182.655255 126.900556) + (xy 182.569745 126.949925) (xy 182.55 126.96967) (xy 182.55 126.4) (xy 182.441279 126.4) (xy 182.277071 126.432663) + (xy 182.277063 126.432665) (xy 182.122372 126.49674) (xy 182.122371 126.49674) (xy 181.98316 126.589758) + (xy 181.983152 126.589764) (xy 181.864764 126.708152) (xy 181.864758 126.70816) (xy 181.77174 126.847371) + (xy 181.77174 126.847372) (xy 181.70852 126.999999) (xy 181.70852 127) (xy 182.51967 127) (xy 182.499925 127.019745) + (xy 182.450556 127.105255) (xy 182.425 127.20063) (xy 182.425 127.29937) (xy 182.450556 127.394745) + (xy 182.499925 127.480255) (xy 182.51967 127.5) (xy 181.70852 127.5) (xy 179.8005 127.5) (xy 179.8005 126.000862) + (xy 179.820185 125.933823) (xy 179.836819 125.913181) (xy 179.963681 125.786319) (xy 180.025004 125.752834) + (xy 180.051362 125.75) (xy 181.610671 125.75) + ) + ) + ) + (zone + (net 2) + (net_name "GND") + (layer "F.Cu") + (uuid "78281cfa-6d84-4460-9a0e-a94e09efe160") + (name "GND") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 201.5 127.25) (xy 201.5 119.75) (xy 205 119.75) (xy 188 119.75) (xy 188 127.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 190.25 120.374999) (xy 190.524972 120.374999) (xy 190.524986 120.374998) (xy 190.627697 120.364505) + (xy 190.794119 120.309358) (xy 190.794124 120.309356) (xy 190.943345 120.217315) (xy 191.067315 120.093345) + (xy 191.159356 119.944124) (xy 191.159358 119.944119) (xy 191.195518 119.834996) (xy 191.23529 119.777551) + (xy 191.299806 119.750728) (xy 191.313224 119.75) (xy 198.674349 119.75) (xy 198.741388 119.769685) + (xy 198.787143 119.822489) (xy 198.792055 119.834996) (xy 198.840641 119.981619) (xy 198.840643 119.981624) + (xy 198.932684 120.130845) (xy 199.056654 120.254815) (xy 199.205875 120.346856) (xy 199.20588 120.346858) + (xy 199.372302 120.402005) (xy 199.372309 120.402006) (xy 199.475019 120.412499) (xy 199.749999 120.412499) + (xy 199.75 120.412498) (xy 199.75 119.75) (xy 200.25 119.75) (xy 200.25 120.412499) (xy 200.524972 120.412499) + (xy 200.524986 120.412498) (xy 200.627697 120.402005) (xy 200.794119 120.346858) (xy 200.794124 120.346856) + (xy 200.943345 120.254815) (xy 201.067317 120.130843) (xy 201.104597 120.070402) (xy 201.156544 120.023677) + (xy 201.225506 120.012454) (xy 201.289589 120.040297) (xy 201.297817 120.047817) (xy 201.463681 120.213681) + (xy 201.497166 120.275004) (xy 201.5 120.301362) (xy 201.5 124.75) (xy 201.25 124.75) (xy 201.25 127.25) + (xy 201 127.25) (xy 198.491879 127.25) (xy 198.42484 127.230315) (xy 198.379085 127.177511) (xy 198.368521 127.113397) + (xy 198.374999 127.049988) (xy 198.375 127.049975) (xy 198.375 125.975) (xy 191.575001 125.975) + (xy 191.575001 127.049991) (xy 191.581479 127.113399) (xy 191.568709 127.182092) (xy 191.520828 127.232976) + (xy 191.458121 127.25) (xy 188.551362 127.25) (xy 188.484323 127.230315) (xy 188.463681 127.213681) + (xy 188.036319 126.786319) (xy 188.002834 126.724996) (xy 188 126.698638) (xy 188 124.3) (xy 191.575 124.3) + (xy 191.575 125.474999) (xy 191.575002 125.475) (xy 193.2 125.475) (xy 193.2 124.3) (xy 193.7 124.3) + (xy 193.7 125.475) (xy 196.25 125.475) (xy 196.25 124.3) (xy 196.75 124.3) (xy 196.75 125.475) (xy 198.374999 125.475) + (xy 198.374999 124.3) (xy 196.75 124.3) (xy 196.25 124.3) (xy 193.7 124.3) (xy 193.2 124.3) (xy 191.575 124.3) + (xy 188 124.3) (xy 188 122.625) (xy 191.575 122.625) (xy 191.575 123.8) (xy 193.2 123.8) (xy 193.2 122.625) + (xy 193.7 122.625) (xy 193.7 123.8) (xy 194.725 123.8) (xy 194.725 122.625) (xy 195.225 122.625) + (xy 195.225 123.8) (xy 196.25 123.8) (xy 196.25 122.625) (xy 196.75 122.625) (xy 196.75 123.8) (xy 198.374999 123.8) + (xy 198.374999 123.706113) (xy 198.375 123.706092) (xy 198.375 122.625) (xy 196.75 122.625) (xy 196.25 122.625) + (xy 195.225 122.625) (xy 194.725 122.625) (xy 193.7 122.625) (xy 193.2 122.625) (xy 191.575 122.625) + (xy 188 122.625) (xy 188 122.125) (xy 191.575 122.125) (xy 193.2 122.125) (xy 193.2 120.35) (xy 193.7 120.35) + (xy 193.7 122.125) (xy 194.725 122.125) (xy 194.725 120.35) (xy 195.225 120.35) (xy 195.225 122.125) + (xy 196.25 122.125) (xy 196.25 120.35) (xy 196.75 120.35) (xy 196.75 122.125) (xy 198.374999 122.125) + (xy 198.374999 121.050028) (xy 198.374998 121.050013) (xy 198.365148 120.953589) (xy 198.364976 120.950219) + (xy 198.364505 120.9473) (xy 198.309359 120.780879) (xy 198.309357 120.780874) (xy 198.217316 120.631653) + (xy 198.093346 120.507683) (xy 197.944125 120.415642) (xy 197.94412 120.41564) (xy 197.777698 120.360494) + (xy 197.674988 120.35) (xy 196.75 120.35) (xy 196.25 120.35) (xy 195.225 120.35) (xy 194.725 120.35) + (xy 193.7 120.35) (xy 193.2 120.35) (xy 192.274197 120.35) (xy 192.271364 120.350374) (xy 192.1723 120.360494) + (xy 192.005879 120.41564) (xy 192.005874 120.415642) (xy 191.856653 120.507683) (xy 191.732683 120.631653) + (xy 191.640642 120.780874) (xy 191.64064 120.780879) (xy 191.585494 120.947301) (xy 191.575 121.050011) + (xy 191.575 122.125) (xy 188 122.125) (xy 188 120.462269) (xy 188.019685 120.39523) (xy 188.072489 120.349475) + (xy 188.098052 120.341014) (xy 188.102788 120.339999) (xy 188.102797 120.339999) (xy 188.269334 120.284814) + (xy 188.418656 120.192712) (xy 188.542712 120.068656) (xy 188.624715 119.935706) (xy 188.676663 119.888982) + (xy 188.745625 119.877759) (xy 188.809708 119.905603) (xy 188.835335 119.938909) (xy 188.836851 119.937975) + (xy 188.932684 120.093345) (xy 189.056654 120.217315) (xy 189.205875 120.309356) (xy 189.20588 120.309358) + (xy 189.372302 120.364505) (xy 189.372309 120.364506) (xy 189.475019 120.374999) (xy 189.749999 120.374999) + (xy 189.75 120.374998) (xy 189.75 119.75) (xy 190.25 119.75) + ) + ) + ) + (zone + (net 6) + (net_name "VDD") + (layer "F.Cu") + (uuid "8e61f082-c1f5-4022-9580-d6bf16d2d5e6") + (name "VDD") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 206.5 121.25) (xy 205.5 121.25) (xy 205.5 118) (xy 196.5 118) (xy 196.5 115.25) (xy 206.5 115.25) + (xy 211.5 123.75) (xy 218 123.75) (xy 218 127.5) (xy 213.75 127.5) (xy 211 130.25) (xy 211 133.25) + (xy 206.5 133.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 206.028608 115.266362) (xy 206.724902 115.664605) (xy 206.770219 115.709373) (xy 210.913409 122.752795) + (xy 211.24649 123.319033) (xy 212 123.75) (xy 217.448638 123.75) (xy 217.515677 123.769685) (xy 217.536319 123.786319) + (xy 217.963681 124.213681) (xy 217.997166 124.275004) (xy 218 124.301362) (xy 218 126.948638) (xy 217.980315 127.015677) + (xy 217.963681 127.036319) (xy 217.536319 127.463681) (xy 217.474996 127.497166) (xy 217.448638 127.5) + (xy 214.249998 127.5) (xy 213.396446 127.853553) (xy 211.353553 129.896446) (xy 211 130.749998) + (xy 211 132.698638) (xy 210.980315 132.765677) (xy 210.96368 132.78632) (xy 210.919531 132.830468) + (xy 210.858208 132.863953) (xy 210.788516 132.858967) (xy 210.732583 132.817095) (xy 210.708167 132.751631) + (xy 210.713446 132.709387) (xy 210.713089 132.709311) (xy 210.713971 132.705187) (xy 210.714149 132.703771) + (xy 210.714505 132.702695) (xy 210.714506 132.70269) (xy 210.724999 132.599986) (xy 210.725 132.599973) + (xy 210.725 132.4625) (xy 208.343 132.4625) (xy 208.327826 132.477673) (xy 208.323315 132.493039) + (xy 208.270511 132.538794) (xy 208.219 132.55) (xy 207.0115 132.55) (xy 206.944461 132.530315) (xy 206.898706 132.477511) + (xy 206.8875 132.426) (xy 206.8875 132.05) (xy 207.3875 132.05) (xy 208.232 132.05) (xy 208.247173 132.034826) + (xy 208.251685 132.019461) (xy 208.304489 131.973706) (xy 208.356 131.9625) (xy 209.25 131.9625) + (xy 209.25 131.125) (xy 209.75 131.125) (xy 209.75 131.9625) (xy 210.724999 131.9625) (xy 210.724999 131.825028) + (xy 210.724998 131.825013) (xy 210.714505 131.722302) (xy 210.659358 131.55588) (xy 210.659356 131.555875) + (xy 210.567315 131.406654) (xy 210.443345 131.282684) (xy 210.294124 131.190643) (xy 210.294119 131.190641) + (xy 210.127697 131.135494) (xy 210.12769 131.135493) (xy 210.024986 131.125) (xy 209.75 131.125) + (xy 209.25 131.125) (xy 208.975029 131.125) (xy 208.975012 131.125001) (xy 208.872302 131.135494) + (xy 208.70588 131.190641) (xy 208.705875 131.190643) (xy 208.556654 131.282684) (xy 208.432684 131.406654) + (xy 208.340643 131.555875) (xy 208.340642 131.555878) (xy 208.3129 131.639597) (xy 208.273126 131.697042) + (xy 208.20861 131.723864) (xy 208.139835 131.711548) (xy 208.107513 131.688273) (xy 208.051561 131.632321) + (xy 208.051552 131.632314) (xy 207.910196 131.548717) (xy 207.910193 131.548716) (xy 207.752495 131.5029) + (xy 207.752489 131.502899) (xy 207.715649 131.5) (xy 207.3875 131.5) (xy 207.3875 132.05) (xy 206.8875 132.05) + (xy 206.8875 131.5) (xy 206.624 131.5) (xy 206.556961 131.480315) (xy 206.511206 131.427511) (xy 206.5 131.376) + (xy 206.5 130.124) (xy 206.519685 130.056961) (xy 206.572489 130.011206) (xy 206.624 130) (xy 206.8875 130) + (xy 206.8875 129.379) (xy 206.907185 129.311961) (xy 206.959989 129.266206) (xy 207.0115 129.255) + (xy 207.2635 129.255) (xy 207.330539 129.274685) (xy 207.376294 129.327489) (xy 207.3875 129.379) + (xy 207.3875 130) (xy 207.715634 130) (xy 207.715649 129.999999) (xy 207.752489 129.9971) (xy 207.752495 129.997099) + (xy 207.910193 129.951283) (xy 207.910196 129.951282) (xy 208.051552 129.867685) (xy 208.051561 129.867678) + (xy 208.167678 129.751561) (xy 208.167687 129.75155) (xy 208.243582 129.623217) (xy 208.29465 129.575533) + (xy 208.363392 129.563029) (xy 208.427982 129.589674) (xy 208.437995 129.598656) (xy 208.556654 129.717315) + (xy 208.705875 129.809356) (xy 208.70588 129.809358) (xy 208.872302 129.864505) (xy 208.872309 129.864506) + (xy 208.975019 129.874999) (xy 209.249999 129.874999) (xy 209.25 129.874998) (xy 209.25 129.0375) + (xy 209.75 129.0375) (xy 209.75 129.874999) (xy 210.024972 129.874999) (xy 210.024986 129.874998) + (xy 210.127697 129.864505) (xy 210.294119 129.809358) (xy 210.294124 129.809356) (xy 210.443345 129.717315) + (xy 210.567315 129.593345) (xy 210.659356 129.444124) (xy 210.659358 129.444119) (xy 210.714505 129.277697) + (xy 210.714506 129.27769) (xy 210.724999 129.174986) (xy 210.725 129.174973) (xy 210.725 129.0375) + (xy 209.75 129.0375) (xy 209.25 129.0375) (xy 209.25 128.679) (xy 209.269685 128.611961) (xy 209.322489 128.566206) + (xy 209.374 128.555) (xy 209.891425 128.555) (xy 209.958464 128.574685) (xy 209.962145 128.577144) + (xy 210.01188 128.611676) (xy 210.054396 128.641195) (xy 210.110007 128.669032) (xy 210.110882 128.66954) + (xy 210.116837 128.672451) (xy 210.116875 128.67247) (xy 210.116878 128.672472) (xy 210.253395 128.717908) + (xy 210.397184 128.723043) (xy 210.466654 128.715574) (xy 210.606063 128.679992) (xy 210.770993 128.611676) + (xy 210.819811 128.58832) (xy 210.843078 128.575615) (xy 210.889111 128.547179) (xy 210.994416 128.449137) + (xy 211.034094 128.399899) (xy 211.035197 128.398672) (xy 211.038226 128.394772) (xy 211.038257 128.394734) + (xy 211.038258 128.394733) (xy 211.111676 128.270994) (xy 211.207582 128.039457) (xy 211.236348 127.944628) + (xy 211.245787 127.897175) (xy 211.2555 127.798557) (xy 211.2555 126.25) (xy 215.350001 126.25) + (xy 215.350001 126.349986) (xy 215.360494 126.452697) (xy 215.415641 126.619119) (xy 215.415643 126.619124) + (xy 215.507684 126.768345) (xy 215.631654 126.892315) (xy 215.780875 126.984356) (xy 215.78088 126.984358) + (xy 215.947302 127.039505) (xy 215.947309 127.039506) (xy 216.050019 127.049999) (xy 216.15 127.049998) + (xy 216.15 126.25) (xy 216.65 126.25) (xy 216.65 127.049999) (xy 216.749972 127.049999) (xy 216.749986 127.049998) + (xy 216.852697 127.039505) (xy 217.019119 126.984358) (xy 217.019124 126.984356) (xy 217.168345 126.892315) + (xy 217.292315 126.768345) (xy 217.384356 126.619124) (xy 217.384358 126.619119) (xy 217.439505 126.452697) + (xy 217.439506 126.45269) (xy 217.449999 126.349986) (xy 217.45 126.349973) (xy 217.45 126.25) (xy 216.65 126.25) + (xy 216.15 126.25) (xy 215.350001 126.25) (xy 211.2555 126.25) (xy 211.2555 125.75) (xy 215.35 125.75) + (xy 216.15 125.75) (xy 216.15 124.95) (xy 216.65 124.95) (xy 216.65 125.75) (xy 217.449999 125.75) + (xy 217.449999 125.650028) (xy 217.449998 125.650013) (xy 217.439505 125.547302) (xy 217.384358 125.38088) + (xy 217.384356 125.380875) (xy 217.292315 125.231654) (xy 217.168345 125.107684) (xy 217.019124 125.015643) + (xy 217.019119 125.015641) (xy 216.852697 124.960494) (xy 216.85269 124.960493) (xy 216.749986 124.95) + (xy 216.65 124.95) (xy 216.15 124.95) (xy 216.15 124.949999) (xy 216.050028 124.95) (xy 216.050012 124.950001) + (xy 215.947302 124.960494) (xy 215.78088 125.015641) (xy 215.780875 125.015643) (xy 215.631654 125.107684) + (xy 215.507684 125.231654) (xy 215.415643 125.380875) (xy 215.415641 125.38088) (xy 215.360494 125.547302) + (xy 215.360493 125.547309) (xy 215.35 125.650013) (xy 215.35 125.75) (xy 211.2555 125.75) (xy 211.2555 125.301362) + (xy 211.252603 125.247322) (xy 211.249769 125.220964) (xy 211.241114 125.167552) (xy 211.190832 125.032743) + (xy 211.157347 124.97142) (xy 211.071123 124.856239) (xy 211.071118 124.856234) (xy 211.071113 124.856228) + (xy 210.643776 124.428892) (xy 210.643761 124.428877) (xy 210.643737 124.428855) (xy 210.603519 124.392728) + (xy 210.603507 124.392718) (xy 210.582856 124.376076) (xy 210.538974 124.344433) (xy 210.4081 124.284663) + (xy 210.341055 124.264976) (xy 210.293582 124.25815) (xy 210.198638 124.2445) (xy 210.198636 124.2445) + (xy 208.824499 124.2445) (xy 208.75746 124.224815) (xy 208.711705 124.172011) (xy 208.700499 124.1205) + (xy 208.700499 123.849998) (xy 208.700498 123.849981) (xy 208.689999 123.747203) (xy 208.689998 123.7472) + (xy 208.688415 123.742422) (xy 208.634814 123.580666) (xy 208.542712 123.431344) (xy 208.449049 123.337681) + (xy 208.415564 123.276358) (xy 208.420548 123.206666) (xy 208.449049 123.162319) (xy 208.476554 123.134814) + (xy 208.542712 123.068656) (xy 208.634814 122.919334) (xy 208.689999 122.752797) (xy 208.7005 122.650009) + (xy 208.700499 121.849992) (xy 208.692145 121.768216) (xy 208.689999 121.747203) (xy 208.689998 121.7472) + (xy 208.651587 121.631284) (xy 208.634814 121.580666) (xy 208.542712 121.431344) (xy 208.418656 121.307288) + (xy 208.269334 121.215186) (xy 208.102797 121.160001) (xy 208.102795 121.16) (xy 208.00001 121.1495) + (xy 206.999998 121.1495) (xy 206.99998 121.149501) (xy 206.897203 121.16) (xy 206.8972 121.160001) + (xy 206.730668 121.215185) (xy 206.730663 121.215187) (xy 206.581342 121.307289) (xy 206.457288 121.431343) + (xy 206.457285 121.431347) (xy 206.4434 121.453858) (xy 206.391451 121.500582) (xy 206.322488 121.511802) + (xy 206.296907 121.5058) (xy 206.260385 121.49302) (xy 206.21366 121.46366) (xy 205.853821 121.103821) + (xy 205.820336 121.042498) (xy 205.823795 120.977139) (xy 205.864999 120.852797) (xy 205.8755 120.750008) + (xy 205.8755 119.724992) (xy 205.864999 119.622203) (xy 205.809814 119.455666) (xy 205.717711 119.306345) + (xy 205.593655 119.182289) (xy 205.593654 119.182288) (xy 205.593653 119.182287) (xy 205.558901 119.160851) + (xy 205.512177 119.108902) (xy 205.5 119.055314) (xy 205.5 118.5) (xy 205.499133 118.497907) (xy 205.491664 118.428437) + (xy 205.522939 118.365958) (xy 205.548599 118.344914) (xy 205.593344 118.317315) (xy 205.717315 118.193344) + (xy 205.809356 118.044123) (xy 205.809358 118.044118) (xy 205.864505 117.877696) (xy 205.864506 117.877689) + (xy 205.874999 117.774985) (xy 205.875 117.774972) (xy 205.875 117.5125) (xy 203.125 117.5125) (xy 203.125 117.774985) + (xy 203.134033 117.863397) (xy 203.121264 117.93209) (xy 203.073383 117.982975) (xy 203.010675 118) + (xy 201.300135 118) (xy 201.233096 117.980315) (xy 201.187341 117.927511) (xy 201.177397 117.858353) + (xy 201.182429 117.836996) (xy 201.214505 117.740197) (xy 201.214506 117.74019) (xy 201.224999 117.637486) + (xy 201.225 117.637473) (xy 201.225 117.5) (xy 198.775001 117.5) (xy 198.775001 117.637486) (xy 198.785494 117.740197) + (xy 198.81757 117.836996) (xy 198.819972 117.906825) (xy 198.78424 117.966866) (xy 198.72172 117.998059) + (xy 198.699864 118) (xy 197.129 118) (xy 197.061961 117.980315) (xy 197.016206 117.927511) (xy 197.005 117.876) + (xy 197.005 116.15) (xy 197.505 116.15) (xy 197.505 117.5) (xy 198.354999 117.5) (xy 198.354999 117.0125) + (xy 203.125 117.0125) (xy 204.25 117.0125) (xy 204.25 116.05) (xy 204.75 116.05) (xy 204.75 117.0125) + (xy 205.875 117.0125) (xy 205.875 116.750027) (xy 205.874999 116.750014) (xy 205.864506 116.64731) + (xy 205.864505 116.647303) (xy 205.809358 116.480881) (xy 205.809356 116.480876) (xy 205.717315 116.331655) + (xy 205.593344 116.207684) (xy 205.444123 116.115643) (xy 205.444118 116.115641) (xy 205.277696 116.060494) + (xy 205.277689 116.060493) (xy 205.174985 116.05) (xy 204.75 116.05) (xy 204.25 116.05) (xy 203.825014 116.05) + (xy 203.72231 116.060493) (xy 203.722303 116.060494) (xy 203.555881 116.115641) (xy 203.555876 116.115643) + (xy 203.406655 116.207684) (xy 203.282684 116.331655) (xy 203.190643 116.480876) (xy 203.190641 116.480881) + (xy 203.135494 116.647303) (xy 203.135493 116.64731) (xy 203.125 116.750014) (xy 203.125 117.0125) + (xy 198.354999 117.0125) (xy 198.354999 117) (xy 198.775 117) (xy 199.75 117) (xy 199.75 116.1625) + (xy 200.25 116.1625) (xy 200.25 117) (xy 201.224999 117) (xy 201.224999 116.862528) (xy 201.224998 116.862513) + (xy 201.214505 116.759802) (xy 201.159358 116.59338) (xy 201.159356 116.593375) (xy 201.067315 116.444154) + (xy 200.943345 116.320184) (xy 200.794124 116.228143) (xy 200.794119 116.228141) (xy 200.627697 116.172994) + (xy 200.62769 116.172993) (xy 200.524986 116.1625) (xy 200.25 116.1625) (xy 199.75 116.1625) (xy 199.475029 116.1625) + (xy 199.475012 116.162501) (xy 199.372302 116.172994) (xy 199.20588 116.228141) (xy 199.205875 116.228143) + (xy 199.056654 116.320184) (xy 198.932684 116.444154) (xy 198.840643 116.593375) (xy 198.840641 116.59338) + (xy 198.785494 116.759802) (xy 198.785493 116.759809) (xy 198.775 116.862513) (xy 198.775 117) (xy 198.354999 117) + (xy 198.354999 116.850028) (xy 198.354998 116.850012) (xy 198.344505 116.747302) (xy 198.289358 116.58088) + (xy 198.289356 116.580875) (xy 198.197315 116.431654) (xy 198.073345 116.307684) (xy 197.924124 116.215643) + (xy 197.924119 116.215641) (xy 197.757697 116.160494) (xy 197.75769 116.160493) (xy 197.654986 116.15) + (xy 197.505 116.15) (xy 197.005 116.15) (xy 196.855027 116.15) (xy 196.855012 116.150001) (xy 196.752302 116.160494) + (xy 196.663004 116.190085) (xy 196.593176 116.192487) (xy 196.533134 116.156755) (xy 196.501941 116.094235) + (xy 196.5 116.072379) (xy 196.5 115.801362) (xy 196.519685 115.734323) (xy 196.536319 115.713681) + (xy 196.963681 115.286319) (xy 197.025004 115.252834) (xy 197.051362 115.25) (xy 205.967045 115.25) + ) + ) + ) + (zone + (net 2) + (net_name "GND") + (layer "F.Cu") + (uuid "bb7f8d00-0c76-4888-a2c0-8279e151cbd8") + (name "GND") + (hatch edge 0.5) + (priority 2) + (connect_pads thru_hole_only + (clearance 0.15) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.25) + (thermal_bridge_width 0.25) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 201.25 124.75) (xy 203.75 124.75) (xy 203.75 127.75) (xy 208 127.75) (xy 208 124.75) (xy 210.75 124.75) + (xy 210.75 128) (xy 210.5 128.25) (xy 208.5 128.25) (xy 208.5 128.75) (xy 203.5 128.75) (xy 203.5 128) + (xy 201.25 128) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 203.265677 124.769685) (xy 203.286319 124.786319) (xy 203.713681 125.213681) (xy 203.747166 125.275004) + (xy 203.75 125.301362) (xy 203.75 127.25) (xy 204.061659 127.561659) (xy 204.087412 127.599253) + (xy 204.097793 127.622764) (xy 204.097794 127.622765) (xy 204.177235 127.702206) (xy 204.200746 127.712587) + (xy 204.23834 127.73834) (xy 204.25 127.75) (xy 204.293653 127.75) (xy 204.301543 127.750456) (xy 204.301553 127.750293) + (xy 204.305115 127.750497) (xy 204.305135 127.7505) (xy 205.419864 127.750499) (xy 205.419871 127.750498) + (xy 205.423439 127.750292) (xy 205.423448 127.750455) (xy 205.431334 127.75) (xy 206.568653 127.75) + (xy 206.576543 127.750456) (xy 206.576553 127.750293) (xy 206.580115 127.750497) (xy 206.580135 127.7505) + (xy 207.694864 127.750499) (xy 207.694879 127.750497) (xy 207.694882 127.750497) (xy 207.719987 127.747586) + (xy 207.719988 127.747585) (xy 207.719991 127.747585) (xy 207.822765 127.702206) (xy 207.902206 127.622765) + (xy 207.947585 127.519991) (xy 207.9505 127.494865) (xy 207.950499 127.35086) (xy 207.970183 127.283823) + (xy 207.986816 127.263183) (xy 208 127.25) (xy 208 125.301362) (xy 208.019685 125.234323) (xy 208.036319 125.213681) + (xy 208.463681 124.786319) (xy 208.525004 124.752834) (xy 208.551362 124.75) (xy 210.198638 124.75) + (xy 210.265677 124.769685) (xy 210.286319 124.786319) (xy 210.713681 125.213681) (xy 210.747166 125.275004) + (xy 210.75 125.301362) (xy 210.75 127.798557) (xy 210.740561 127.84601) (xy 210.644655 128.077547) + (xy 210.600814 128.13195) (xy 210.577547 128.144655) (xy 210.412617 128.212971) (xy 210.343147 128.22044) + (xy 210.280668 128.189165) (xy 210.27751 128.186118) (xy 210.213342 128.12195) (xy 210.126197 128.077547) + (xy 210.100301 128.064352) (xy 210.006524 128.0495) (xy 208.993482 128.0495) (xy 208.912519 128.062323) + (xy 208.899696 128.064354) (xy 208.786658 128.12195) (xy 208.786657 128.121951) (xy 208.786652 128.121954) + (xy 208.696954 128.211652) (xy 208.696951 128.211657) (xy 208.69695 128.211658) (xy 208.668152 128.268177) + (xy 208.639353 128.324697) (xy 208.63889 128.327623) (xy 208.637342 128.330887) (xy 208.636339 128.333975) + (xy 208.635939 128.333845) (xy 208.608956 128.390756) (xy 208.6041 128.395897) (xy 208.5 128.499999) + (xy 208.499999 128.5) (xy 208.286319 128.713681) (xy 208.224996 128.747166) (xy 208.198638 128.75) + (xy 207.706347 128.75) (xy 207.698456 128.749543) (xy 207.698447 128.749707) (xy 207.694867 128.7495) + (xy 207.694865 128.7495) (xy 207.694862 128.7495) (xy 206.580143 128.7495) (xy 206.576561 128.749708) + (xy 206.576551 128.749544) (xy 206.568666 128.75) (xy 205.431347 128.75) (xy 205.423456 128.749543) + (xy 205.423447 128.749707) (xy 205.419867 128.7495) (xy 205.419865 128.7495) (xy 205.419862 128.7495) + (xy 204.305143 128.7495) (xy 204.301561 128.749708) (xy 204.301551 128.749544) (xy 204.293666 128.75) + (xy 203.926362 128.75) (xy 203.859323 128.730315) (xy 203.838681 128.713681) (xy 203.125 128) (xy 201.676362 128) + (xy 201.609323 127.980315) (xy 201.588681 127.963681) (xy 201.25 127.625) (xy 201.25 124.75) (xy 202 124.75) + (xy 203.198638 124.75) + ) + ) + ) + (zone + (net 3) + (net_name "+3V3") + (layer "F.Cu") + (uuid "d15d1db9-8ae4-42ad-a8a6-d182cae29c89") + (name "+3V3") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 186.5 118.25) (xy 186.5 115.25) (xy 179.5 115.25) (xy 179.5 106.75) (xy 179.5 95.25) (xy 209.25 95.25) + (xy 209.25 98.5) (xy 199.5 98.5) (xy 199.5 102) (xy 186.75 102) (xy 186.75 106) (xy 190.5 106) (xy 190.5 110.75) + (xy 193 110.75) (xy 193 118.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 207.300022 95.570185) (xy 207.345777 95.622989) (xy 207.355721 95.692147) (xy 207.350689 95.713504) + (xy 207.310494 95.834802) (xy 207.310493 95.834809) (xy 207.3 95.937513) (xy 207.3 96.0875) (xy 208.626 96.0875) + (xy 208.693039 96.107185) (xy 208.738794 96.159989) (xy 208.75 96.2115) (xy 208.75 96.4635) (xy 208.730315 96.530539) + (xy 208.677511 96.576294) (xy 208.626 96.5875) (xy 207.300001 96.5875) (xy 207.300001 96.737486) + (xy 207.310494 96.840197) (xy 207.365641 97.006619) (xy 207.365643 97.006624) (xy 207.457684 97.155845) + (xy 207.551304 97.249465) (xy 207.584789 97.310788) (xy 207.579805 97.38048) (xy 207.551305 97.424827) + (xy 207.457287 97.518845) (xy 207.365187 97.668163) (xy 207.365186 97.668166) (xy 207.310001 97.834703) + (xy 207.310001 97.834704) (xy 207.31 97.834704) (xy 207.2995 97.937483) (xy 207.2995 97.937491) + (xy 207.2995 98.336996) (xy 207.299501 98.376) (xy 207.279817 98.443039) (xy 207.227013 98.488794) + (xy 207.175501 98.5) (xy 203.637709 98.5) (xy 203.57067 98.480315) (xy 203.524915 98.427511) (xy 203.514971 98.358353) + (xy 203.520003 98.336996) (xy 203.564505 98.202697) (xy 203.564506 98.20269) (xy 203.574999 98.099986) + (xy 203.575 98.099973) (xy 203.575 97.95) (xy 202.48033 97.95) (xy 202.500075 97.930255) (xy 202.549444 97.844745) + (xy 202.575 97.74937) (xy 202.575 97.65063) (xy 202.549444 97.555255) (xy 202.500075 97.469745) + (xy 202.48033 97.45) (xy 203.574999 97.45) (xy 203.574999 97.300028) (xy 203.574998 97.300013) (xy 203.564505 97.197302) + (xy 203.509358 97.03088) (xy 203.509356 97.030875) (xy 203.417315 96.881654) (xy 203.293345 96.757684) + (xy 203.144124 96.665643) (xy 203.144119 96.665641) (xy 202.977697 96.610494) (xy 202.97769 96.610493) + (xy 202.874986 96.6) (xy 202.45 96.6) (xy 202.45 97.41967) (xy 202.430255 97.399925) (xy 202.344745 97.350556) + (xy 202.24937 97.325) (xy 202.15063 97.325) (xy 202.055255 97.350556) (xy 201.969745 97.399925) + (xy 201.95 97.41967) (xy 201.95 96.6) (xy 201.525028 96.6) (xy 201.525012 96.600001) (xy 201.422302 96.610494) + (xy 201.25588 96.665641) (xy 201.255875 96.665643) (xy 201.106654 96.757684) (xy 200.982684 96.881654) + (xy 200.890643 97.030875) (xy 200.890641 97.03088) (xy 200.835494 97.197302) (xy 200.835493 97.197309) + (xy 200.825 97.300013) (xy 200.825 97.45) (xy 201.91967 97.45) (xy 201.899925 97.469745) (xy 201.850556 97.555255) + (xy 201.825 97.65063) (xy 201.825 97.74937) (xy 201.850556 97.844745) (xy 201.899925 97.930255) + (xy 201.91967 97.95) (xy 200.825001 97.95) (xy 200.825001 98.099986) (xy 200.835494 98.202697) (xy 200.879997 98.336996) + (xy 200.882399 98.406824) (xy 200.846667 98.466866) (xy 200.784147 98.498059) (xy 200.762291 98.5) + (xy 199.999999 98.5) (xy 199.5 98.999999) (xy 199.5 101.448638) (xy 199.480315 101.515677) (xy 199.463681 101.536319) + (xy 199.137681 101.862319) (xy 199.076358 101.895804) (xy 199.006666 101.89082) (xy 198.962319 101.862319) + (xy 198.95 101.85) (xy 197.624 101.85) (xy 197.556961 101.830315) (xy 197.511206 101.777511) (xy 197.5 101.726) + (xy 197.5 100.5) (xy 198 100.5) (xy 198 101.35) (xy 198.949999 101.35) (xy 198.949999 101.200028) + (xy 198.949998 101.200013) (xy 198.939505 101.097302) (xy 198.884358 100.93088) (xy 198.884356 100.930875) + (xy 198.792315 100.781654) (xy 198.668345 100.657684) (xy 198.519124 100.565643) (xy 198.519119 100.565641) + (xy 198.352697 100.510494) (xy 198.35269 100.510493) (xy 198.249986 100.5) (xy 198 100.5) (xy 197.5 100.5) + (xy 197.250029 100.5) (xy 197.250012 100.500001) (xy 197.147302 100.510494) (xy 196.991839 100.56201) + (xy 196.922011 100.564412) (xy 196.861969 100.52868) (xy 196.830776 100.46616) (xy 196.838337 100.3967) + (xy 196.847297 100.379206) (xy 196.884355 100.319126) (xy 196.884358 100.319119) (xy 196.939505 100.152697) + (xy 196.939506 100.15269) (xy 196.949999 100.049986) (xy 196.95 100.049973) (xy 196.95 99.9) (xy 194.550001 99.9) + (xy 194.550001 100.049986) (xy 194.560494 100.152697) (xy 194.615641 100.319119) (xy 194.615643 100.319124) + (xy 194.707684 100.468345) (xy 194.801304 100.561965) (xy 194.834789 100.623288) (xy 194.829805 100.69298) + (xy 194.801305 100.737327) (xy 194.707287 100.831345) (xy 194.611395 100.986813) (xy 194.6096 100.985706) + (xy 194.570313 101.030337) (xy 194.504092 101.0495) (xy 193.572212 101.0495) (xy 193.572011 101.049488) + (xy 193.564685 101.049489) (xy 193.563191 101.049489) (xy 193.54322 101.049492) (xy 193.542937 101.049409) + (xy 193.491771 101.049417) (xy 193.410074 101.049429) (xy 193.409656 101.04943) (xy 193.409654 101.04943) + (xy 193.285218 101.074203) (xy 193.215625 101.067987) (xy 193.160441 101.025133) (xy 193.157896 101.021467) + (xy 193.066074 100.884008) (xy 193.066071 100.884004) (xy 192.949836 100.767743) (xy 192.949835 100.767742) + (xy 192.813148 100.676394) (xy 192.661266 100.61347) (xy 192.661264 100.613469) (xy 192.500021 100.581388) + (xy 192.499998 100.581386) (xy 192.496864 100.581386) (xy 192.417825 100.581386) (xy 192.344911 100.581384) + (xy 192.34491 100.581384) (xy 192.337357 100.581384) (xy 192.337302 100.581367) (xy 192.203778 100.581358) + (xy 192.203766 100.581358) (xy 192.203765 100.581358) (xy 192.164021 100.589261) (xy 192.042506 100.613425) + (xy 192.042503 100.613426) (xy 192.042499 100.613427) (xy 191.890609 100.676338) (xy 191.890599 100.676343) + (xy 191.753895 100.767688) (xy 191.753891 100.767691) (xy 191.637643 100.883949) (xy 191.637643 100.88395) + (xy 191.546534 101.020329) (xy 191.492925 101.065139) (xy 191.423601 101.073852) (xy 191.419219 101.073061) + (xy 191.37943 101.065139) (xy 191.300961 101.049515) (xy 191.300807 101.0495) (xy 191.297804 101.0495) + (xy 191.218869 101.0495) (xy 191.218831 101.049499) (xy 191.145954 101.049486) (xy 191.145952 101.049486) + (xy 191.138621 101.049485) (xy 191.138373 101.0495) (xy 189.975858 101.0495) (xy 189.908819 101.029815) + (xy 189.875541 100.998387) (xy 189.864414 100.983072) (xy 189.74193 100.860588) (xy 189.741928 100.860586) + (xy 189.658975 100.800317) (xy 189.616311 100.744988) (xy 189.610332 100.675374) (xy 189.642938 100.613579) + (xy 189.658976 100.599682) (xy 189.741928 100.539414) (xy 189.864414 100.416928) (xy 189.966232 100.276788) + (xy 190.044873 100.122445) (xy 190.098402 99.957701) (xy 190.1255 99.786611) (xy 190.1255 99.613389) + (xy 190.098402 99.442299) (xy 190.084658 99.4) (xy 194.55 99.4) (xy 195.5 99.4) (xy 195.5 98.55) + (xy 196 98.55) (xy 196 99.4) (xy 196.949999 99.4) (xy 196.949999 99.250028) (xy 196.949998 99.250013) + (xy 196.939505 99.147302) (xy 196.884358 98.98088) (xy 196.884356 98.980875) (xy 196.792315 98.831654) + (xy 196.668345 98.707684) (xy 196.519124 98.615643) (xy 196.519119 98.615641) (xy 196.352697 98.560494) + (xy 196.35269 98.560493) (xy 196.249986 98.55) (xy 196 98.55) (xy 195.5 98.55) (xy 195.250029 98.55) + (xy 195.250012 98.550001) (xy 195.147302 98.560494) (xy 194.98088 98.615641) (xy 194.980875 98.615643) + (xy 194.831654 98.707684) (xy 194.707684 98.831654) (xy 194.615643 98.980875) (xy 194.615641 98.98088) + (xy 194.560494 99.147302) (xy 194.560493 99.147309) (xy 194.55 99.250013) (xy 194.55 99.4) (xy 190.084658 99.4) + (xy 190.044873 99.277555) (xy 189.966232 99.123212) (xy 189.864414 98.983072) (xy 189.741928 98.860586) + (xy 189.65855 98.800008) (xy 189.615885 98.744677) (xy 189.609906 98.675064) (xy 189.642512 98.613269) + (xy 189.658552 98.599371) (xy 189.741598 98.539036) (xy 189.864032 98.416602) (xy 189.965804 98.276524) + (xy 190.044408 98.122255) (xy 190.097914 97.957584) (xy 190.099115 97.95) (xy 189.03033 97.95) (xy 189.050075 97.930255) + (xy 189.099444 97.844745) (xy 189.125 97.74937) (xy 189.125 97.65063) (xy 189.099444 97.555255) + (xy 189.050075 97.469745) (xy 189.03033 97.45) (xy 190.099115 97.45) (xy 190.099115 97.449999) (xy 190.097914 97.442415) + (xy 190.044408 97.277744) (xy 189.965804 97.123475) (xy 189.864032 96.983397) (xy 189.741602 96.860967) + (xy 189.601524 96.759195) (xy 189.447257 96.680591) (xy 189.282584 96.627085) (xy 189.111571 96.6) + (xy 189 96.6) (xy 189 97.41967) (xy 188.980255 97.399925) (xy 188.894745 97.350556) (xy 188.79937 97.325) + (xy 188.70063 97.325) (xy 188.605255 97.350556) (xy 188.519745 97.399925) (xy 188.5 97.41967) (xy 188.5 96.6) + (xy 188.388429 96.6) (xy 188.217415 96.627085) (xy 188.052742 96.680591) (xy 187.898475 96.759195) + (xy 187.758397 96.860967) (xy 187.635967 96.983397) (xy 187.534195 97.123475) (xy 187.455591 97.277744) + (xy 187.402085 97.442415) (xy 187.400884 97.449999) (xy 187.400885 97.45) (xy 188.46967 97.45) (xy 188.449925 97.469745) + (xy 188.400556 97.555255) (xy 188.375 97.65063) (xy 188.375 97.74937) (xy 188.400556 97.844745) + (xy 188.449925 97.930255) (xy 188.46967 97.95) (xy 187.400885 97.95) (xy 187.402085 97.957584) (xy 187.455591 98.122255) + (xy 187.534195 98.276524) (xy 187.635967 98.416602) (xy 187.758401 98.539036) (xy 187.841447 98.599371) + (xy 187.884114 98.654701) (xy 187.890093 98.724314) (xy 187.857488 98.786109) (xy 187.84145 98.800007) + (xy 187.758072 98.860585) (xy 187.635588 98.983069) (xy 187.635588 98.98307) (xy 187.635586 98.983072) + (xy 187.623288 98.999999) (xy 187.533768 99.123211) (xy 187.455128 99.277552) (xy 187.401597 99.442302) + (xy 187.37642 99.601264) (xy 187.3745 99.613389) (xy 187.3745 99.786611) (xy 187.401598 99.957701) + (xy 187.455127 100.122445) (xy 187.533768 100.276788) (xy 187.635586 100.416928) (xy 187.758072 100.539414) + (xy 187.758078 100.539418) (xy 187.841023 100.599683) (xy 187.883689 100.655013) (xy 187.889667 100.724626) + (xy 187.857061 100.786421) (xy 187.841023 100.800317) (xy 187.758078 100.860581) (xy 187.758069 100.860588) + (xy 187.635588 100.983069) (xy 187.635588 100.98307) (xy 187.635586 100.983072) (xy 187.605027 101.025133) + (xy 187.533768 101.123211) (xy 187.455128 101.277552) (xy 187.401597 101.442302) (xy 187.377178 101.59648) + (xy 187.3745 101.613389) (xy 187.3745 101.786611) (xy 187.385585 101.856603) (xy 187.376632 101.925895) + (xy 187.331636 101.979347) (xy 187.264884 101.999987) (xy 187.263113 102) (xy 187.249999 102) (xy 186.75 102.499999) + (xy 186.75 102.5) (xy 186.75 105.5) (xy 187.25 106) (xy 189.948638 106) (xy 190.015677 106.019685) + (xy 190.036319 106.036319) (xy 190.463681 106.463681) (xy 190.497166 106.525004) (xy 190.5 106.551362) + (xy 190.5 110.25) (xy 190.588319 110.338319) (xy 190.621804 110.399642) (xy 190.61682 110.469334) + (xy 190.574948 110.525267) (xy 190.509484 110.549684) (xy 190.500638 110.55) (xy 190.5 110.55) (xy 190.5 114.949999) + (xy 190.649972 114.949999) (xy 190.649986 114.949998) (xy 190.752697 114.939505) (xy 190.919119 114.884358) + (xy 190.919124 114.884356) (xy 191.068342 114.792317) (xy 191.161964 114.698695) (xy 191.223287 114.66521) + (xy 191.292979 114.670194) (xy 191.337327 114.698695) (xy 191.431344 114.792712) (xy 191.580666 114.884814) + (xy 191.747203 114.939999) (xy 191.849991 114.9505) (xy 192.650008 114.950499) (xy 192.650016 114.950498) + (xy 192.650019 114.950498) (xy 192.706302 114.944748) (xy 192.752797 114.939999) (xy 192.836996 114.912097) + (xy 192.906824 114.909696) (xy 192.966866 114.945428) (xy 192.998059 115.007948) (xy 193 115.029804) + (xy 193 116.043638) (xy 192.980315 116.110677) (xy 192.963681 116.131319) (xy 192.945 116.15) (xy 192.945 117.805) + (xy 192.75 118) (xy 191.595001 118) (xy 191.595001 118.126) (xy 191.575316 118.193039) (xy 191.522512 118.238794) + (xy 191.471001 118.25) (xy 191.135023 118.25) (xy 191.067984 118.230315) (xy 191.022229 118.177511) + (xy 191.012285 118.108353) (xy 191.04131 118.044797) (xy 191.047342 118.038319) (xy 191.067315 118.018345) + (xy 191.159356 117.869124) (xy 191.159358 117.869119) (xy 191.214505 117.702697) (xy 191.214506 117.70269) + (xy 191.224999 117.599986) (xy 191.225 117.599973) (xy 191.225 117.5) (xy 191.595 117.5) (xy 192.445 117.5) + (xy 192.445 116.15) (xy 192.295027 116.15) (xy 192.295012 116.150001) (xy 192.192302 116.160494) + (xy 192.02588 116.215641) (xy 192.025875 116.215643) (xy 191.876654 116.307684) (xy 191.752684 116.431654) + (xy 191.660643 116.580875) (xy 191.660641 116.58088) (xy 191.605494 116.747302) (xy 191.605493 116.747309) + (xy 191.595 116.850013) (xy 191.595 117.5) (xy 191.225 117.5) (xy 191.225 117.4625) (xy 188.775001 117.4625) + (xy 188.77382 117.463681) (xy 188.712497 117.497166) (xy 188.686139 117.5) (xy 187.374 117.5) (xy 187.306961 117.480315) + (xy 187.261206 117.427511) (xy 187.25 117.376) (xy 187.25 117) (xy 187.75 117) (xy 188.699999 117) + (xy 188.70118 116.998819) (xy 188.762503 116.965334) (xy 188.788861 116.9625) (xy 189.75 116.9625) + (xy 189.75 116.125) (xy 190.25 116.125) (xy 190.25 116.9625) (xy 191.224999 116.9625) (xy 191.224999 116.825028) + (xy 191.224998 116.825013) (xy 191.214505 116.722302) (xy 191.159358 116.55588) (xy 191.159356 116.555875) + (xy 191.067315 116.406654) (xy 190.943345 116.282684) (xy 190.794124 116.190643) (xy 190.794119 116.190641) + (xy 190.627697 116.135494) (xy 190.62769 116.135493) (xy 190.524986 116.125) (xy 190.25 116.125) + (xy 189.75 116.125) (xy 189.475029 116.125) (xy 189.475012 116.125001) (xy 189.372302 116.135494) + (xy 189.20588 116.190641) (xy 189.205875 116.190643) (xy 189.056654 116.282684) (xy 188.932684 116.406654) + (xy 188.836851 116.562025) (xy 188.834801 116.56076) (xy 188.79619 116.604595) (xy 188.728993 116.623734) + (xy 188.662116 116.603505) (xy 188.624462 116.564835) (xy 188.542315 116.431654) (xy 188.418345 116.307684) + (xy 188.269124 116.215643) (xy 188.269119 116.215641) (xy 188.102697 116.160494) (xy 188.10269 116.160493) + (xy 187.999986 116.15) (xy 187.75 116.15) (xy 187.75 117) (xy 187.25 117) (xy 187.25 116.15) (xy 187.000029 116.15) + (xy 187.000012 116.150001) (xy 186.897302 116.160494) (xy 186.73088 116.215641) (xy 186.730871 116.215645) + (xy 186.689096 116.241413) (xy 186.621704 116.259853) (xy 186.55504 116.23893) (xy 186.510271 116.185288) + (xy 186.5 116.135874) (xy 186.5 115.75) (xy 186 115.25) (xy 180.051362 115.25) (xy 179.984323 115.230315) + (xy 179.963681 115.213681) (xy 179.836819 115.086819) (xy 179.803334 115.025496) (xy 179.8005 114.999138) + (xy 179.8005 112.765006) (xy 180.5947 112.765006) (xy 180.613864 112.996297) (xy 180.613866 112.996308) + (xy 180.670842 113.2213) (xy 180.764075 113.433848) (xy 180.891016 113.628147) (xy 180.891019 113.628151) + (xy 180.891021 113.628153) (xy 181.048216 113.798913) (xy 181.048219 113.798915) (xy 181.048222 113.798918) + (xy 181.231365 113.941464) (xy 181.231371 113.941468) (xy 181.231374 113.94147) (xy 181.435497 114.051936) + (xy 181.549487 114.091068) (xy 181.655015 114.127297) (xy 181.655017 114.127297) (xy 181.655019 114.127298) + (xy 181.883951 114.1655) (xy 181.883952 114.1655) (xy 182.116048 114.1655) (xy 182.116049 114.1655) + (xy 182.344981 114.127298) (xy 182.564503 114.051936) (xy 182.660472 114) (xy 189.150001 114) (xy 189.150001 114.249986) + (xy 189.160494 114.352697) (xy 189.215641 114.519119) (xy 189.215643 114.519124) (xy 189.307684 114.668345) + (xy 189.431654 114.792315) (xy 189.580875 114.884356) (xy 189.58088 114.884358) (xy 189.747302 114.939505) + (xy 189.747309 114.939506) (xy 189.850019 114.949999) (xy 189.999999 114.949999) (xy 190 114.949998) + (xy 190 114) (xy 189.150001 114) (xy 182.660472 114) (xy 182.768626 113.94147) (xy 182.951784 113.798913) + (xy 183.108979 113.628153) (xy 183.235924 113.433849) (xy 183.235926 113.433843) (xy 183.237747 113.430481) + (xy 183.286967 113.380891) (xy 183.346801 113.3655) (xy 184.95277 113.3655) (xy 185.019809 113.385185) + (xy 185.040451 113.401819) (xy 185.081344 113.442712) (xy 185.230666 113.534814) (xy 185.397203 113.589999) + (xy 185.499991 113.6005) (xy 186.500008 113.600499) (xy 186.500016 113.600498) (xy 186.500019 113.600498) + (xy 186.556302 113.594748) (xy 186.602797 113.589999) (xy 186.769334 113.534814) (xy 186.825777 113.5) + (xy 189.15 113.5) (xy 190 113.5) (xy 190 112) (xy 189.150001 112) (xy 189.150001 112.249986) (xy 189.160494 112.352697) + (xy 189.215641 112.519119) (xy 189.215643 112.519124) (xy 189.307685 112.668346) (xy 189.311435 112.673089) + (xy 189.337576 112.737885) (xy 189.324535 112.806527) (xy 189.311435 112.826911) (xy 189.307685 112.831653) + (xy 189.215643 112.980875) (xy 189.215641 112.98088) (xy 189.160494 113.147302) (xy 189.160493 113.147309) + (xy 189.15 113.250013) (xy 189.15 113.5) (xy 186.825777 113.5) (xy 186.918656 113.442712) (xy 187.042712 113.318656) + (xy 187.056596 113.296145) (xy 187.108542 113.24942) (xy 187.177505 113.238196) (xy 187.203088 113.244198) + (xy 187.246283 113.259312) (xy 187.32074 113.285367) (xy 187.32075 113.285369) (xy 187.499996 113.305565) + (xy 187.5 113.305565) (xy 187.500004 113.305565) (xy 187.679249 113.285369) (xy 187.679252 113.285368) + (xy 187.679255 113.285368) (xy 187.849522 113.225789) (xy 188.002262 113.129816) (xy 188.129816 113.002262) + (xy 188.225789 112.849522) (xy 188.285368 112.679255) (xy 188.286063 112.673089) (xy 188.305565 112.500003) + (xy 188.305565 112.499996) (xy 188.285369 112.32075) (xy 188.285368 112.320745) (xy 188.260608 112.249986) + (xy 188.225789 112.150478) (xy 188.212185 112.128828) (xy 188.164604 112.053103) (xy 188.129816 111.997738) + (xy 188.002262 111.870184) (xy 187.939365 111.830663) (xy 187.849523 111.774211) (xy 187.679254 111.714631) + (xy 187.679249 111.71463) (xy 187.500004 111.694435) (xy 187.499996 111.694435) (xy 187.32075 111.71463) + (xy 187.320742 111.714632) (xy 187.203089 111.755801) (xy 187.133311 111.759362) (xy 187.072683 111.724633) + (xy 187.056599 111.703859) (xy 187.042712 111.681344) (xy 186.949049 111.587681) (xy 186.915564 111.526358) + (xy 186.917449 111.5) (xy 189.15 111.5) (xy 190 111.5) (xy 190 110.55) (xy 189.850027 110.55) (xy 189.850012 110.550001) + (xy 189.747302 110.560494) (xy 189.58088 110.615641) (xy 189.580875 110.615643) (xy 189.431654 110.707684) + (xy 189.307684 110.831654) (xy 189.215643 110.980875) (xy 189.215641 110.98088) (xy 189.160494 111.147302) + (xy 189.160493 111.147309) (xy 189.15 111.250013) (xy 189.15 111.5) (xy 186.917449 111.5) (xy 186.920548 111.456666) + (xy 186.949049 111.412319) (xy 186.994282 111.367086) (xy 187.042712 111.318656) (xy 187.056596 111.296145) + (xy 187.108542 111.24942) (xy 187.177505 111.238196) (xy 187.203088 111.244198) (xy 187.246283 111.259312) + (xy 187.32074 111.285367) (xy 187.32075 111.285369) (xy 187.499996 111.305565) (xy 187.5 111.305565) + (xy 187.500004 111.305565) (xy 187.679249 111.285369) (xy 187.679252 111.285368) (xy 187.679255 111.285368) + (xy 187.849522 111.225789) (xy 188.002262 111.129816) (xy 188.129816 111.002262) (xy 188.225789 110.849522) + (xy 188.285368 110.679255) (xy 188.285369 110.679249) (xy 188.305565 110.500003) (xy 188.305565 110.499996) + (xy 188.285369 110.32075) (xy 188.285368 110.320745) (xy 188.225788 110.150476) (xy 188.163475 110.051306) + (xy 188.129816 109.997738) (xy 188.002262 109.870184) (xy 187.849523 109.774211) (xy 187.679254 109.714631) + (xy 187.679249 109.71463) (xy 187.500004 109.694435) (xy 187.499996 109.694435) (xy 187.32075 109.71463) + (xy 187.320742 109.714632) (xy 187.203089 109.755801) (xy 187.133311 109.759362) (xy 187.072683 109.724633) + (xy 187.056599 109.703859) (xy 187.042712 109.681344) (xy 186.918656 109.557288) (xy 186.769334 109.465186) + (xy 186.602797 109.410001) (xy 186.602795 109.41) (xy 186.50001 109.3995) (xy 185.499998 109.3995) + (xy 185.49998 109.399501) (xy 185.397203 109.41) (xy 185.3972 109.410001) (xy 185.230668 109.465185) + (xy 185.230663 109.465187) (xy 185.081342 109.557289) (xy 184.957289 109.681342) (xy 184.865187 109.830663) + (xy 184.865185 109.830668) (xy 184.852091 109.870184) (xy 184.810001 109.997203) (xy 184.810001 109.997204) + (xy 184.81 109.997204) (xy 184.7995 110.099983) (xy 184.7995 110.900001) (xy 184.799501 110.900019) + (xy 184.81 111.002796) (xy 184.810001 111.002799) (xy 184.852091 111.129815) (xy 184.865186 111.169334) + (xy 184.949213 111.305565) (xy 184.957289 111.318657) (xy 185.050951 111.412319) (xy 185.084436 111.473642) + (xy 185.079452 111.543334) (xy 185.050951 111.587681) (xy 184.957289 111.681342) (xy 184.865187 111.830663) + (xy 184.865185 111.830668) (xy 184.810001 111.997204) (xy 184.81 111.997205) (xy 184.80429 112.053103) + (xy 184.777894 112.117795) (xy 184.720713 112.157946) (xy 184.680932 112.1645) (xy 183.346801 112.1645) + (xy 183.279762 112.144815) (xy 183.237747 112.099519) (xy 183.235924 112.096151) (xy 183.108981 111.901849) + (xy 183.013832 111.798489) (xy 182.98291 111.735835) (xy 182.99077 111.666409) (xy 183.034918 111.612253) + (xy 183.06173 111.598325) (xy 183.142084 111.568355) (xy 183.142093 111.56835) (xy 183.257187 111.48219) + (xy 183.25719 111.482187) (xy 183.34335 111.367093) (xy 183.343354 111.367086) (xy 183.393596 111.232379) + (xy 183.393598 111.232372) (xy 183.399999 111.172844) (xy 183.4 111.172827) (xy 183.4 110.475) (xy 182.375278 110.475) + (xy 182.419333 110.398694) (xy 182.45 110.284244) (xy 182.45 110.165756) (xy 182.419333 110.051306) + (xy 182.375278 109.975) (xy 183.4 109.975) (xy 183.4 109.277172) (xy 183.399999 109.277155) (xy 183.393598 109.217627) + (xy 183.393596 109.21762) (xy 183.343354 109.082913) (xy 183.34335 109.082906) (xy 183.25719 108.967812) + (xy 183.257187 108.967809) (xy 183.142093 108.881649) (xy 183.142086 108.881645) (xy 183.007379 108.831403) + (xy 183.007372 108.831401) (xy 182.947844 108.825) (xy 182.25 108.825) (xy 182.25 109.849722) (xy 182.173694 109.805667) + (xy 182.059244 109.775) (xy 181.940756 109.775) (xy 181.826306 109.805667) (xy 181.75 109.849722) + (xy 181.75 108.825) (xy 181.052155 108.825) (xy 180.992627 108.831401) (xy 180.99262 108.831403) + (xy 180.857913 108.881645) (xy 180.857906 108.881649) (xy 180.742812 108.967809) (xy 180.742809 108.967812) + (xy 180.656649 109.082906) (xy 180.656645 109.082913) (xy 180.606403 109.21762) (xy 180.606401 109.217627) + (xy 180.6 109.277155) (xy 180.6 109.975) (xy 181.624722 109.975) (xy 181.580667 110.051306) (xy 181.55 110.165756) + (xy 181.55 110.284244) (xy 181.580667 110.398694) (xy 181.624722 110.475) (xy 180.6 110.475) (xy 180.6 111.172844) + (xy 180.606401 111.232372) (xy 180.606403 111.232379) (xy 180.656645 111.367086) (xy 180.656649 111.367093) + (xy 180.742809 111.482187) (xy 180.742812 111.48219) (xy 180.857906 111.56835) (xy 180.857913 111.568354) + (xy 180.93827 111.598325) (xy 180.994204 111.640196) (xy 181.018621 111.70566) (xy 181.00377 111.773933) + (xy 180.986168 111.798489) (xy 180.891021 111.901847) (xy 180.891019 111.901848) (xy 180.891016 111.901853) + (xy 180.764075 112.096151) (xy 180.670842 112.308699) (xy 180.613866 112.533691) (xy 180.613864 112.533702) + (xy 180.5947 112.764993) (xy 180.5947 112.765006) (xy 179.8005 112.765006) (xy 179.8005 98.768004) + (xy 181.1995 98.768004) (xy 181.199501 98.76802) (xy 181.230306 99.00201) (xy 181.291394 99.229993) + (xy 181.381714 99.448045) (xy 181.381719 99.448056) (xy 181.414981 99.505666) (xy 181.499727 99.65245) + (xy 181.499729 99.652453) (xy 181.49973 99.652454) (xy 181.643406 99.839697) (xy 181.643412 99.839704) + (xy 181.810295 100.006587) (xy 181.810301 100.006592) (xy 181.99755 100.150273) (xy 182.128918 100.226118) + (xy 182.201943 100.26828) (xy 182.201948 100.268282) (xy 182.201951 100.268284) (xy 182.420007 100.358606) + (xy 182.647986 100.419693) (xy 182.881989 100.4505) (xy 182.881996 100.4505) (xy 183.118004 100.4505) + (xy 183.118011 100.4505) (xy 183.352014 100.419693) (xy 183.579993 100.358606) (xy 183.798049 100.268284) + (xy 184.00245 100.150273) (xy 184.189699 100.006592) (xy 184.356592 99.839699) (xy 184.500273 99.65245) + (xy 184.618284 99.448049) (xy 184.708606 99.229993) (xy 184.769693 99.002014) (xy 184.8005 98.768011) + (xy 184.8005 98.531989) (xy 184.769693 98.297986) (xy 184.708606 98.070007) (xy 184.618284 97.851951) + (xy 184.618282 97.851948) (xy 184.61828 97.851943) (xy 184.559059 97.74937) (xy 184.500273 97.64755) + (xy 184.356592 97.460301) (xy 184.356587 97.460295) (xy 184.189704 97.293412) (xy 184.189697 97.293406) + (xy 184.002454 97.14973) (xy 184.002453 97.149729) (xy 184.00245 97.149727) (xy 183.920957 97.102677) + (xy 183.798056 97.031719) (xy 183.798045 97.031714) (xy 183.579993 96.941394) (xy 183.35201 96.880306) + (xy 183.11802 96.849501) (xy 183.118017 96.8495) (xy 183.118011 96.8495) (xy 182.881989 96.8495) + (xy 182.881983 96.8495) (xy 182.881979 96.849501) (xy 182.647989 96.880306) (xy 182.420006 96.941394) + (xy 182.201954 97.031714) (xy 182.201943 97.031719) (xy 181.997545 97.14973) (xy 181.810302 97.293406) + (xy 181.810295 97.293412) (xy 181.643412 97.460295) (xy 181.643406 97.460302) (xy 181.49973 97.647545) + (xy 181.381719 97.851943) (xy 181.381714 97.851954) (xy 181.291394 98.070006) (xy 181.230306 98.297989) + (xy 181.199501 98.531979) (xy 181.1995 98.531995) (xy 181.1995 98.768004) (xy 179.8005 98.768004) + (xy 179.8005 95.6745) (xy 179.820185 95.607461) (xy 179.872989 95.561706) (xy 179.9245 95.5505) + (xy 207.232983 95.5505) + ) + ) + ) + (zone + (net 2) + (net_name "GND") + (layer "F.Cu") + (uuid "d368f8ab-21b5-48b0-9bda-2d99533df5f0") + (name "GND") + (hatch edge 0.5) + (connect_pads + (clearance 0.127) + ) + (min_thickness 0.2) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 218 137.25) (xy 218 130) (xy 221.75 130) (xy 225 126.75) (xy 225 105.25) (xy 222.75 105.25) + (xy 222.75 99.75) (xy 232.25 99.75) (xy 232.25 109.25) (xy 234.5 109.25) (xy 234.5 137.25) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 231.767183 99.768907) (xy 231.778996 99.778996) (xy 232.221004 100.221004) (xy 232.248781 100.275521) + (xy 232.25 100.291008) (xy 232.25 101.9191) (xy 232.231093 101.977291) (xy 232.181593 102.013255) + (xy 232.151 102.0181) (xy 232.01887 102.0181) (xy 232.001219 102.025411) (xy 231.983567 102.032723) + (xy 231.933641 102.053402) (xy 231.415839 102.571204) (xy 231.361322 102.598981) (xy 231.345835 102.6002) + (xy 230.134323 102.6002) (xy 230.116672 102.607511) (xy 230.09902 102.614823) (xy 230.049094 102.635502) + (xy 228.700739 103.983857) (xy 228.700738 103.983856) (xy 228.635504 104.049091) (xy 228.6002 104.134324) + (xy 228.6002 109.730835) (xy 228.581293 109.789026) (xy 228.571204 109.800839) (xy 227.868639 110.503404) + (xy 227.868638 110.503403) (xy 227.803404 110.568638) (xy 227.7681 110.653871) (xy 227.7681 110.9235) + (xy 227.749193 110.981691) (xy 227.699693 111.017655) (xy 227.669101 111.0225) (xy 227.514177 111.0225) + (xy 227.514175 111.0225) (xy 227.514174 111.022501) (xy 227.489714 111.026064) (xy 227.440794 111.033191) + (xy 227.32761 111.088524) (xy 227.238524 111.17761) (xy 227.183191 111.290794) (xy 227.1725 111.364176) + (xy 227.1725 112.135821) (xy 227.1725 112.135823) (xy 227.172501 112.135826) (xy 227.183191 112.209204) + (xy 227.238524 112.32239) (xy 227.32761 112.411476) (xy 227.440796 112.466809) (xy 227.514173 112.4775) + (xy 228.485826 112.477499) (xy 228.559204 112.466809) (xy 228.67239 112.411476) (xy 228.761476 112.32239) + (xy 228.816809 112.209204) (xy 228.8275 112.135827) (xy 228.827499 111.364174) (xy 228.816809 111.290796) + (xy 228.761476 111.17761) (xy 228.67239 111.088524) (xy 228.615797 111.060857) (xy 228.559205 111.033191) + (xy 228.540859 111.030518) (xy 228.485827 111.0225) (xy 228.485824 111.0225) (xy 228.3309 111.0225) + (xy 228.272709 111.003593) (xy 228.236745 110.954093) (xy 228.2319 110.9235) (xy 228.2319 110.837063) + (xy 228.250807 110.778872) (xy 228.260896 110.767059) (xy 228.929996 110.097959) (xy 228.984513 110.070182) + (xy 229.044945 110.079753) (xy 229.070004 110.097959) (xy 229.739104 110.767059) (xy 229.766881 110.821576) + (xy 229.7681 110.837063) (xy 229.7681 110.9235) (xy 229.749193 110.981691) (xy 229.699693 111.017655) + (xy 229.669101 111.0225) (xy 229.514177 111.0225) (xy 229.514175 111.0225) (xy 229.514174 111.022501) + (xy 229.489714 111.026064) (xy 229.440794 111.033191) (xy 229.32761 111.088524) (xy 229.238524 111.17761) + (xy 229.183191 111.290794) (xy 229.1725 111.364176) (xy 229.1725 112.135821) (xy 229.1725 112.135823) + (xy 229.172501 112.135826) (xy 229.183191 112.209204) (xy 229.238524 112.32239) (xy 229.32761 112.411476) + (xy 229.440796 112.466809) (xy 229.514173 112.4775) (xy 230.485826 112.477499) (xy 230.559204 112.466809) + (xy 230.67239 112.411476) (xy 230.761476 112.32239) (xy 230.816809 112.209204) (xy 230.8275 112.135827) + (xy 230.827499 111.364174) (xy 230.816809 111.290796) (xy 230.761476 111.17761) (xy 230.67239 111.088524) + (xy 230.615797 111.060857) (xy 230.559205 111.033191) (xy 230.540859 111.030518) (xy 230.485827 111.0225) + (xy 230.485824 111.0225) (xy 230.3309 111.0225) (xy 230.272709 111.003593) (xy 230.236745 110.954093) + (xy 230.2319 110.9235) (xy 230.2319 110.653871) (xy 230.196595 110.568638) (xy 230.131361 110.503403) + (xy 230.131361 110.503404) (xy 229.428796 109.800839) (xy 229.401019 109.746322) (xy 229.3998 109.730835) + (xy 229.3998 104.456612) (xy 229.418707 104.398421) (xy 229.428796 104.386608) (xy 230.386608 103.428796) + (xy 230.441125 103.401019) (xy 230.456612 103.3998) (xy 231.345835 103.3998) (xy 231.404026 103.418707) + (xy 231.415839 103.428796) (xy 231.933639 103.946596) (xy 231.983565 103.967275) (xy 231.983566 103.967276) + (xy 232.001219 103.974588) (xy 232.018871 103.9819) (xy 232.018872 103.9819) (xy 232.111127 103.9819) + (xy 232.151 103.9819) (xy 232.209191 104.000807) (xy 232.245155 104.050307) (xy 232.25 104.0809) + (xy 232.25 108.75) (xy 232.75 109.25) (xy 233.958992 109.25) (xy 234.017183 109.268907) (xy 234.028996 109.278996) + (xy 234.170504 109.420504) (xy 234.198281 109.475021) (xy 234.1995 109.490508) (xy 234.1995 136.8505) + (xy 234.180593 136.908691) (xy 234.131093 136.944655) (xy 234.1005 136.9495) (xy 218.240508 136.9495) + (xy 218.182317 136.930593) (xy 218.170504 136.920504) (xy 218.062213 136.812213) (xy 218.034436 136.757696) + (xy 218.044007 136.697264) (xy 218.087272 136.653999) (xy 218.101627 136.648054) (xy 218.107199 136.646243) + (xy 218.107201 136.646242) (xy 218.257968 136.569423) (xy 218.394861 136.469964) (xy 218.514512 136.350313) + (xy 218.613971 136.21342) (xy 218.69079 136.062653) (xy 218.690792 136.06265) (xy 218.743077 135.901735) + (xy 218.743078 135.901731) (xy 218.769548 135.734607) (xy 218.769548 135.575001) (xy 218.769547 135.575) + (xy 218 135.575) (xy 218 135.075) (xy 218.769547 135.075) (xy 218.769548 135.074999) (xy 218.769548 134.915392) + (xy 218.743078 134.748268) (xy 218.743077 134.748264) (xy 218.690792 134.587349) (xy 218.69079 134.587346) + (xy 218.613971 134.436579) (xy 218.514512 134.299686) (xy 218.394861 134.180035) (xy 218.257969 134.080577) + (xy 218.1072 134.003757) (xy 218.068406 133.991152) (xy 218.018907 133.955188) (xy 218 133.896998) + (xy 218 133.100202) (xy 218.018907 133.042011) (xy 218.068407 133.006047) (xy 218.083514 133.002421) + (xy 218.1314 132.994837) (xy 218.314786 132.935251) (xy 218.439206 132.871856) (xy 218.499639 132.862284) + (xy 218.554155 132.890061) (xy 218.569888 132.910565) (xy 218.584141 132.935252) (xy 218.589028 132.943716) + (xy 218.700832 133.05552) (xy 218.837764 133.134577) (xy 218.990491 133.1755) (xy 218.990493 133.1755) + (xy 219.148603 133.1755) (xy 219.148605 133.1755) (xy 219.301332 133.134577) (xy 219.438264 133.05552) + (xy 219.550068 132.943716) (xy 219.629125 132.806784) (xy 219.670048 132.654057) (xy 219.670048 132.495943) + (xy 219.63754 132.374621) (xy 219.640743 132.313522) (xy 219.679248 132.265972) (xy 219.733167 132.25) + (xy 219.930701 132.25) (xy 219.976464 132.263883) (xy 219.976816 132.263035) (xy 219.98543 132.266603) + (xy 219.985704 132.266686) (xy 219.985824 132.266765) (xy 219.985826 132.266767) (xy 219.996875 132.268964) + (xy 220.02972 132.275499) (xy 220.029726 132.275499) (xy 220.029728 132.2755) (xy 220.029729 132.2755) + (xy 220.509367 132.2755) (xy 220.509368 132.2755) (xy 220.509369 132.275499) (xy 220.509375 132.275499) + (xy 220.562832 132.264865) (xy 220.563222 132.266825) (xy 220.611751 132.263003) (xy 220.663923 132.294968) + (xy 220.687342 132.351494) (xy 220.687648 132.359269) (xy 220.687648 132.496128) (xy 220.722951 132.581359) + (xy 220.722952 132.58136) (xy 220.722953 132.581362) (xy 220.815753 132.674162) (xy 220.843529 132.728677) + (xy 220.844748 132.744164) (xy 220.844748 133.040675) (xy 220.880051 133.125906) (xy 220.880052 133.125907) + (xy 220.880053 133.125909) (xy 221.622153 133.86801) (xy 221.868639 134.114496) (xy 221.928876 134.139446) + (xy 221.928883 134.139448) (xy 221.928908 134.139459) (xy 221.953872 134.1498) (xy 221.953873 134.1498) + (xy 221.953875 134.1498) (xy 224.539183 134.1498) (xy 224.597374 134.168707) (xy 224.633338 134.218207) + (xy 224.633338 134.279393) (xy 224.619276 134.306991) (xy 224.525124 134.436579) (xy 224.448305 134.587346) + (xy 224.448303 134.587349) (xy 224.396018 134.748264) (xy 224.396017 134.748268) (xy 224.369548 134.915392) + (xy 224.369548 135.074999) (xy 224.369549 135.075) (xy 225.169548 135.075) (xy 225.169548 135.575) + (xy 224.369549 135.575) (xy 224.369548 135.575001) (xy 224.369548 135.734607) (xy 224.396017 135.901731) + (xy 224.396018 135.901735) (xy 224.448303 136.06265) (xy 224.448305 136.062653) (xy 224.525124 136.21342) + (xy 224.624583 136.350313) (xy 224.744234 136.469964) (xy 224.881127 136.569423) (xy 225.031894 136.646242) + (xy 225.031897 136.646244) (xy 225.192812 136.698529) (xy 225.192816 136.69853) (xy 225.194548 136.698804) + (xy 225.194548 135.765056) (xy 225.211414 135.805775) (xy 225.288773 135.883134) (xy 225.389847 135.925) + (xy 225.499249 135.925) (xy 225.600323 135.883134) (xy 225.677682 135.805775) (xy 225.694548 135.765056) + (xy 225.694548 136.698804) (xy 225.696279 136.69853) (xy 225.696283 136.698529) (xy 225.857198 136.646244) + (xy 225.857201 136.646242) (xy 226.007968 136.569423) (xy 226.144861 136.469964) (xy 226.264512 136.350313) + (xy 226.363971 136.21342) (xy 226.44079 136.062653) (xy 226.440792 136.06265) (xy 226.493077 135.901735) + (xy 226.493078 135.901731) (xy 226.519548 135.734607) (xy 226.519548 135.575001) (xy 226.519547 135.575) + (xy 225.719548 135.575) (xy 225.719548 135.075) (xy 226.519547 135.075) (xy 226.519548 135.074999) + (xy 226.519548 134.915392) (xy 226.493078 134.748268) (xy 226.493077 134.748264) (xy 226.440792 134.587349) + (xy 226.44079 134.587346) (xy 226.363971 134.436579) (xy 226.264512 134.299686) (xy 226.144861 134.180035) + (xy 226.007967 134.080575) (xy 226.007965 134.080574) (xy 225.994505 134.073716) (xy 225.951241 134.030451) + (xy 225.94167 133.970019) (xy 225.969444 133.915507) (xy 226.016939 133.868012) (xy 229.1995 133.868012) + (xy 229.230306 134.102006) (xy 229.230306 134.102011) (xy 229.291393 134.329992) (xy 229.381713 134.548044) + (xy 229.499729 134.752453) (xy 229.643402 134.939692) (xy 229.643404 134.939694) (xy 229.643408 134.939699) + (xy 229.810301 135.106592) (xy 229.810305 135.106595) (xy 229.810307 135.106597) (xy 229.997546 135.25027) + (xy 229.99755 135.250273) (xy 230.201951 135.368284) (xy 230.201952 135.368284) (xy 230.201955 135.368286) + (xy 230.420007 135.458606) (xy 230.647986 135.519693) (xy 230.881989 135.5505) (xy 230.88199 135.5505) + (xy 231.11801 135.5505) (xy 231.118011 135.5505) (xy 231.352014 135.519693) (xy 231.579993 135.458606) + (xy 231.798049 135.368284) (xy 232.00245 135.250273) (xy 232.189699 135.106592) (xy 232.356592 134.939699) + (xy 232.500273 134.75245) (xy 232.618284 134.548049) (xy 232.708606 134.329993) (xy 232.769693 134.102014) + (xy 232.8005 133.868011) (xy 232.8005 133.631989) (xy 232.769693 133.397986) (xy 232.708606 133.170007) + (xy 232.661184 133.05552) (xy 232.618286 132.951955) (xy 232.616246 132.948421) (xy 232.500273 132.74755) + (xy 232.43407 132.661272) (xy 232.356597 132.560307) (xy 232.356595 132.560305) (xy 232.356592 132.560301) + (xy 232.189699 132.393408) (xy 232.189694 132.393404) (xy 232.189692 132.393402) (xy 232.002453 132.249729) + (xy 231.994435 132.2451) (xy 231.798049 132.131716) (xy 231.798044 132.131713) (xy 231.579992 132.041393) + (xy 231.415425 131.997298) (xy 231.352014 131.980307) (xy 231.352011 131.980306) (xy 231.352009 131.980306) + (xy 231.118012 131.9495) (xy 231.118011 131.9495) (xy 230.881989 131.9495) (xy 230.881987 131.9495) + (xy 230.647993 131.980306) (xy 230.647988 131.980306) (xy 230.420007 132.041393) (xy 230.201955 132.131713) + (xy 229.997546 132.249729) (xy 229.810307 132.393402) (xy 229.643402 132.560307) (xy 229.499729 132.747546) + (xy 229.381713 132.951955) (xy 229.291393 133.170007) (xy 229.230306 133.397988) (xy 229.230306 133.397993) + (xy 229.1995 133.631987) (xy 229.1995 133.868012) (xy 226.016939 133.868012) (xy 227.864495 132.020457) + (xy 227.883531 131.9745) (xy 227.8998 131.935224) (xy 227.8998 129.719164) (xy 227.918707 129.660973) + (xy 227.92879 129.649166) (xy 228.246595 129.331362) (xy 228.2819 129.246128) (xy 228.2819 129.174394) + (xy 228.300807 129.116203) (xy 228.325899 129.092078) (xy 228.33114 129.088575) (xy 228.331143 129.088575) + (xy 228.41053 129.03553) (xy 228.450975 128.975) (xy 229.357359 128.975) (xy 229.395909 129.107688) + (xy 229.395909 129.107689) (xy 229.474681 129.240885) (xy 229.584114 129.350318) (xy 229.71731 129.42909) + (xy 229.717314 129.429091) (xy 229.865922 129.472267) (xy 229.900652 129.474999) (xy 229.925 129.474998) + (xy 230.375 129.474998) (xy 230.375001 129.474999) (xy 230.399347 129.474999) (xy 230.434076 129.472266) + (xy 230.434077 129.472266) (xy 230.582685 129.429091) (xy 230.582689 129.42909) (xy 230.715885 129.350318) + (xy 230.825318 129.240885) (xy 230.90409 129.107689) (xy 230.90409 129.107688) (xy 230.942641 128.975) + (xy 230.375001 128.975) (xy 230.375 128.975001) (xy 230.375 129.474998) (xy 229.925 129.474998) + (xy 229.925 128.975001) (xy 229.924999 128.975) (xy 229.357359 128.975) (xy 228.450975 128.975) + (xy 228.463575 128.956143) (xy 228.4775 128.886138) (xy 228.4775 128.613862) (xy 228.463575 128.543857) + (xy 228.450975 128.525) (xy 229.357358 128.525) (xy 229.924999 128.525) (xy 229.925 128.524999) + (xy 230.375 128.524999) (xy 230.375001 128.525) (xy 230.942641 128.525) (xy 230.90409 128.392311) + (xy 230.90409 128.39231) (xy 230.825318 128.259114) (xy 230.715885 128.149681) (xy 230.582689 128.070909) + (xy 230.582685 128.070908) (xy 230.434077 128.027733) (xy 230.399348 128.025) (xy 230.375001 128.025) + (xy 230.375 128.025001) (xy 230.375 128.524999) (xy 229.925 128.524999) (xy 229.925 128.025) (xy 229.924999 128.024999) + (xy 229.900652 128.025) (xy 229.865923 128.027733) (xy 229.865922 128.027733) (xy 229.717314 128.070908) + (xy 229.71731 128.070909) (xy 229.584114 128.149681) (xy 229.474681 128.259114) (xy 229.395909 128.39231) + (xy 229.395909 128.392311) (xy 229.357358 128.525) (xy 228.450975 128.525) (xy 228.41053 128.46447) + (xy 228.336784 128.415194) (xy 228.325898 128.40792) (xy 228.288019 128.35987) (xy 228.2819 128.325605) + (xy 228.2819 128.253871) (xy 228.246595 128.168638) (xy 228.181361 128.103403) (xy 228.181361 128.103404) + (xy 227.928796 127.850839) (xy 227.901019 127.796322) (xy 227.8998 127.780835) (xy 227.8998 126.206611) + (xy 227.918707 126.14842) (xy 227.92879 126.136613) (xy 229.364495 124.700909) (xy 229.3998 124.615676) + (xy 229.3998 124.523421) (xy 229.3998 117.706664) (xy 229.418707 117.648473) (xy 229.42879 117.636666) + (xy 230.096596 116.968861) (xy 230.109637 116.937376) (xy 230.1319 116.883629) (xy 230.1319 116.701499) + (xy 230.150807 116.643308) (xy 230.200307 116.607344) (xy 230.2309 116.602499) (xy 230.273321 116.602499) + (xy 230.273326 116.602499) (xy 230.346704 116.591809) (xy 230.45989 116.536476) (xy 230.548976 116.44739) + (xy 230.604309 116.334204) (xy 230.612937 116.274986) (xy 230.887501 116.274986) (xy 230.897992 116.377687) + (xy 230.897995 116.377699) (xy 230.953143 116.544124) (xy 231.04518 116.69334) (xy 231.169159 116.817319) + (xy 231.318375 116.909356) (xy 231.484806 116.964506) (xy 231.587513 116.974999) (xy 231.725 116.974999) + (xy 231.725 116.974998) (xy 232.225 116.974998) (xy 232.225001 116.974999) (xy 232.362486 116.974999) + (xy 232.465187 116.964507) (xy 232.465199 116.964504) (xy 232.631624 116.909356) (xy 232.78084 116.817319) + (xy 232.904819 116.69334) (xy 232.996856 116.544124) (xy 233.052006 116.377693) (xy 233.0625 116.274987) + (xy 233.0625 116.000001) (xy 233.062499 116) (xy 232.225001 116) (xy 232.225 116.000001) (xy 232.225 116.974998) + (xy 231.725 116.974998) (xy 231.725 116.000001) (xy 231.724999 116) (xy 230.887502 116) (xy 230.887501 116.000001) + (xy 230.887501 116.274986) (xy 230.612937 116.274986) (xy 230.615 116.260827) (xy 230.614999 115.499999) + (xy 230.8875 115.499999) (xy 230.887501 115.5) (xy 231.724999 115.5) (xy 231.725 115.499999) (xy 232.225 115.499999) + (xy 232.225001 115.5) (xy 233.062498 115.5) (xy 233.062499 115.499999) (xy 233.062499 115.225013) + (xy 233.052007 115.122312) (xy 233.052004 115.1223) (xy 232.996856 114.955875) (xy 232.904819 114.806659) + (xy 232.78084 114.68268) (xy 232.631624 114.590643) (xy 232.465193 114.535493) (xy 232.362487 114.525) + (xy 232.225001 114.525) (xy 232.225 114.525001) (xy 232.225 115.499999) (xy 231.725 115.499999) + (xy 231.725 114.525001) (xy 231.724999 114.525) (xy 231.587513 114.525) (xy 231.587513 114.525001) + (xy 231.484812 114.535492) (xy 231.4848 114.535495) (xy 231.318375 114.590643) (xy 231.169159 114.68268) + (xy 231.04518 114.806659) (xy 230.953143 114.955875) (xy 230.897993 115.122306) (xy 230.8875 115.225012) + (xy 230.8875 115.499999) (xy 230.614999 115.499999) (xy 230.614999 115.239174) (xy 230.604309 115.165796) + (xy 230.548976 115.05261) (xy 230.45989 114.963524) (xy 230.403297 114.935857) (xy 230.346705 114.908191) + (xy 230.328359 114.905518) (xy 230.273327 114.8975) (xy 230.273324 114.8975) (xy 230.2309 114.8975) + (xy 230.172709 114.878593) (xy 230.136745 114.829093) (xy 230.1319 114.7985) (xy 230.1319 114.576499) + (xy 230.150807 114.518308) (xy 230.200307 114.482344) (xy 230.2309 114.477499) (xy 230.485821 114.477499) + (xy 230.485826 114.477499) (xy 230.559204 114.466809) (xy 230.67239 114.411476) (xy 230.761476 114.32239) + (xy 230.816809 114.209204) (xy 230.8275 114.135827) (xy 230.827499 113.364174) (xy 230.816809 113.290796) + (xy 230.761476 113.17761) (xy 230.67239 113.088524) (xy 230.615797 113.060857) (xy 230.559205 113.033191) + (xy 230.544528 113.031052) (xy 230.485827 113.0225) (xy 230.485823 113.0225) (xy 229.514178 113.0225) + (xy 229.514175 113.0225) (xy 229.514174 113.022501) (xy 229.489714 113.026064) (xy 229.440794 113.033191) + (xy 229.32761 113.088524) (xy 229.238524 113.17761) (xy 229.183191 113.290794) (xy 229.1725 113.364176) + (xy 229.1725 114.135821) (xy 229.1725 114.135823) (xy 229.172501 114.135826) (xy 229.183191 114.209204) + (xy 229.238524 114.32239) (xy 229.32761 114.411476) (xy 229.440796 114.466809) (xy 229.514173 114.4775) + (xy 229.569099 114.477499) (xy 229.627289 114.496405) (xy 229.663254 114.545905) (xy 229.6681 114.576499) + (xy 229.6681 114.7985) (xy 229.649193 114.856691) (xy 229.599693 114.892655) (xy 229.569102 114.8975) + (xy 229.526678 114.8975) (xy 229.526674 114.897501) (xy 229.453294 114.908191) (xy 229.34011 114.963524) + (xy 229.251024 115.05261) (xy 229.195691 115.165794) (xy 229.195691 115.165796) (xy 229.187064 115.225012) + (xy 229.185 115.239176) (xy 229.185 116.260821) (xy 229.185 116.260823) (xy 229.185001 116.260826) + (xy 229.195691 116.334204) (xy 229.251024 116.44739) (xy 229.34011 116.536476) (xy 229.453296 116.591809) + (xy 229.526673 116.6025) (xy 229.568037 116.602499) (xy 229.626226 116.621405) (xy 229.662191 116.670904) + (xy 229.662192 116.73209) (xy 229.638041 116.771503) (xy 229.070004 117.339541) (xy 229.015487 117.367318) + (xy 228.955055 117.357747) (xy 228.929996 117.339541) (xy 228.361958 116.771503) (xy 228.334181 116.716986) + (xy 228.343752 116.656554) (xy 228.387017 116.613289) (xy 228.431962 116.602499) (xy 228.473321 116.602499) + (xy 228.473326 116.602499) (xy 228.546704 116.591809) (xy 228.65989 116.536476) (xy 228.748976 116.44739) + (xy 228.804309 116.334204) (xy 228.815 116.260827) (xy 228.814999 115.239174) (xy 228.804309 115.165796) + (xy 228.748976 115.05261) (xy 228.65989 114.963524) (xy 228.603297 114.935857) (xy 228.546705 114.908191) + (xy 228.528359 114.905518) (xy 228.473327 114.8975) (xy 228.473324 114.8975) (xy 228.4309 114.8975) + (xy 228.372709 114.878593) (xy 228.336745 114.829093) (xy 228.3319 114.7985) (xy 228.3319 114.576499) + (xy 228.350807 114.518308) (xy 228.400307 114.482344) (xy 228.4309 114.477499) (xy 228.485821 114.477499) + (xy 228.485826 114.477499) (xy 228.559204 114.466809) (xy 228.67239 114.411476) (xy 228.761476 114.32239) + (xy 228.816809 114.209204) (xy 228.8275 114.135827) (xy 228.827499 113.364174) (xy 228.816809 113.290796) + (xy 228.761476 113.17761) (xy 228.67239 113.088524) (xy 228.615797 113.060857) (xy 228.559205 113.033191) + (xy 228.544528 113.031052) (xy 228.485827 113.0225) (xy 228.485823 113.0225) (xy 227.514178 113.0225) + (xy 227.514175 113.0225) (xy 227.514174 113.022501) (xy 227.489714 113.026064) (xy 227.440794 113.033191) + (xy 227.32761 113.088524) (xy 227.238524 113.17761) (xy 227.183191 113.290794) (xy 227.1725 113.364176) + (xy 227.1725 114.135821) (xy 227.1725 114.135823) (xy 227.172501 114.135826) (xy 227.183191 114.209204) + (xy 227.238524 114.32239) (xy 227.32761 114.411476) (xy 227.440796 114.466809) (xy 227.514173 114.4775) + (xy 227.7691 114.477499) (xy 227.82729 114.496406) (xy 227.863254 114.545906) (xy 227.8681 114.576499) + (xy 227.8681 114.7985) (xy 227.849193 114.856691) (xy 227.799693 114.892655) (xy 227.769102 114.8975) + (xy 227.726678 114.8975) (xy 227.726674 114.897501) (xy 227.653294 114.908191) (xy 227.54011 114.963524) + (xy 227.451024 115.05261) (xy 227.395691 115.165794) (xy 227.395691 115.165796) (xy 227.387064 115.225012) + (xy 227.385 115.239176) (xy 227.385 116.260821) (xy 227.385 116.260823) (xy 227.385001 116.260826) + (xy 227.395691 116.334204) (xy 227.451024 116.44739) (xy 227.54011 116.536476) (xy 227.653296 116.591809) + (xy 227.726673 116.6025) (xy 227.7691 116.602499) (xy 227.827289 116.621405) (xy 227.863254 116.670904) + (xy 227.8681 116.701499) (xy 227.8681 116.883628) (xy 227.903403 116.968859) (xy 227.903404 116.96886) + (xy 227.903405 116.968862) (xy 228.571205 117.636662) (xy 228.598981 117.691177) (xy 228.6002 117.706664) + (xy 228.6002 124.293388) (xy 228.581293 124.351579) (xy 228.571204 124.363392) (xy 227.200739 125.733857) + (xy 227.200738 125.733856) (xy 227.135504 125.799091) (xy 227.1002 125.884324) (xy 227.1002 127.780835) + (xy 227.081293 127.839026) (xy 227.071204 127.850839) (xy 226.818639 128.103404) (xy 226.818638 128.103403) + (xy 226.753404 128.168638) (xy 226.7181 128.253871) (xy 226.7181 128.325605) (xy 226.699193 128.383796) + (xy 226.674102 128.40792) (xy 226.589472 128.464468) (xy 226.589468 128.464472) (xy 226.536427 128.543853) + (xy 226.536424 128.54386) (xy 226.522501 128.613851) (xy 226.5225 128.613863) (xy 226.5225 128.886136) + (xy 226.522501 128.886148) (xy 226.536115 128.954587) (xy 226.536425 128.956143) (xy 226.58947 129.03553) + (xy 226.668857 129.088575) (xy 226.668858 129.088575) (xy 226.674101 129.092078) (xy 226.711981 129.140128) + (xy 226.7181 129.174394) (xy 226.7181 129.246128) (xy 226.753403 129.331359) (xy 226.753404 129.33136) + (xy 226.753405 129.331362) (xy 227.071205 129.649162) (xy 227.098981 129.703677) (xy 227.1002 129.719164) + (xy 227.1002 131.612935) (xy 227.081293 131.671126) (xy 227.071204 131.682939) (xy 226.671354 132.082789) + (xy 226.616837 132.110566) (xy 226.556405 132.100995) (xy 226.51314 132.05773) (xy 226.503569 131.997298) + (xy 226.519548 131.896412) (xy 226.519548 131.775001) (xy 226.519547 131.775) (xy 225.719548 131.775) + (xy 225.719548 131.275) (xy 226.519547 131.275) (xy 226.519548 131.274999) (xy 226.519548 131.153586) + (xy 226.489384 130.963147) (xy 226.4298 130.779764) (xy 226.342263 130.607962) (xy 226.228926 130.451967) + (xy 226.09258 130.315621) (xy 225.936585 130.202284) (xy 225.764783 130.114747) (xy 225.581403 130.055164) + (xy 225.544548 130.049326) (xy 225.544548 130.90359) (xy 225.458592 130.853963) (xy 225.3505 130.825) + (xy 225.238596 130.825) (xy 225.130504 130.853963) (xy 225.044548 130.90359) (xy 225.044548 130.049326) + (xy 225.007692 130.055164) (xy 224.824312 130.114747) (xy 224.65251 130.202284) (xy 224.496515 130.315621) + (xy 224.360169 130.451967) (xy 224.246832 130.607962) (xy 224.159295 130.779764) (xy 224.099711 130.963147) + (xy 224.069548 131.153586) (xy 224.069548 131.274999) (xy 224.069549 131.275) (xy 224.869548 131.275) + (xy 224.869548 131.775) (xy 224.069549 131.775) (xy 224.069548 131.775001) (xy 224.069548 131.877351) + (xy 224.050641 131.935542) (xy 224.001141 131.971506) (xy 223.996171 131.972978) (xy 223.837762 132.015423) + (xy 223.743047 132.070107) (xy 223.683199 132.082828) (xy 223.627304 132.057941) (xy 223.596711 132.004953) + (xy 223.594548 131.98437) (xy 223.594548 131.700001) (xy 223.594547 131.7) (xy 223.094549 131.7) + (xy 223.094548 131.700001) (xy 223.094548 132.624999) (xy 223.094549 132.625) (xy 223.142372 132.625) + (xy 223.201918 132.618598) (xy 223.201926 132.618596) (xy 223.335577 132.568748) (xy 223.396707 132.566128) + (xy 223.447701 132.599939) (xy 223.468326 132.648579) (xy 223.469046 132.654049) (xy 223.469048 132.654055) + (xy 223.469048 132.654057) (xy 223.509971 132.806784) (xy 223.589028 132.943716) (xy 223.700832 133.05552) + (xy 223.837764 133.134577) (xy 223.916125 133.155573) (xy 223.967438 133.188897) (xy 223.989365 133.246019) + (xy 223.973529 133.305119) (xy 223.925979 133.343624) (xy 223.890501 133.3502) (xy 222.27616 133.3502) + (xy 222.217969 133.331293) (xy 222.206156 133.321204) (xy 221.686232 132.80128) (xy 221.658455 132.746763) + (xy 221.668026 132.686331) (xy 221.686233 132.661272) (xy 221.698926 132.648579) (xy 221.766144 132.581362) + (xy 221.801448 132.496128) (xy 221.801448 132.403874) (xy 221.801448 132.333887) (xy 221.820355 132.275696) + (xy 221.869855 132.239732) (xy 221.931041 132.239732) (xy 221.938336 132.242424) (xy 221.944795 132.245098) + (xy 221.9448 132.245102) (xy 221.98199 132.2525) (xy 221.981995 132.2525) (xy 222.089719 132.2525) + (xy 222.14791 132.271407) (xy 222.182477 132.316904) (xy 222.201194 132.367088) (xy 222.201195 132.36709) + (xy 222.287355 132.482184) (xy 222.287363 132.482192) (xy 222.402457 132.568352) (xy 222.402459 132.568353) + (xy 222.537166 132.618596) (xy 222.537177 132.618598) (xy 222.596724 132.625) (xy 222.644547 132.625) + (xy 222.644548 132.624999) (xy 222.644548 131.249999) (xy 223.094548 131.249999) (xy 223.094549 131.25) + (xy 223.594547 131.25) (xy 223.594548 131.249999) (xy 223.594548 130.777175) (xy 223.588146 130.717629) + (xy 223.588144 130.717618) (xy 223.537901 130.582911) (xy 223.5379 130.582909) (xy 223.45174 130.467815) + (xy 223.451732 130.467807) (xy 223.336638 130.381647) (xy 223.336636 130.381646) (xy 223.201929 130.331403) + (xy 223.201918 130.331401) (xy 223.142372 130.325) (xy 223.094549 130.325) (xy 223.094548 130.325001) + (xy 223.094548 131.249999) (xy 222.644548 131.249999) (xy 222.644548 130.325001) (xy 222.644547 130.325) + (xy 222.596724 130.325) (xy 222.537177 130.331401) (xy 222.537166 130.331403) (xy 222.402459 130.381646) + (xy 222.402457 130.381647) (xy 222.287363 130.467807) (xy 222.287355 130.467815) (xy 222.201195 130.582909) + (xy 222.201194 130.582911) (xy 222.182477 130.633096) (xy 222.144427 130.681011) (xy 222.089719 130.6975) + (xy 221.98199 130.6975) (xy 221.9448 130.704898) (xy 221.944798 130.704898) (xy 221.944798 130.704899) + (xy 221.935791 130.70863) (xy 221.934668 130.705921) (xy 221.89066 130.718333) (xy 221.854193 130.706483) + (xy 221.853305 130.70863) (xy 221.844297 130.704899) (xy 221.844296 130.704898) (xy 221.807106 130.6975) + (xy 221.33199 130.6975) (xy 221.2948 130.704898) (xy 221.294798 130.704898) (xy 221.294798 130.704899) + (xy 221.285791 130.70863) (xy 221.284668 130.705921) (xy 221.24066 130.718333) (xy 221.204193 130.706483) + (xy 221.203305 130.70863) (xy 221.194297 130.704899) (xy 221.194296 130.704898) (xy 221.157106 130.6975) + (xy 220.769048 130.6975) (xy 220.710857 130.678593) (xy 220.674893 130.629093) (xy 220.670048 130.5985) + (xy 220.670048 130.266822) (xy 220.670048 130.266821) (xy 220.642755 130.164961) (xy 220.633251 130.148499) + (xy 220.62053 130.08865) (xy 220.645418 130.032755) (xy 220.698406 130.002163) (xy 220.718988 130) + (xy 221.249998 130) (xy 221.25 130) (xy 222.103553 129.646447) (xy 222.775 128.975) (xy 224.057359 128.975) + (xy 224.095909 129.107688) (xy 224.095909 129.107689) (xy 224.174681 129.240885) (xy 224.284114 129.350318) + (xy 224.41731 129.42909) (xy 224.417314 129.429091) (xy 224.565922 129.472267) (xy 224.600652 129.474999) + (xy 224.625 129.474998) (xy 225.075 129.474998) (xy 225.075001 129.474999) (xy 225.099347 129.474999) + (xy 225.134076 129.472266) (xy 225.134077 129.472266) (xy 225.282685 129.429091) (xy 225.282689 129.42909) + (xy 225.415885 129.350318) (xy 225.525318 129.240885) (xy 225.60409 129.107689) (xy 225.60409 129.107688) + (xy 225.642641 128.975) (xy 225.075001 128.975) (xy 225.075 128.975001) (xy 225.075 129.474998) + (xy 224.625 129.474998) (xy 224.625 128.975001) (xy 224.624999 128.975) (xy 224.057359 128.975) + (xy 222.775 128.975) (xy 223.225 128.525) (xy 224.057358 128.525) (xy 224.624999 128.525) (xy 224.625 128.524999) + (xy 225.075 128.524999) (xy 225.075001 128.525) (xy 225.642641 128.525) (xy 225.60409 128.392311) + (xy 225.60409 128.39231) (xy 225.525318 128.259114) (xy 225.415885 128.149681) (xy 225.282689 128.070909) + (xy 225.282685 128.070908) (xy 225.134077 128.027733) (xy 225.099348 128.025) (xy 225.075001 128.025) + (xy 225.075 128.025001) (xy 225.075 128.524999) (xy 224.625 128.524999) (xy 224.625 128.025) (xy 224.624999 128.024999) + (xy 224.600652 128.025) (xy 224.565923 128.027733) (xy 224.565922 128.027733) (xy 224.417314 128.070908) + (xy 224.41731 128.070909) (xy 224.284114 128.149681) (xy 224.174681 128.259114) (xy 224.095909 128.39231) + (xy 224.095909 128.392311) (xy 224.057358 128.525) (xy 223.225 128.525) (xy 224.646447 127.103553) + (xy 225 126.25) (xy 225 116.837168) (xy 225.018907 116.778977) (xy 225.068407 116.743013) (xy 225.129593 116.743013) + (xy 225.169004 116.767164) (xy 225.219159 116.817319) (xy 225.368375 116.909356) (xy 225.534806 116.964506) + (xy 225.637513 116.974999) (xy 225.775 116.974999) (xy 225.775 116.974998) (xy 226.275 116.974998) + (xy 226.275001 116.974999) (xy 226.412486 116.974999) (xy 226.515187 116.964507) (xy 226.515199 116.964504) + (xy 226.681624 116.909356) (xy 226.83084 116.817319) (xy 226.954819 116.69334) (xy 227.046856 116.544124) + (xy 227.102006 116.377693) (xy 227.1125 116.274987) (xy 227.1125 116.000001) (xy 227.112499 116) + (xy 226.275001 116) (xy 226.275 116.000001) (xy 226.275 116.974998) (xy 225.775 116.974998) (xy 225.775 115.499999) + (xy 226.275 115.499999) (xy 226.275001 115.5) (xy 227.112498 115.5) (xy 227.112499 115.499999) (xy 227.112499 115.225013) + (xy 227.102007 115.122312) (xy 227.102004 115.1223) (xy 227.046856 114.955875) (xy 226.954819 114.806659) + (xy 226.83084 114.68268) (xy 226.681624 114.590643) (xy 226.515193 114.535493) (xy 226.412487 114.525) + (xy 226.275001 114.525) (xy 226.275 114.525001) (xy 226.275 115.499999) (xy 225.775 115.499999) + (xy 225.775 114.525001) (xy 225.774999 114.525) (xy 225.637513 114.525) (xy 225.637513 114.525001) + (xy 225.534812 114.535492) (xy 225.5348 114.535495) (xy 225.368375 114.590643) (xy 225.219159 114.68268) + (xy 225.169004 114.732836) (xy 225.114487 114.760613) (xy 225.054055 114.751042) (xy 225.01079 114.707777) + (xy 225 114.662832) (xy 225 105.750001) (xy 225 105.75) (xy 224.5 105.25) (xy 224.499999 105.25) + (xy 223.291008 105.25) (xy 223.232817 105.231093) (xy 223.221004 105.221004) (xy 222.778996 104.778996) + (xy 222.751219 104.724479) (xy 222.75 104.708992) (xy 222.75 103.947824) (xy 223.449999 103.947824) + (xy 223.456401 104.00737) (xy 223.456403 104.007381) (xy 223.506646 104.142088) (xy 223.506647 104.14209) + (xy 223.592807 104.257184) (xy 223.592815 104.257192) (xy 223.707909 104.343352) (xy 223.707911 104.343353) + (xy 223.842618 104.393596) (xy 223.842629 104.393598) (xy 223.902176 104.4) (xy 224.049999 104.4) + (xy 224.05 104.399999) (xy 224.55 104.399999) (xy 224.550001 104.4) (xy 224.697824 104.4) (xy 224.757374 104.393598) + (xy 224.790402 104.381279) (xy 224.851531 104.378658) (xy 224.859598 104.381279) (xy 224.892626 104.393598) + (xy 224.892624 104.393598) (xy 224.952176 104.4) (xy 225.099999 104.4) (xy 225.1 104.399999) (xy 225.6 104.399999) + (xy 225.600001 104.4) (xy 225.747824 104.4) (xy 225.807375 104.393598) (xy 225.807376 104.393597) + (xy 225.890403 104.36263) (xy 225.951532 104.360009) (xy 225.959597 104.36263) (xy 226.042623 104.393597) + (xy 226.042624 104.393598) (xy 226.102176 104.4) (xy 226.249999 104.4) (xy 226.25 104.399999) (xy 226.75 104.399999) + (xy 226.750001 104.4) (xy 226.897824 104.4) (xy 226.95737 104.393598) (xy 226.957381 104.393596) + (xy 227.092088 104.343353) (xy 227.09209 104.343352) (xy 227.207184 104.257192) (xy 227.207192 104.257184) + (xy 227.293352 104.14209) (xy 227.293353 104.142088) (xy 227.343596 104.007381) (xy 227.343598 104.00737) + (xy 227.35 103.947824) (xy 227.35 103.800001) (xy 227.349999 103.8) (xy 226.750001 103.8) (xy 226.75 103.800001) + (xy 226.75 104.399999) (xy 226.25 104.399999) (xy 226.25 103.800001) (xy 226.249999 103.8) (xy 225.600001 103.8) + (xy 225.6 103.800001) (xy 225.6 104.399999) (xy 225.1 104.399999) (xy 225.1 103.800001) (xy 225.099999 103.8) + (xy 224.550001 103.8) (xy 224.55 103.800001) (xy 224.55 104.399999) (xy 224.05 104.399999) (xy 224.05 103.800001) + (xy 224.049999 103.8) (xy 223.450001 103.8) (xy 223.45 103.800001) (xy 223.45 103.947824) (xy 223.449999 103.947824) + (xy 222.75 103.947824) (xy 222.75 102.847824) (xy 223.449999 102.847824) (xy 223.456401 102.90737) + (xy 223.456402 102.907377) (xy 223.478045 102.965405) (xy 223.480664 103.026534) (xy 223.478045 103.034595) + (xy 223.456402 103.092622) (xy 223.456401 103.092629) (xy 223.45 103.152175) (xy 223.45 103.299999) + (xy 223.450001 103.3) (xy 224.049999 103.3) (xy 224.05 103.299999) (xy 224.55 103.299999) (xy 224.550001 103.3) + (xy 225.099999 103.3) (xy 225.1 103.299999) (xy 225.6 103.299999) (xy 225.600001 103.3) (xy 226.249999 103.3) + (xy 226.25 103.299999) (xy 226.75 103.299999) (xy 226.750001 103.3) (xy 227.349999 103.3) (xy 227.35 103.299999) + (xy 227.35 103.152175) (xy 227.343598 103.092629) (xy 227.343597 103.092625) (xy 227.321954 103.034598) + (xy 227.319333 102.973469) (xy 227.321954 102.965402) (xy 227.343597 102.907374) (xy 227.343598 102.90737) + (xy 227.35 102.847824) (xy 227.35 102.700001) (xy 227.349999 102.7) (xy 226.750001 102.7) (xy 226.75 102.700001) + (xy 226.75 103.299999) (xy 226.25 103.299999) (xy 226.25 102.700001) (xy 226.249999 102.7) (xy 225.600001 102.7) + (xy 225.6 102.700001) (xy 225.6 103.299999) (xy 225.1 103.299999) (xy 225.1 102.700001) (xy 225.099999 102.7) + (xy 224.550001 102.7) (xy 224.55 102.700001) (xy 224.55 103.299999) (xy 224.05 103.299999) (xy 224.05 102.700001) + (xy 224.049999 102.7) (xy 223.450001 102.7) (xy 223.45 102.700001) (xy 223.45 102.847824) (xy 223.449999 102.847824) + (xy 222.75 102.847824) (xy 222.75 101.747824) (xy 223.449999 101.747824) (xy 223.456401 101.80737) + (xy 223.456402 101.807377) (xy 223.478045 101.865405) (xy 223.480664 101.926534) (xy 223.478045 101.934595) + (xy 223.456402 101.992622) (xy 223.456401 101.992629) (xy 223.45 102.052175) (xy 223.45 102.199999) + (xy 223.450001 102.2) (xy 224.049999 102.2) (xy 224.05 102.199999) (xy 224.55 102.199999) (xy 224.550001 102.2) + (xy 225.099999 102.2) (xy 225.1 102.199999) (xy 225.6 102.199999) (xy 225.600001 102.2) (xy 226.249999 102.2) + (xy 226.25 102.199999) (xy 226.75 102.199999) (xy 226.750001 102.2) (xy 227.349999 102.2) (xy 227.35 102.199999) + (xy 227.35 102.052175) (xy 227.343598 101.992629) (xy 227.343597 101.992625) (xy 227.321954 101.934598) + (xy 227.319333 101.873469) (xy 227.321954 101.865402) (xy 227.343597 101.807374) (xy 227.343598 101.80737) + (xy 227.35 101.747824) (xy 227.35 101.600001) (xy 227.349999 101.6) (xy 226.750001 101.6) (xy 226.75 101.600001) + (xy 226.75 102.199999) (xy 226.25 102.199999) (xy 226.25 101.600001) (xy 226.249999 101.6) (xy 225.600001 101.6) + (xy 225.6 101.600001) (xy 225.6 102.199999) (xy 225.1 102.199999) (xy 225.1 101.600001) (xy 225.099999 101.6) + (xy 224.550001 101.6) (xy 224.55 101.600001) (xy 224.55 102.199999) (xy 224.05 102.199999) (xy 224.05 101.600001) + (xy 224.049999 101.6) (xy 223.450001 101.6) (xy 223.45 101.600001) (xy 223.45 101.747824) (xy 223.449999 101.747824) + (xy 222.75 101.747824) (xy 222.75 101.099999) (xy 223.45 101.099999) (xy 223.450001 101.1) (xy 224.049999 101.1) + (xy 224.05 101.099999) (xy 224.55 101.099999) (xy 224.550001 101.1) (xy 225.099999 101.1) (xy 225.1 101.099999) + (xy 225.6 101.099999) (xy 225.600001 101.1) (xy 226.249999 101.1) (xy 226.25 101.099999) (xy 226.75 101.099999) + (xy 226.750001 101.1) (xy 227.349999 101.1) (xy 227.35 101.099999) (xy 227.35 100.952175) (xy 227.343598 100.892629) + (xy 227.343596 100.892618) (xy 227.293353 100.757911) (xy 227.293352 100.757909) (xy 227.207192 100.642815) + (xy 227.207184 100.642807) (xy 227.09209 100.556647) (xy 227.092088 100.556646) (xy 226.957381 100.506403) + (xy 226.95737 100.506401) (xy 226.897824 100.5) (xy 226.750001 100.5) (xy 226.75 100.500001) (xy 226.75 101.099999) + (xy 226.25 101.099999) (xy 226.25 100.500001) (xy 226.249999 100.5) (xy 226.102176 100.5) (xy 226.042629 100.506401) + (xy 226.042618 100.506403) (xy 225.959596 100.537369) (xy 225.898467 100.539989) (xy 225.890404 100.537369) + (xy 225.807381 100.506403) (xy 225.80737 100.506401) (xy 225.747824 100.5) (xy 225.600001 100.5) + (xy 225.6 100.500001) (xy 225.6 101.099999) (xy 225.1 101.099999) (xy 225.1 100.500001) (xy 225.099999 100.5) + (xy 224.952176 100.5) (xy 224.892629 100.506401) (xy 224.892622 100.506402) (xy 224.859595 100.518721) + (xy 224.798466 100.52134) (xy 224.790405 100.518721) (xy 224.757377 100.506402) (xy 224.75737 100.506401) + (xy 224.697824 100.5) (xy 224.550001 100.5) (xy 224.55 100.500001) (xy 224.55 101.099999) (xy 224.05 101.099999) + (xy 224.05 100.500001) (xy 224.049999 100.5) (xy 223.902176 100.5) (xy 223.842629 100.506401) (xy 223.842618 100.506403) + (xy 223.707911 100.556646) (xy 223.707909 100.556647) (xy 223.592815 100.642807) (xy 223.592807 100.642815) + (xy 223.506647 100.757909) (xy 223.506646 100.757911) (xy 223.456403 100.892618) (xy 223.456401 100.892629) + (xy 223.45 100.952175) (xy 223.45 101.099999) (xy 222.75 101.099999) (xy 222.75 100.291008) (xy 222.768907 100.232817) + (xy 222.778996 100.221004) (xy 223.221004 99.778996) (xy 223.275521 99.751219) (xy 223.291008 99.75) + (xy 231.708992 99.75) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 225.544548 133.000673) (xy 225.575793 133.02736) (xy 225.607762 133.079529) (xy 225.602961 133.140526) + (xy 225.581501 133.172642) (xy 225.432941 133.321203) (xy 225.378424 133.348981) (xy 225.362937 133.3502) + (xy 224.248595 133.3502) (xy 224.190404 133.331293) (xy 224.15444 133.281793) (xy 224.15444 133.220607) + (xy 224.190404 133.171107) (xy 224.222969 133.155574) (xy 224.301332 133.134577) (xy 224.438264 133.05552) + (xy 224.550068 132.943716) (xy 224.569208 132.910564) (xy 224.614675 132.869625) (xy 224.675525 132.863228) + (xy 224.699888 132.871855) (xy 224.824312 132.935252) (xy 225.007694 132.994836) (xy 225.044547 133.000673) + (xy 225.044548 133.000672) (xy 225.044548 132.146409) (xy 225.130504 132.196037) (xy 225.238596 132.225) + (xy 225.3505 132.225) (xy 225.458592 132.196037) (xy 225.544548 132.146409) + ) + ) + ) + (zone + (net 0) + (net_name "") + (layer "F.Cu") + (uuid "e89ad7cb-840c-4b1e-9dd8-8421cad974c4") + (name "VBAT") + (hatch edge 0.5) + (connect_pads thru_hole_only + (clearance 0) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (keepout + (tracks allowed) + (vias allowed) + (pads allowed) + (copperpour not_allowed) + (footprints allowed) + ) + (fill + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 218 129) (xy 218 132.25) (xy 220 132.25) (xy 220 130.5) (xy 218.5 129) + ) + ) + ) + (zone + (net 6) + (net_name "VDD") + (layer "B.Cu") + (uuid "99a9741e-24f8-4db4-9944-20ad708fbc7f") + (name "VDD") + (hatch edge 0.5) + (priority 3) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 206.25 129.25) (xy 206.25 131.75) (xy 210.75 131.75) (xy 210.75 129.25) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 210.265677 129.269685) (xy 210.286319 129.286319) (xy 210.713681 129.713681) (xy 210.747166 129.775004) + (xy 210.75 129.801362) (xy 210.75 131.198638) (xy 210.730315 131.265677) (xy 210.713681 131.286319) + (xy 210.286319 131.713681) (xy 210.224996 131.747166) (xy 210.198638 131.75) (xy 206.801362 131.75) + (xy 206.734323 131.730315) (xy 206.713681 131.713681) (xy 206.286319 131.286319) (xy 206.252834 131.224996) + (xy 206.25 131.198638) (xy 206.25 129.801362) (xy 206.269685 129.734323) (xy 206.286319 129.713681) + (xy 206.713681 129.286319) (xy 206.775004 129.252834) (xy 206.801362 129.25) (xy 210.198638 129.25) + ) + ) + ) + (zone + (net 2) + (net_name "GND") + (layer "B.Cu") + (uuid "c988aaa2-68b1-4668-aedd-715a874b7d5a") + (name "GND") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 179.5 95.25) (xy 179.5 137.25) (xy 234.5 137.25) (xy 234.5 95.25) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 213.883039 95.570185) (xy 213.928794 95.622989) (xy 213.94 95.6745) (xy 213.94 98.082816) (xy 213.920315 98.149855) + (xy 213.875654 98.18936) (xy 213.876374 98.190506) (xy 213.717737 98.290184) (xy 213.590184 98.417737) + (xy 213.49421 98.570478) (xy 213.43463 98.74075) (xy 213.424837 98.827668) (xy 213.39777 98.892082) + (xy 213.389298 98.901465) (xy 211.131286 101.159478) (xy 211.019481 101.271282) (xy 211.019479 101.271285) + (xy 210.969361 101.358094) (xy 210.969359 101.358096) (xy 210.940425 101.408209) (xy 210.940424 101.40821) + (xy 210.940423 101.408215) (xy 210.899499 101.560943) (xy 210.899499 101.560945) (xy 210.899499 101.729046) + (xy 210.8995 101.729059) (xy 210.8995 103.694587) (xy 210.879815 103.761626) (xy 210.87245 103.771896) + (xy 210.870186 103.774734) (xy 210.774211 103.927476) (xy 210.714631 104.097745) (xy 210.71463 104.09775) + (xy 210.694435 104.276996) (xy 210.694435 104.277003) (xy 210.71463 104.456249) (xy 210.714631 104.456254) + (xy 210.774211 104.626523) (xy 210.842254 104.734812) (xy 210.870184 104.779262) (xy 210.997738 104.906816) + (xy 211.150478 105.002789) (xy 211.320745 105.062368) (xy 211.32075 105.062369) (xy 211.499996 105.082565) + (xy 211.5 105.082565) (xy 211.500004 105.082565) (xy 211.679249 105.062369) (xy 211.679252 105.062368) + (xy 211.679255 105.062368) (xy 211.849522 105.002789) (xy 212.002262 104.906816) (xy 212.129816 104.779262) + (xy 212.225789 104.626522) (xy 212.285368 104.456255) (xy 212.285369 104.456249) (xy 212.305565 104.277003) + (xy 212.305565 104.276996) (xy 212.285369 104.09775) (xy 212.285368 104.097745) (xy 212.225788 103.927476) + (xy 212.129813 103.774734) (xy 212.12755 103.771896) (xy 212.126659 103.769715) (xy 212.126111 103.768842) + (xy 212.126264 103.768745) (xy 212.101144 103.707209) (xy 212.1005 103.694587) (xy 212.1005 101.940097) + (xy 212.120185 101.873058) (xy 212.136819 101.852416) (xy 214.202916 99.786319) (xy 214.264239 99.752834) + (xy 214.290597 99.75) (xy 218.7755 99.75) (xy 218.842539 99.769685) (xy 218.888294 99.822489) (xy 218.8995 99.874) + (xy 218.8995 107.949902) (xy 218.879815 108.016941) (xy 218.863181 108.037583) (xy 218.287584 108.613181) + (xy 218.226261 108.646666) (xy 218.199903 108.6495) (xy 191.579057 108.6495) (xy 190.829057 108.6495) + (xy 190.670943 108.6495) (xy 190.518215 108.690423) (xy 190.518214 108.690423) (xy 190.518212 108.690424) + (xy 190.518209 108.690425) (xy 190.468096 108.719359) (xy 190.468095 108.71936) (xy 190.424689 108.74442) + (xy 190.381285 108.769479) (xy 190.381282 108.769481) (xy 190.269478 108.881286) (xy 187.481465 111.669298) + (xy 187.420142 111.702783) (xy 187.407668 111.704837) (xy 187.32075 111.71463) (xy 187.150478 111.77421) + (xy 186.997737 111.870184) (xy 186.870184 111.997737) (xy 186.774211 112.150476) (xy 186.714631 112.320745) + (xy 186.71463 112.32075) (xy 186.694435 112.499996) (xy 186.694435 112.500003) (xy 186.71463 112.679249) + (xy 186.714631 112.679254) (xy 186.774211 112.849523) (xy 186.792625 112.878828) (xy 186.870184 113.002262) + (xy 186.997738 113.129816) (xy 187.08808 113.186582) (xy 187.143333 113.2213) (xy 187.150478 113.225789) + (xy 187.320745 113.285368) (xy 187.32075 113.285369) (xy 187.499996 113.305565) (xy 187.5 113.305565) + (xy 187.500004 113.305565) (xy 187.679249 113.285369) (xy 187.679252 113.285368) (xy 187.679255 113.285368) + (xy 187.849522 113.225789) (xy 188.002262 113.129816) (xy 188.129816 113.002262) (xy 188.225789 112.849522) + (xy 188.285368 112.679255) (xy 188.295161 112.592329) (xy 188.322226 112.527918) (xy 188.33069 112.518543) + (xy 190.962416 109.886819) (xy 191.023739 109.853334) (xy 191.050097 109.8505) (xy 191.420943 109.8505) + (xy 200.567275 109.8505) (xy 200.634314 109.870185) (xy 200.680069 109.922989) (xy 200.690013 109.992147) + (xy 200.677906 110.02875) (xy 200.678328 110.028935) (xy 200.676364 110.03341) (xy 200.676329 110.033519) + (xy 200.676267 110.033633) (xy 200.576412 110.261282) (xy 200.515387 110.502261) (xy 200.515385 110.50227) + (xy 200.494859 110.749994) (xy 200.494859 110.750005) (xy 200.515385 110.997729) (xy 200.515387 110.997738) + (xy 200.576412 111.238717) (xy 200.676266 111.466364) (xy 200.776564 111.619882) (xy 201.476212 110.920233) + (xy 201.487482 110.962292) (xy 201.55989 111.087708) (xy 201.662292 111.19011) (xy 201.787708 111.262518) + (xy 201.829765 111.273787) (xy 201.129942 111.973609) (xy 201.176768 112.010055) (xy 201.17677 112.010056) + (xy 201.395385 112.128364) (xy 201.395396 112.128369) (xy 201.630506 112.209083) (xy 201.875707 112.25) + (xy 202.124293 112.25) (xy 202.369493 112.209083) (xy 202.604603 112.128369) (xy 202.604614 112.128364) + (xy 202.823228 112.010057) (xy 202.823231 112.010055) (xy 202.870056 111.973609) (xy 202.170234 111.273787) + (xy 202.212292 111.262518) (xy 202.337708 111.19011) (xy 202.44011 111.087708) (xy 202.512518 110.962292) + (xy 202.523787 110.920235) (xy 203.223434 111.619882) (xy 203.323731 111.466369) (xy 203.423587 111.238717) + (xy 203.484612 110.997738) (xy 203.484614 110.997729) (xy 203.505141 110.750005) (xy 203.505141 110.749994) + (xy 203.484614 110.50227) (xy 203.484612 110.502261) (xy 203.423587 110.261282) (xy 203.323732 110.033633) + (xy 203.323671 110.033519) (xy 203.323659 110.033466) (xy 203.321672 110.028935) (xy 203.322604 110.028526) + (xy 203.309075 109.965191) (xy 203.333737 109.899818) (xy 203.389827 109.858157) (xy 203.432725 109.8505) + (xy 207.067275 109.8505) (xy 207.134314 109.870185) (xy 207.180069 109.922989) (xy 207.190013 109.992147) + (xy 207.177906 110.02875) (xy 207.178328 110.028935) (xy 207.176364 110.03341) (xy 207.176329 110.033519) + (xy 207.176267 110.033633) (xy 207.076412 110.261282) (xy 207.015387 110.502261) (xy 207.015385 110.50227) + (xy 206.994859 110.749994) (xy 206.994859 110.750005) (xy 207.015385 110.997729) (xy 207.015387 110.997738) + (xy 207.076412 111.238717) (xy 207.176266 111.466364) (xy 207.276564 111.619882) (xy 207.976212 110.920233) + (xy 207.987482 110.962292) (xy 208.05989 111.087708) (xy 208.162292 111.19011) (xy 208.287708 111.262518) + (xy 208.329765 111.273787) (xy 207.629942 111.973609) (xy 207.676768 112.010055) (xy 207.67677 112.010056) + (xy 207.895385 112.128364) (xy 207.895396 112.128369) (xy 208.130506 112.209083) (xy 208.375707 112.25) + (xy 208.624293 112.25) (xy 208.869493 112.209083) (xy 209.104603 112.128369) (xy 209.104614 112.128364) + (xy 209.323228 112.010057) (xy 209.323231 112.010055) (xy 209.370056 111.973609) (xy 208.670234 111.273787) + (xy 208.712292 111.262518) (xy 208.837708 111.19011) (xy 208.94011 111.087708) (xy 209.012518 110.962292) + (xy 209.023787 110.920235) (xy 209.723434 111.619882) (xy 209.823731 111.466369) (xy 209.923587 111.238717) + (xy 209.984612 110.997738) (xy 209.984614 110.997729) (xy 210.005141 110.750005) (xy 210.005141 110.749994) + (xy 209.984614 110.50227) (xy 209.984612 110.502261) (xy 209.923587 110.261282) (xy 209.823732 110.033633) + (xy 209.823671 110.033519) (xy 209.823659 110.033466) (xy 209.821672 110.028935) (xy 209.822604 110.028526) + (xy 209.809075 109.965191) (xy 209.833737 109.899818) (xy 209.889827 109.858157) (xy 209.932725 109.8505) + (xy 215.690539 109.8505) (xy 215.757578 109.870185) (xy 215.803333 109.922989) (xy 215.813277 109.992147) + (xy 215.784252 110.055703) (xy 215.730802 110.091781) (xy 215.645197 110.121169) (xy 215.645188 110.121172) + (xy 215.426493 110.239524) (xy 215.230257 110.392261) (xy 215.061833 110.575217) (xy 214.925826 110.783393) + (xy 214.825936 111.011118) (xy 214.764892 111.252175) (xy 214.76489 111.252187) (xy 214.744357 111.499994) + (xy 214.744357 111.500005) (xy 214.76489 111.747812) (xy 214.764892 111.747824) (xy 214.825936 111.988881) + (xy 214.925826 112.216606) (xy 215.061833 112.424782) (xy 215.061836 112.424785) (xy 215.230256 112.607738) + (xy 215.426491 112.760474) (xy 215.64519 112.878828) (xy 215.880386 112.959571) (xy 216.125665 113.0005) + (xy 216.374335 113.0005) (xy 216.619614 112.959571) (xy 216.85481 112.878828) (xy 217.073509 112.760474) + (xy 217.269744 112.607738) (xy 217.438164 112.424785) (xy 217.574173 112.216607) (xy 217.674063 111.988881) + (xy 217.735108 111.747821) (xy 217.735109 111.747812) (xy 217.755643 111.500005) (xy 217.755643 111.499994) + (xy 217.735109 111.252187) (xy 217.735107 111.252175) (xy 217.674063 111.011118) (xy 217.574173 110.783393) + (xy 217.438166 110.575217) (xy 217.371005 110.502261) (xy 217.269744 110.392262) (xy 217.073509 110.239526) + (xy 217.073507 110.239525) (xy 217.073506 110.239524) (xy 216.854811 110.121172) (xy 216.854802 110.121169) + (xy 216.769198 110.091781) (xy 216.712183 110.051396) (xy 216.686052 109.986596) (xy 216.699103 109.917956) + (xy 216.747192 109.867269) (xy 216.809461 109.8505) (xy 218.413331 109.8505) (xy 218.413347 109.850501) + (xy 218.420943 109.850501) (xy 218.579054 109.850501) (xy 218.579057 109.850501) (xy 218.731785 109.809577) + (xy 218.792074 109.774769) (xy 218.868716 109.73052) (xy 218.98052 109.618716) (xy 218.98052 109.618714) + (xy 218.990724 109.608511) (xy 218.990727 109.608506) (xy 219.68782 108.911414) (xy 219.749142 108.87793) + (xy 219.818834 108.882914) (xy 219.874767 108.924786) (xy 219.899184 108.99025) (xy 219.8995 108.999096) + (xy 219.8995 115.417587) (xy 219.879815 115.484626) (xy 219.87245 115.494896) (xy 219.870186 115.497734) + (xy 219.774211 115.650476) (xy 219.714631 115.820745) (xy 219.71463 115.82075) (xy 219.694435 115.999996) + (xy 219.694435 116.000003) (xy 219.71463 116.179249) (xy 219.714631 116.179254) (xy 219.774211 116.349523) + (xy 219.831131 116.44011) (xy 219.870184 116.502262) (xy 219.997738 116.629816) (xy 220.150478 116.725789) + (xy 220.320745 116.785368) (xy 220.32075 116.785369) (xy 220.499996 116.805565) (xy 220.5 116.805565) + (xy 220.500004 116.805565) (xy 220.679249 116.785369) (xy 220.679252 116.785368) (xy 220.679255 116.785368) + (xy 220.849522 116.725789) (xy 221.002262 116.629816) (xy 221.129816 116.502262) (xy 221.129824 116.502248) + (xy 221.132454 116.498952) (xy 221.134708 116.497369) (xy 221.13474 116.497338) (xy 221.134745 116.497343) + (xy 221.18964 116.458808) (xy 221.259452 116.455954) (xy 221.319724 116.491296) (xy 221.342962 116.526449) + (xy 221.426266 116.716364) (xy 221.526564 116.869882) (xy 222.226212 116.170234) (xy 222.237482 116.212292) + (xy 222.30989 116.337708) (xy 222.412292 116.44011) (xy 222.537708 116.512518) (xy 222.579765 116.523787) + (xy 221.879942 117.223609) (xy 221.926768 117.260055) (xy 221.92677 117.260056) (xy 222.145385 117.378364) + (xy 222.145396 117.378369) (xy 222.380506 117.459083) (xy 222.625707 117.5) (xy 222.874293 117.5) + (xy 223.119493 117.459083) (xy 223.354603 117.378369) (xy 223.354614 117.378364) (xy 223.573228 117.260057) + (xy 223.573231 117.260055) (xy 223.620056 117.223609) (xy 222.920234 116.523787) (xy 222.962292 116.512518) + (xy 223.087708 116.44011) (xy 223.19011 116.337708) (xy 223.262518 116.212292) (xy 223.273787 116.170235) + (xy 223.973434 116.869882) (xy 224.073731 116.716369) (xy 224.173587 116.488717) (xy 224.234612 116.247738) + (xy 224.234614 116.247729) (xy 224.255141 116.000005) (xy 224.255141 115.999994) (xy 224.234614 115.75227) + (xy 224.234612 115.752261) (xy 224.173587 115.511282) (xy 224.073731 115.28363) (xy 223.973434 115.130116) + (xy 223.273787 115.829764) (xy 223.262518 115.787708) (xy 223.19011 115.662292) (xy 223.087708 115.55989) + (xy 222.962292 115.487482) (xy 222.920235 115.476212) (xy 223.620057 114.77639) (xy 223.620056 114.776389) + (xy 223.573229 114.739943) (xy 223.354614 114.621635) (xy 223.354603 114.62163) (xy 223.119493 114.540916) + (xy 222.874293 114.5) (xy 222.625707 114.5) (xy 222.380506 114.540916) (xy 222.145396 114.62163) + (xy 222.14539 114.621632) (xy 221.926761 114.739949) (xy 221.879942 114.776388) (xy 221.879942 114.77639) + (xy 222.579765 115.476212) (xy 222.537708 115.487482) (xy 222.412292 115.55989) (xy 222.30989 115.662292) + (xy 222.237482 115.787708) (xy 222.226212 115.829764) (xy 221.526564 115.130116) (xy 221.426267 115.283632) + (xy 221.342962 115.47355) (xy 221.298006 115.527036) (xy 221.23127 115.547726) (xy 221.163942 115.529051) + (xy 221.132452 115.501045) (xy 221.129818 115.497742) (xy 221.129816 115.497738) (xy 221.129812 115.497734) + (xy 221.127546 115.494892) (xy 221.101143 115.430203) (xy 221.1005 115.417587) (xy 221.1005 112.066319) + (xy 221.120185 111.99928) (xy 221.172989 111.953525) (xy 221.242147 111.943581) (xy 221.305703 111.972606) + (xy 221.338055 112.016508) (xy 221.3629 112.07315) (xy 221.425826 112.216606) (xy 221.561833 112.424782) + (xy 221.561836 112.424785) (xy 221.730256 112.607738) (xy 221.926491 112.760474) (xy 222.14519 112.878828) + (xy 222.380386 112.959571) (xy 222.625665 113.0005) (xy 222.874335 113.0005) (xy 223.119614 112.959571) + (xy 223.35481 112.878828) (xy 223.573509 112.760474) (xy 223.769744 112.607738) (xy 223.938164 112.424785) + (xy 224.074173 112.216607) (xy 224.174063 111.988881) (xy 224.235108 111.747821) (xy 224.235109 111.747812) + (xy 224.255643 111.500005) (xy 224.255643 111.499994) (xy 224.235109 111.252187) (xy 224.235107 111.252175) + (xy 224.174063 111.011118) (xy 224.074173 110.783393) (xy 223.938166 110.575217) (xy 223.871005 110.502261) + (xy 223.769744 110.392262) (xy 223.573509 110.239526) (xy 223.573507 110.239525) (xy 223.573506 110.239524) + (xy 223.354811 110.121172) (xy 223.354802 110.121169) (xy 223.119616 110.040429) (xy 222.874335 109.9995) + (xy 222.625665 109.9995) (xy 222.380383 110.040429) (xy 222.145197 110.121169) (xy 222.145188 110.121172) + (xy 221.926493 110.239524) (xy 221.730257 110.392261) (xy 221.561833 110.575217) (xy 221.425826 110.783393) + (xy 221.338056 110.98349) (xy 221.2931 111.036976) (xy 221.226364 111.057666) (xy 221.159036 111.038991) + (xy 221.112493 110.986881) (xy 221.1005 110.93368) (xy 221.1005 100.050097) (xy 221.120185 99.983058) + (xy 221.136819 99.962416) (xy 221.312916 99.786319) (xy 221.374239 99.752834) (xy 221.400597 99.75) + (xy 234.0755 99.75) (xy 234.142539 99.769685) (xy 234.188294 99.822489) (xy 234.1995 99.874) (xy 234.1995 136.8255) + (xy 234.179815 136.892539) (xy 234.127011 136.938294) (xy 234.0755 136.9495) (xy 225.687285 136.9495) + (xy 225.620246 136.929815) (xy 225.574491 136.877011) (xy 225.564547 136.807853) (xy 225.593572 136.744297) + (xy 225.65235 136.706523) (xy 225.667887 136.703027) (xy 225.696274 136.69853) (xy 225.857207 136.646239) + (xy 226.007971 136.569421) (xy 226.144864 136.469961) (xy 226.144865 136.469961) (xy 226.264509 136.350317) + (xy 226.264509 136.350316) (xy 226.363969 136.213423) (xy 226.440787 136.062659) (xy 226.493078 135.901726) + (xy 226.519548 135.734609) (xy 226.519548 135.575) (xy 225.719548 135.575) (xy 225.719548 135.075) + (xy 226.519548 135.075) (xy 226.519548 134.91539) (xy 226.493078 134.748273) (xy 226.440787 134.58734) + (xy 226.363969 134.436576) (xy 226.264509 134.299683) (xy 226.264509 134.299682) (xy 226.144865 134.180038) + (xy 226.007971 134.080578) (xy 225.857209 134.00376) (xy 225.696274 133.951469) (xy 225.694548 133.951194) + (xy 225.694548 134.884943) (xy 225.677682 134.844225) (xy 225.600323 134.766866) (xy 225.499249 134.725) + (xy 225.389847 134.725) (xy 225.288773 134.766866) (xy 225.211414 134.844225) (xy 225.194548 134.884943) + (xy 225.194548 133.951195) (xy 225.194547 133.951194) (xy 225.192821 133.951469) (xy 225.031886 134.00376) + (xy 224.881124 134.080578) (xy 224.744231 134.180038) (xy 224.74423 134.180038) (xy 224.624586 134.299682) + (xy 224.624586 134.299683) (xy 224.525126 134.436576) (xy 224.448308 134.58734) (xy 224.396017 134.748273) + (xy 224.369548 134.91539) (xy 224.369548 135.075) (xy 225.169548 135.075) (xy 225.169548 135.575) + (xy 224.369548 135.575) (xy 224.369548 135.734609) (xy 224.396017 135.901726) (xy 224.448308 136.062659) + (xy 224.525126 136.213423) (xy 224.624586 136.350316) (xy 224.624586 136.350317) (xy 224.74423 136.469961) + (xy 224.881124 136.569421) (xy 225.031888 136.646239) (xy 225.192821 136.69853) (xy 225.221209 136.703027) + (xy 225.284344 136.732957) (xy 225.321275 136.792268) (xy 225.320277 136.862131) (xy 225.281667 136.920363) + (xy 225.217703 136.948477) (xy 225.201811 136.9495) (xy 217.937285 136.9495) (xy 217.870246 136.929815) + (xy 217.824491 136.877011) (xy 217.814547 136.807853) (xy 217.843572 136.744297) (xy 217.90235 136.706523) + (xy 217.917887 136.703027) (xy 217.946274 136.69853) (xy 218.107207 136.646239) (xy 218.257971 136.569421) + (xy 218.394864 136.469961) (xy 218.394865 136.469961) (xy 218.514509 136.350317) (xy 218.514509 136.350316) + (xy 218.613969 136.213423) (xy 218.690787 136.062659) (xy 218.743078 135.901726) (xy 218.769548 135.734609) + (xy 218.769548 135.575) (xy 217.969548 135.575) (xy 217.969548 135.075) (xy 218.769548 135.075) + (xy 218.769548 134.91539) (xy 218.743078 134.748273) (xy 218.690787 134.58734) (xy 218.613969 134.436576) + (xy 218.514509 134.299683) (xy 218.514509 134.299682) (xy 218.394865 134.180038) (xy 218.257971 134.080578) + (xy 218.107209 134.00376) (xy 217.946274 133.951469) (xy 217.944548 133.951194) (xy 217.944548 134.884943) + (xy 217.927682 134.844225) (xy 217.850323 134.766866) (xy 217.749249 134.725) (xy 217.639847 134.725) + (xy 217.538773 134.766866) (xy 217.461414 134.844225) (xy 217.444548 134.884943) (xy 217.444548 133.951195) + (xy 217.444547 133.951194) (xy 217.442821 133.951469) (xy 217.281886 134.00376) (xy 217.131124 134.080578) + (xy 216.994231 134.180038) (xy 216.99423 134.180038) (xy 216.874586 134.299682) (xy 216.874586 134.299683) + (xy 216.775126 134.436576) (xy 216.698308 134.58734) (xy 216.646017 134.748273) (xy 216.619548 134.91539) + (xy 216.619548 135.075) (xy 217.419548 135.075) (xy 217.419548 135.575) (xy 216.619548 135.575) + (xy 216.619548 135.734609) (xy 216.646017 135.901726) (xy 216.698308 136.062659) (xy 216.775126 136.213423) + (xy 216.874586 136.350316) (xy 216.874586 136.350317) (xy 216.99423 136.469961) (xy 217.131124 136.569421) + (xy 217.281888 136.646239) (xy 217.442821 136.69853) (xy 217.471209 136.703027) (xy 217.534344 136.732957) + (xy 217.571275 136.792268) (xy 217.570277 136.862131) (xy 217.531667 136.920363) (xy 217.467703 136.948477) + (xy 217.451811 136.9495) (xy 201.347249 136.9495) (xy 201.28021 136.929815) (xy 201.234455 136.877011) + (xy 201.224511 136.807853) (xy 201.24344 136.757679) (xy 201.279145 136.703027) (xy 201.324173 136.634107) + (xy 201.424063 136.406381) (xy 201.485108 136.165321) (xy 201.505643 135.9175) (xy 201.502795 135.883134) + (xy 201.485109 135.669687) (xy 201.485107 135.669675) (xy 201.424063 135.428618) (xy 201.324173 135.200893) + (xy 201.188166 134.992717) (xy 201.166557 134.969244) (xy 201.019744 134.809762) (xy 200.823509 134.657026) + (xy 200.823507 134.657025) (xy 200.823506 134.657024) (xy 200.604811 134.538672) (xy 200.604802 134.538669) + (xy 200.369616 134.457929) (xy 200.124335 134.417) (xy 199.875665 134.417) (xy 199.630383 134.457929) + (xy 199.395197 134.538669) (xy 199.395188 134.538672) (xy 199.176493 134.657024) (xy 198.980257 134.809761) + (xy 198.811833 134.992717) (xy 198.675826 135.200893) (xy 198.575936 135.428618) (xy 198.514892 135.669675) + (xy 198.51489 135.669687) (xy 198.494357 135.917494) (xy 198.494357 135.917505) (xy 198.51489 136.165312) + (xy 198.514892 136.165324) (xy 198.575936 136.406381) (xy 198.675826 136.634106) (xy 198.75656 136.757679) + (xy 198.776747 136.824568) (xy 198.757567 136.891754) (xy 198.705109 136.937904) (xy 198.652751 136.9495) + (xy 189.347249 136.9495) (xy 189.28021 136.929815) (xy 189.234455 136.877011) (xy 189.224511 136.807853) + (xy 189.24344 136.757679) (xy 189.279145 136.703027) (xy 189.324173 136.634107) (xy 189.424063 136.406381) + (xy 189.485108 136.165321) (xy 189.505643 135.9175) (xy 189.502795 135.883134) (xy 189.485109 135.669687) + (xy 189.485107 135.669675) (xy 189.424063 135.428618) (xy 189.324173 135.200893) (xy 189.188166 134.992717) + (xy 189.166557 134.969244) (xy 189.019744 134.809762) (xy 188.823509 134.657026) (xy 188.823507 134.657025) + (xy 188.823506 134.657024) (xy 188.604811 134.538672) (xy 188.604802 134.538669) (xy 188.369616 134.457929) + (xy 188.124335 134.417) (xy 187.875665 134.417) (xy 187.630383 134.457929) (xy 187.395197 134.538669) + (xy 187.395188 134.538672) (xy 187.176493 134.657024) (xy 186.980257 134.809761) (xy 186.811833 134.992717) + (xy 186.675826 135.200893) (xy 186.575936 135.428618) (xy 186.514892 135.669675) (xy 186.51489 135.669687) + (xy 186.494357 135.917494) (xy 186.494357 135.917505) (xy 186.51489 136.165312) (xy 186.514892 136.165324) + (xy 186.575936 136.406381) (xy 186.675826 136.634106) (xy 186.75656 136.757679) (xy 186.776747 136.824568) + (xy 186.757567 136.891754) (xy 186.705109 136.937904) (xy 186.652751 136.9495) (xy 179.9245 136.9495) + (xy 179.857461 136.929815) (xy 179.811706 136.877011) (xy 179.8005 136.8255) (xy 179.8005 133.868004) + (xy 181.1995 133.868004) (xy 181.199501 133.86802) (xy 181.230306 134.10201) (xy 181.291394 134.329993) + (xy 181.381714 134.548045) (xy 181.381719 134.548056) (xy 181.444633 134.657024) (xy 181.499727 134.75245) + (xy 181.499729 134.752453) (xy 181.49973 134.752454) (xy 181.643406 134.939697) (xy 181.643412 134.939704) + (xy 181.810295 135.106587) (xy 181.810301 135.106592) (xy 181.99755 135.250273) (xy 182.128918 135.326118) + (xy 182.201943 135.36828) (xy 182.201948 135.368282) (xy 182.201951 135.368284) (xy 182.420007 135.458606) + (xy 182.647986 135.519693) (xy 182.881989 135.5505) (xy 182.881996 135.5505) (xy 183.118004 135.5505) + (xy 183.118011 135.5505) (xy 183.352014 135.519693) (xy 183.579993 135.458606) (xy 183.798049 135.368284) + (xy 184.00245 135.250273) (xy 184.189699 135.106592) (xy 184.356592 134.939699) (xy 184.500273 134.75245) + (xy 184.618284 134.548049) (xy 184.708606 134.329993) (xy 184.769693 134.102014) (xy 184.8005 133.868011) + (xy 184.8005 133.868004) (xy 229.1995 133.868004) (xy 229.199501 133.86802) (xy 229.230306 134.10201) + (xy 229.291394 134.329993) (xy 229.381714 134.548045) (xy 229.381719 134.548056) (xy 229.444633 134.657024) + (xy 229.499727 134.75245) (xy 229.499729 134.752453) (xy 229.49973 134.752454) (xy 229.643406 134.939697) + (xy 229.643412 134.939704) (xy 229.810295 135.106587) (xy 229.810301 135.106592) (xy 229.99755 135.250273) + (xy 230.128918 135.326118) (xy 230.201943 135.36828) (xy 230.201948 135.368282) (xy 230.201951 135.368284) + (xy 230.420007 135.458606) (xy 230.647986 135.519693) (xy 230.881989 135.5505) (xy 230.881996 135.5505) + (xy 231.118004 135.5505) (xy 231.118011 135.5505) (xy 231.352014 135.519693) (xy 231.579993 135.458606) + (xy 231.798049 135.368284) (xy 232.00245 135.250273) (xy 232.189699 135.106592) (xy 232.356592 134.939699) + (xy 232.500273 134.75245) (xy 232.618284 134.548049) (xy 232.708606 134.329993) (xy 232.769693 134.102014) + (xy 232.8005 133.868011) (xy 232.8005 133.631989) (xy 232.769693 133.397986) (xy 232.708606 133.170007) + (xy 232.618284 132.951951) (xy 232.618282 132.951948) (xy 232.61828 132.951943) (xy 232.554535 132.841535) + (xy 232.500273 132.74755) (xy 232.356592 132.560301) (xy 232.356587 132.560295) (xy 232.189704 132.393412) + (xy 232.189697 132.393406) (xy 232.002454 132.24973) (xy 232.002453 132.249729) (xy 232.00245 132.249727) + (xy 231.900441 132.190832) (xy 231.798056 132.131719) (xy 231.798045 132.131714) (xy 231.579993 132.041394) + (xy 231.35201 131.980306) (xy 231.11802 131.949501) (xy 231.118017 131.9495) (xy 231.118011 131.9495) + (xy 230.881989 131.9495) (xy 230.881983 131.9495) (xy 230.881979 131.949501) (xy 230.647989 131.980306) + (xy 230.420006 132.041394) (xy 230.201954 132.131714) (xy 230.201943 132.131719) (xy 229.997545 132.24973) + (xy 229.810302 132.393406) (xy 229.810295 132.393412) (xy 229.643412 132.560295) (xy 229.643406 132.560302) + (xy 229.49973 132.747545) (xy 229.381719 132.951943) (xy 229.381714 132.951954) (xy 229.291394 133.170006) + (xy 229.230306 133.397989) (xy 229.199501 133.631979) (xy 229.1995 133.631995) (xy 229.1995 133.868004) + (xy 184.8005 133.868004) (xy 184.8005 133.631989) (xy 184.769693 133.397986) (xy 184.708606 133.170007) + (xy 184.618284 132.951951) (xy 184.618282 132.951948) (xy 184.61828 132.951943) (xy 184.554535 132.841535) + (xy 184.500273 132.74755) (xy 184.356592 132.560301) (xy 184.356587 132.560295) (xy 184.189704 132.393412) + (xy 184.189697 132.393406) (xy 184.002454 132.24973) (xy 184.002453 132.249729) (xy 184.00245 132.249727) + (xy 183.900441 132.190832) (xy 183.798056 132.131719) (xy 183.798045 132.131714) (xy 183.579993 132.041394) + (xy 183.35201 131.980306) (xy 183.11802 131.949501) (xy 183.118017 131.9495) (xy 183.118011 131.9495) + (xy 182.881989 131.9495) (xy 182.881983 131.9495) (xy 182.881979 131.949501) (xy 182.647989 131.980306) + (xy 182.420006 132.041394) (xy 182.201954 132.131714) (xy 182.201943 132.131719) (xy 181.997545 132.24973) + (xy 181.810302 132.393406) (xy 181.810295 132.393412) (xy 181.643412 132.560295) (xy 181.643406 132.560302) + (xy 181.49973 132.747545) (xy 181.381719 132.951943) (xy 181.381714 132.951954) (xy 181.291394 133.170006) + (xy 181.230306 133.397989) (xy 181.199501 133.631979) (xy 181.1995 133.631995) (xy 181.1995 133.868004) + (xy 179.8005 133.868004) (xy 179.8005 129.917505) (xy 186.494357 129.917505) (xy 186.51489 130.165312) + (xy 186.514892 130.165324) (xy 186.575936 130.406381) (xy 186.675826 130.634106) (xy 186.811833 130.842282) + (xy 186.811836 130.842285) (xy 186.980256 131.025238) (xy 187.176491 131.177974) (xy 187.176493 131.177975) + (xy 187.394563 131.295989) (xy 187.39519 131.296328) (xy 187.630386 131.377071) (xy 187.875665 131.418) + (xy 188.124335 131.418) (xy 188.369614 131.377071) (xy 188.499145 131.332603) (xy 188.568943 131.329453) + (xy 188.629365 131.364539) (xy 188.661225 131.426721) (xy 188.662936 131.460691) (xy 188.644843 131.667497) + (xy 188.644843 131.667501) (xy 188.66543 131.902815) (xy 188.665432 131.902826) (xy 188.726566 132.130983) + (xy 188.72657 132.130992) (xy 188.8264 132.345079) (xy 188.826402 132.345083) (xy 188.885072 132.428873) + (xy 188.885073 132.428873) (xy 189.557861 131.756084) (xy 189.580667 131.841194) (xy 189.63991 131.943806) + (xy 189.723694 132.02759) (xy 189.826306 132.086833) (xy 189.911414 132.109637) (xy 189.238625 132.782425) + (xy 189.322421 132.841099) (xy 189.536507 132.940929) (xy 189.536516 132.940933) (xy 189.764673 133.002067) + (xy 189.764684 133.002069) (xy 189.999998 133.022657) (xy 190.000002 133.022657) (xy 190.235315 133.002069) + (xy 190.235326 133.002067) (xy 190.463483 132.940933) (xy 190.463492 132.940929) (xy 190.677578 132.8411) + (xy 190.677582 132.841098) (xy 190.761373 132.782426) (xy 190.761373 132.782425) (xy 190.088585 132.109638) + (xy 190.173694 132.086833) (xy 190.276306 132.02759) (xy 190.36009 131.943806) (xy 190.419333 131.841194) + (xy 190.442137 131.756085) (xy 191.114925 132.428873) (xy 191.114926 132.428873) (xy 191.173598 132.345082) + (xy 191.1736 132.345078) (xy 191.273429 132.130992) (xy 191.273433 132.130983) (xy 191.334567 131.902826) + (xy 191.334569 131.902815) (xy 191.355157 131.667501) (xy 191.355157 131.6675) (xy 192.644341 131.6675) + (xy 192.664936 131.902903) (xy 192.664938 131.902913) (xy 192.726094 132.131155) (xy 192.726096 132.131159) + (xy 192.726097 132.131163) (xy 192.825847 132.345078) (xy 192.825965 132.34533) (xy 192.825967 132.345334) + (xy 192.859632 132.393412) (xy 192.961505 132.538901) (xy 193.128599 132.705995) (xy 193.225384 132.773765) + (xy 193.322165 132.841532) (xy 193.322167 132.841533) (xy 193.32217 132.841535) (xy 193.536337 132.941403) + (xy 193.764592 133.002563) (xy 193.952918 133.019039) (xy 193.999999 133.023159) (xy 194 133.023159) + (xy 194.000001 133.023159) (xy 194.039234 133.019726) (xy 194.235408 133.002563) (xy 194.463663 132.941403) + (xy 194.67783 132.841535) (xy 194.871401 132.705995) (xy 195.038495 132.538901) (xy 195.174035 132.34533) + (xy 195.273903 132.131163) (xy 195.335063 131.902908) (xy 195.355659 131.6675) (xy 196.644341 131.6675) + (xy 196.664936 131.902903) (xy 196.664938 131.902913) (xy 196.726094 132.131155) (xy 196.726096 132.131159) + (xy 196.726097 132.131163) (xy 196.825847 132.345078) (xy 196.825965 132.34533) (xy 196.825967 132.345334) + (xy 196.859632 132.393412) (xy 196.961505 132.538901) (xy 197.128599 132.705995) (xy 197.225384 132.773765) + (xy 197.322165 132.841532) (xy 197.322167 132.841533) (xy 197.32217 132.841535) (xy 197.536337 132.941403) + (xy 197.764592 133.002563) (xy 197.952918 133.019039) (xy 197.999999 133.023159) (xy 198 133.023159) + (xy 198.000001 133.023159) (xy 198.039234 133.019726) (xy 198.235408 133.002563) (xy 198.463663 132.941403) + (xy 198.67783 132.841535) (xy 198.871401 132.705995) (xy 199.038495 132.538901) (xy 199.174035 132.34533) + (xy 199.273903 132.131163) (xy 199.335063 131.902908) (xy 199.355659 131.6675) (xy 199.33758 131.460866) + (xy 199.351346 131.392369) (xy 199.399961 131.342186) (xy 199.46799 131.326252) (xy 199.50137 131.332779) + (xy 199.630386 131.377071) (xy 199.875665 131.418) (xy 200.124335 131.418) (xy 200.369614 131.377071) + (xy 200.60481 131.296328) (xy 200.60482 131.296322) (xy 200.605491 131.296029) (xy 200.605796 131.295989) + (xy 200.609661 131.294663) (xy 200.609933 131.295457) (xy 200.674791 131.287121) (xy 200.737905 131.317093) + (xy 200.771491 131.366246) (xy 200.809164 131.467248) (xy 200.809166 131.467251) (xy 200.809168 131.467257) + (xy 200.842653 131.52858) (xy 200.928877 131.643761) (xy 200.928881 131.643765) (xy 200.928886 131.643771) + (xy 201.181525 131.896409) (xy 201.356239 132.071123) (xy 201.356255 132.071137) (xy 201.356262 132.071144) + (xy 201.39648 132.107271) (xy 201.396492 132.107281) (xy 201.3965 132.107288) (xy 201.409173 132.1175) + (xy 201.417143 132.123923) (xy 201.439084 132.139744) (xy 201.461026 132.155567) (xy 201.591903 132.215338) + (xy 201.658942 132.235023) (xy 201.658946 132.235024) (xy 201.801362 132.2555) (xy 201.801365 132.2555) + (xy 205.19864 132.2555) (xy 205.208786 132.254955) (xy 205.252678 132.252603) (xy 205.252686 132.252602) + (xy 205.252688 132.252602) (xy 205.252689 132.252602) (xy 205.259682 132.251849) (xy 205.279036 132.249769) + (xy 205.279046 132.249767) (xy 205.279049 132.249767) (xy 205.288648 132.248211) (xy 205.332448 132.241114) + (xy 205.467257 132.190832) (xy 205.52858 132.157347) (xy 205.643761 132.071123) (xy 205.912319 131.802565) + (xy 205.973642 131.76908) (xy 206.043333 131.774064) (xy 206.087681 131.802565) (xy 206.356239 132.071123) + (xy 206.356255 132.071137) (xy 206.356262 132.071144) (xy 206.39648 132.107271) (xy 206.396492 132.107281) + (xy 206.3965 132.107288) (xy 206.409173 132.1175) (xy 206.417143 132.123923) (xy 206.439084 132.139744) + (xy 206.461026 132.155567) (xy 206.591903 132.215338) (xy 206.658942 132.235023) (xy 206.658946 132.235024) + (xy 206.801362 132.2555) (xy 206.801365 132.2555) (xy 210.19864 132.2555) (xy 210.208786 132.254955) + (xy 210.252678 132.252603) (xy 210.252686 132.252602) (xy 210.252688 132.252602) (xy 210.252689 132.252602) + (xy 210.259682 132.251849) (xy 210.279036 132.249769) (xy 210.279046 132.249767) (xy 210.279049 132.249767) + (xy 210.288648 132.248211) (xy 210.332448 132.241114) (xy 210.467257 132.190832) (xy 210.52858 132.157347) + (xy 210.643761 132.071123) (xy 210.818475 131.896409) (xy 216.619548 131.896409) (xy 216.649712 132.086856) + (xy 216.709294 132.270234) (xy 216.709295 132.270237) (xy 216.796836 132.442043) (xy 216.910164 132.598027) + (xy 216.910168 132.598032) (xy 217.046515 132.734379) (xy 217.04652 132.734383) (xy 217.202504 132.847711) + (xy 217.37431 132.935252) (xy 217.374313 132.935253) (xy 217.55769 132.994835) (xy 217.594548 133.000673) + (xy 217.594548 132.146409) (xy 217.680504 132.196037) (xy 217.788596 132.225) (xy 217.9005 132.225) + (xy 218.008592 132.196037) (xy 218.094548 132.146409) (xy 218.094548 133.000672) (xy 218.131401 132.994836) + (xy 218.131402 132.994836) (xy 218.154399 132.987363) (xy 218.22424 132.985366) (xy 218.284075 133.021444) + (xy 218.300109 133.043293) (xy 218.337015 133.107216) (xy 218.463677 133.247888) (xy 218.616813 133.359148) + (xy 218.616818 133.359151) (xy 218.78974 133.436142) (xy 218.789745 133.436144) (xy 218.974902 133.4755) + (xy 218.974903 133.4755) (xy 219.164192 133.4755) (xy 219.164194 133.4755) (xy 219.349351 133.436144) + (xy 219.522278 133.359151) (xy 219.675419 133.247888) (xy 219.802081 133.107216) (xy 219.896727 132.943284) + (xy 219.955222 132.763256) (xy 219.975008 132.575) (xy 223.164088 132.575) (xy 223.183874 132.763256) + (xy 223.183875 132.763259) (xy 223.242366 132.943277) (xy 223.242369 132.943284) (xy 223.337015 133.107216) + (xy 223.463677 133.247888) (xy 223.616813 133.359148) (xy 223.616818 133.359151) (xy 223.78974 133.436142) + (xy 223.789745 133.436144) (xy 223.974902 133.4755) (xy 223.974903 133.4755) (xy 224.164192 133.4755) + (xy 224.164194 133.4755) (xy 224.349351 133.436144) (xy 224.522278 133.359151) (xy 224.675419 133.247888) + (xy 224.802081 133.107216) (xy 224.838987 133.043291) (xy 224.889551 132.995078) (xy 224.958158 132.981854) + (xy 224.984693 132.987363) (xy 225.007686 132.994834) (xy 225.007692 132.994835) (xy 225.044548 133.000673) + (xy 225.044548 132.146409) (xy 225.130504 132.196037) (xy 225.238596 132.225) (xy 225.3505 132.225) + (xy 225.458592 132.196037) (xy 225.544548 132.146409) (xy 225.544548 133.000672) (xy 225.581403 132.994835) + (xy 225.581406 132.994835) (xy 225.764782 132.935253) (xy 225.764785 132.935252) (xy 225.936591 132.847711) + (xy 226.092575 132.734383) (xy 226.09258 132.734379) (xy 226.228927 132.598032) (xy 226.228931 132.598027) + (xy 226.342259 132.442043) (xy 226.4298 132.270237) (xy 226.429801 132.270234) (xy 226.489383 132.086856) + (xy 226.519548 131.896409) (xy 226.519548 131.775) (xy 225.719548 131.775) (xy 225.719548 131.275) + (xy 226.519548 131.275) (xy 226.519548 131.15359) (xy 226.489383 130.963143) (xy 226.429801 130.779765) + (xy 226.4298 130.779762) (xy 226.342259 130.607956) (xy 226.228931 130.451972) (xy 226.228927 130.451967) + (xy 226.09258 130.31562) (xy 226.092575 130.315616) (xy 225.936591 130.202288) (xy 225.764785 130.114747) + (xy 225.764779 130.114745) (xy 225.581406 130.055165) (xy 225.581396 130.055162) (xy 225.544548 130.049325) + (xy 225.544548 130.90359) (xy 225.458592 130.853963) (xy 225.3505 130.825) (xy 225.238596 130.825) + (xy 225.130504 130.853963) (xy 225.044548 130.90359) (xy 225.044548 130.049325) (xy 225.007699 130.055162) + (xy 225.007689 130.055165) (xy 224.824316 130.114745) (xy 224.82431 130.114747) (xy 224.652504 130.202288) + (xy 224.49652 130.315616) (xy 224.496515 130.31562) (xy 224.360168 130.451967) (xy 224.360164 130.451972) + (xy 224.246836 130.607956) (xy 224.159295 130.779762) (xy 224.159294 130.779765) (xy 224.099712 130.963143) + (xy 224.069548 131.15359) (xy 224.069548 131.275) (xy 224.869548 131.275) (xy 224.869548 131.775) + (xy 224.513038 131.775) (xy 224.462602 131.764279) (xy 224.349355 131.713857) (xy 224.34935 131.713855) + (xy 224.203549 131.682865) (xy 224.164194 131.6745) (xy 223.974902 131.6745) (xy 223.942445 131.681398) + (xy 223.789745 131.713855) (xy 223.78974 131.713857) (xy 223.616818 131.790848) (xy 223.616813 131.790851) + (xy 223.463677 131.902111) (xy 223.337014 132.042785) (xy 223.242369 132.206715) (xy 223.242366 132.206722) + (xy 223.19733 132.34533) (xy 223.183874 132.386744) (xy 223.164088 132.575) (xy 219.975008 132.575) + (xy 219.955222 132.386744) (xy 219.896727 132.206716) (xy 219.802081 132.042784) (xy 219.675419 131.902112) + (xy 219.675418 131.902111) (xy 219.522282 131.790851) (xy 219.522277 131.790848) (xy 219.349355 131.713857) + (xy 219.34935 131.713855) (xy 219.203549 131.682865) (xy 219.164194 131.6745) (xy 218.974902 131.6745) + (xy 218.942445 131.681398) (xy 218.789745 131.713855) (xy 218.78974 131.713857) (xy 218.676494 131.764279) + (xy 218.626058 131.775) (xy 218.269548 131.775) (xy 218.269548 131.275) (xy 219.069548 131.275) + (xy 219.069548 131.15359) (xy 219.039383 130.963143) (xy 218.979801 130.779765) (xy 218.9798 130.779762) + (xy 218.892259 130.607956) (xy 218.778931 130.451972) (xy 218.778927 130.451967) (xy 218.64258 130.31562) + (xy 218.642575 130.315616) (xy 218.486591 130.202288) (xy 218.314785 130.114747) (xy 218.314779 130.114745) + (xy 218.131406 130.055165) (xy 218.131396 130.055162) (xy 218.094548 130.049325) (xy 218.094548 130.90359) + (xy 218.008592 130.853963) (xy 217.9005 130.825) (xy 217.788596 130.825) (xy 217.680504 130.853963) + (xy 217.594548 130.90359) (xy 217.594548 130.049325) (xy 217.557699 130.055162) (xy 217.557689 130.055165) + (xy 217.374316 130.114745) (xy 217.37431 130.114747) (xy 217.202504 130.202288) (xy 217.04652 130.315616) + (xy 217.046515 130.31562) (xy 216.910168 130.451967) (xy 216.910164 130.451972) (xy 216.796836 130.607956) + (xy 216.709295 130.779762) (xy 216.709294 130.779765) (xy 216.649712 130.963143) (xy 216.619548 131.15359) + (xy 216.619548 131.275) (xy 217.419548 131.275) (xy 217.419548 131.775) (xy 216.619548 131.775) + (xy 216.619548 131.896409) (xy 210.818475 131.896409) (xy 211.071123 131.643761) (xy 211.107288 131.6035) + (xy 211.123922 131.582858) (xy 211.155567 131.538974) (xy 211.215338 131.408097) (xy 211.235023 131.341058) + (xy 211.235024 131.341054) (xy 211.2555 131.198638) (xy 211.2555 129.801362) (xy 211.252603 129.747322) + (xy 211.249769 129.720964) (xy 211.241114 129.667552) (xy 211.190832 129.532743) (xy 211.157347 129.47142) + (xy 211.071123 129.356239) (xy 211.071118 129.356234) (xy 211.071113 129.356228) (xy 210.643776 128.928892) + (xy 210.64377 128.928886) (xy 210.643761 128.928877) (xy 210.643737 128.928855) (xy 210.603519 128.892728) + (xy 210.603507 128.892718) (xy 210.582856 128.876076) (xy 210.538974 128.844433) (xy 210.4081 128.784663) + (xy 210.341055 128.764976) (xy 210.293582 128.75815) (xy 210.198638 128.7445) (xy 206.801362 128.7445) + (xy 206.80136 128.7445) (xy 206.747311 128.747397) (xy 206.74731 128.747397) (xy 206.720977 128.750229) + (xy 206.72095 128.750232) (xy 206.667554 128.758885) (xy 206.667552 128.758885) (xy 206.532747 128.809166) + (xy 206.471422 128.842651) (xy 206.35624 128.928876) (xy 206.356228 128.928886) (xy 206.08768 129.197434) + (xy 206.026357 129.230919) (xy 205.956665 129.225935) (xy 205.912318 129.197434) (xy 205.643776 128.928892) + (xy 205.64377 128.928886) (xy 205.643761 128.928877) (xy 205.643737 128.928855) (xy 205.603519 128.892728) + (xy 205.603507 128.892718) (xy 205.582856 128.876076) (xy 205.538974 128.844433) (xy 205.4081 128.784663) + (xy 205.341055 128.764976) (xy 205.293582 128.75815) (xy 205.198638 128.7445) (xy 201.801362 128.7445) + (xy 201.80136 128.7445) (xy 201.747311 128.747397) (xy 201.74731 128.747397) (xy 201.720977 128.750229) + (xy 201.72095 128.750232) (xy 201.667554 128.758885) (xy 201.667552 128.758885) (xy 201.532747 128.809166) + (xy 201.471422 128.842651) (xy 201.356244 128.928873) (xy 201.356238 128.928877) (xy 201.329508 128.955608) + (xy 201.268184 128.989092) (xy 201.198492 128.984106) (xy 201.150599 128.951908) (xy 201.019744 128.809762) + (xy 200.823509 128.657026) (xy 200.823507 128.657025) (xy 200.823506 128.657024) (xy 200.604811 128.538672) + (xy 200.604802 128.538669) (xy 200.369616 128.457929) (xy 200.124335 128.417) (xy 199.875665 128.417) + (xy 199.630383 128.457929) (xy 199.395197 128.538669) (xy 199.395188 128.538672) (xy 199.176493 128.657024) + (xy 198.980257 128.809761) (xy 198.811833 128.992717) (xy 198.675826 129.200893) (xy 198.575936 129.428618) + (xy 198.514892 129.669675) (xy 198.51489 129.669687) (xy 198.494357 129.917494) (xy 198.494357 129.917505) + (xy 198.51489 130.165312) (xy 198.514892 130.165325) (xy 198.528741 130.220011) (xy 198.526115 130.289832) + (xy 198.486159 130.347149) (xy 198.421558 130.373765) (xy 198.376442 130.370226) (xy 198.235413 130.332438) + (xy 198.235403 130.332436) (xy 198.000001 130.311841) (xy 197.999999 130.311841) (xy 197.764596 130.332436) + (xy 197.764586 130.332438) (xy 197.536344 130.393594) (xy 197.536335 130.393598) (xy 197.322171 130.493464) + (xy 197.322169 130.493465) (xy 197.128597 130.629005) (xy 196.961505 130.796097) (xy 196.825965 130.989669) + (xy 196.825964 130.989671) (xy 196.726098 131.203835) (xy 196.726094 131.203844) (xy 196.664938 131.432086) + (xy 196.664936 131.432096) (xy 196.644341 131.667499) (xy 196.644341 131.6675) (xy 195.355659 131.6675) + (xy 195.335063 131.432092) (xy 195.273903 131.203837) (xy 195.174035 130.989671) (xy 195.118191 130.909916) + (xy 195.038494 130.796097) (xy 194.871402 130.629006) (xy 194.871395 130.629001) (xy 194.677834 130.493467) + (xy 194.67783 130.493465) (xy 194.588848 130.451972) (xy 194.463663 130.393597) (xy 194.463659 130.393596) + (xy 194.463655 130.393594) (xy 194.235413 130.332438) (xy 194.235403 130.332436) (xy 194.000001 130.311841) + (xy 193.999999 130.311841) (xy 193.764596 130.332436) (xy 193.764586 130.332438) (xy 193.536344 130.393594) + (xy 193.536335 130.393598) (xy 193.322171 130.493464) (xy 193.322169 130.493465) (xy 193.128597 130.629005) + (xy 192.961505 130.796097) (xy 192.825965 130.989669) (xy 192.825964 130.989671) (xy 192.726098 131.203835) + (xy 192.726094 131.203844) (xy 192.664938 131.432086) (xy 192.664936 131.432096) (xy 192.644341 131.667499) + (xy 192.644341 131.6675) (xy 191.355157 131.6675) (xy 191.355157 131.667498) (xy 191.334569 131.432184) + (xy 191.334567 131.432173) (xy 191.273433 131.204016) (xy 191.273429 131.204007) (xy 191.1736 130.989923) + (xy 191.173599 130.989921) (xy 191.114925 130.906126) (xy 191.114925 130.906125) (xy 190.442137 131.578913) + (xy 190.419333 131.493806) (xy 190.36009 131.391194) (xy 190.276306 131.30741) (xy 190.173694 131.248167) + (xy 190.088584 131.225361) (xy 190.761373 130.552573) (xy 190.761373 130.552572) (xy 190.677583 130.493902) + (xy 190.677579 130.4939) (xy 190.463492 130.39407) (xy 190.463483 130.394066) (xy 190.235326 130.332932) + (xy 190.235315 130.33293) (xy 190.000002 130.312343) (xy 189.999998 130.312343) (xy 189.764684 130.33293) + (xy 189.76467 130.332933) (xy 189.623415 130.370781) (xy 189.553566 130.369118) (xy 189.495703 130.329955) + (xy 189.4682 130.265726) (xy 189.471117 130.220566) (xy 189.485108 130.165321) (xy 189.505643 129.9175) + (xy 189.496019 129.801359) (xy 189.485109 129.669687) (xy 189.485107 129.669675) (xy 189.424063 129.428618) + (xy 189.324173 129.200893) (xy 189.188166 128.992717) (xy 189.154005 128.955608) (xy 189.019744 128.809762) + (xy 188.823509 128.657026) (xy 188.823507 128.657025) (xy 188.823506 128.657024) (xy 188.604811 128.538672) + (xy 188.604802 128.538669) (xy 188.369616 128.457929) (xy 188.124335 128.417) (xy 187.875665 128.417) + (xy 187.630383 128.457929) (xy 187.395197 128.538669) (xy 187.395188 128.538672) (xy 187.176493 128.657024) + (xy 186.980257 128.809761) (xy 186.811833 128.992717) (xy 186.675826 129.200893) (xy 186.575936 129.428618) + (xy 186.514892 129.669675) (xy 186.51489 129.669687) (xy 186.494357 129.917494) (xy 186.494357 129.917505) + (xy 179.8005 129.917505) (xy 179.8005 127.336611) (xy 181.4245 127.336611) (xy 181.451598 127.507701) + (xy 181.505127 127.672445) (xy 181.583768 127.826788) (xy 181.685586 127.966928) (xy 181.808072 128.089414) + (xy 181.948212 128.191232) (xy 182.102555 128.269873) (xy 182.267299 128.323402) (xy 182.438389 128.3505) + (xy 182.43839 128.3505) (xy 183.16161 128.3505) (xy 183.161611 128.3505) (xy 183.332701 128.323402) + (xy 183.497445 128.269873) (xy 183.651788 128.191232) (xy 183.791928 128.089414) (xy 183.914414 127.966928) + (xy 184.016232 127.826788) (xy 184.094873 127.672445) (xy 184.148402 127.507701) (xy 184.1755 127.336611) + (xy 184.1755 127.163389) (xy 184.148402 126.992299) (xy 184.094873 126.827555) (xy 184.016232 126.673212) + (xy 183.914414 126.533072) (xy 183.806508 126.425166) (xy 183.773023 126.363843) (xy 183.778007 126.294151) + (xy 183.819879 126.238218) (xy 183.829094 126.231945) (xy 183.893345 126.192315) (xy 184.017315 126.068345) + (xy 184.109356 125.919124) (xy 184.109358 125.919119) (xy 184.164505 125.752697) (xy 184.164506 125.75269) + (xy 184.174999 125.649986) (xy 184.175 125.649973) (xy 184.175 125.5) (xy 183.08033 125.5) (xy 183.100075 125.480255) + (xy 183.149444 125.394745) (xy 183.175 125.29937) (xy 183.175 125.20063) (xy 183.149444 125.105255) + (xy 183.100075 125.019745) (xy 183.08033 125) (xy 184.174999 125) (xy 184.174999 124.850028) (xy 184.174998 124.850013) + (xy 184.164505 124.747302) (xy 184.109358 124.58088) (xy 184.109356 124.580875) (xy 184.017315 124.431654) + (xy 183.893345 124.307684) (xy 183.744124 124.215643) (xy 183.744119 124.215641) (xy 183.577697 124.160494) + (xy 183.57769 124.160493) (xy 183.474986 124.15) (xy 183.05 124.15) (xy 183.05 124.96967) (xy 183.030255 124.949925) + (xy 182.944745 124.900556) (xy 182.84937 124.875) (xy 182.75063 124.875) (xy 182.655255 124.900556) + (xy 182.569745 124.949925) (xy 182.55 124.96967) (xy 182.55 124.15) (xy 182.125028 124.15) (xy 182.125012 124.150001) + (xy 182.022302 124.160494) (xy 181.85588 124.215641) (xy 181.855875 124.215643) (xy 181.706654 124.307684) + (xy 181.582684 124.431654) (xy 181.490643 124.580875) (xy 181.490641 124.58088) (xy 181.435494 124.747302) + (xy 181.435493 124.747309) (xy 181.425 124.850013) (xy 181.425 125) (xy 182.51967 125) (xy 182.499925 125.019745) + (xy 182.450556 125.105255) (xy 182.425 125.20063) (xy 182.425 125.29937) (xy 182.450556 125.394745) + (xy 182.499925 125.480255) (xy 182.51967 125.5) (xy 181.425001 125.5) (xy 181.425001 125.649986) + (xy 181.435494 125.752697) (xy 181.490641 125.919119) (xy 181.490643 125.919124) (xy 181.582684 126.068345) + (xy 181.706656 126.192317) (xy 181.770906 126.231946) (xy 181.817631 126.283893) (xy 181.828854 126.352856) + (xy 181.801011 126.416938) (xy 181.793492 126.425166) (xy 181.685585 126.533073) (xy 181.583768 126.673211) + (xy 181.505128 126.827552) (xy 181.451597 126.992302) (xy 181.4245 127.163389) (xy 181.4245 127.336611) + (xy 179.8005 127.336611) (xy 179.8005 116.000005) (xy 214.744859 116.000005) (xy 214.765385 116.247729) + (xy 214.765387 116.247738) (xy 214.826412 116.488717) (xy 214.926266 116.716364) (xy 215.026564 116.869882) + (xy 215.726212 116.170234) (xy 215.737482 116.212292) (xy 215.80989 116.337708) (xy 215.912292 116.44011) + (xy 216.037708 116.512518) (xy 216.079765 116.523787) (xy 215.379942 117.223609) (xy 215.426768 117.260055) + (xy 215.42677 117.260056) (xy 215.645385 117.378364) (xy 215.645396 117.378369) (xy 215.880506 117.459083) + (xy 216.125707 117.5) (xy 216.374293 117.5) (xy 216.619493 117.459083) (xy 216.854603 117.378369) + (xy 216.854614 117.378364) (xy 217.073228 117.260057) (xy 217.073231 117.260055) (xy 217.120056 117.223609) + (xy 216.420234 116.523787) (xy 216.462292 116.512518) (xy 216.587708 116.44011) (xy 216.69011 116.337708) + (xy 216.762518 116.212292) (xy 216.773787 116.170235) (xy 217.473434 116.869882) (xy 217.573731 116.716369) + (xy 217.673587 116.488717) (xy 217.734612 116.247738) (xy 217.734614 116.247729) (xy 217.755141 116.000005) + (xy 217.755141 115.999994) (xy 217.734614 115.75227) (xy 217.734612 115.752261) (xy 217.673587 115.511282) + (xy 217.573731 115.28363) (xy 217.473434 115.130116) (xy 216.773787 115.829764) (xy 216.762518 115.787708) + (xy 216.69011 115.662292) (xy 216.587708 115.55989) (xy 216.462292 115.487482) (xy 216.420235 115.476212) + (xy 217.120057 114.77639) (xy 217.120056 114.776389) (xy 217.073229 114.739943) (xy 216.854614 114.621635) + (xy 216.854603 114.62163) (xy 216.619493 114.540916) (xy 216.374293 114.5) (xy 216.125707 114.5) + (xy 215.880506 114.540916) (xy 215.645396 114.62163) (xy 215.64539 114.621632) (xy 215.426761 114.739949) + (xy 215.379942 114.776388) (xy 215.379942 114.77639) (xy 216.079765 115.476212) (xy 216.037708 115.487482) + (xy 215.912292 115.55989) (xy 215.80989 115.662292) (xy 215.737482 115.787708) (xy 215.726212 115.829764) + (xy 215.026564 115.130116) (xy 214.926267 115.283632) (xy 214.826412 115.511282) (xy 214.765387 115.752261) + (xy 214.765385 115.75227) (xy 214.744859 115.999994) (xy 214.744859 116.000005) (xy 179.8005 116.000005) + (xy 179.8005 112.765006) (xy 180.5947 112.765006) (xy 180.613864 112.996297) (xy 180.613866 112.996308) + (xy 180.670842 113.2213) (xy 180.764075 113.433848) (xy 180.891016 113.628147) (xy 180.891019 113.628151) + (xy 180.891021 113.628153) (xy 181.048216 113.798913) (xy 181.048219 113.798915) (xy 181.048222 113.798918) + (xy 181.231365 113.941464) (xy 181.231371 113.941468) (xy 181.231374 113.94147) (xy 181.435497 114.051936) + (xy 181.549487 114.091068) (xy 181.655015 114.127297) (xy 181.655017 114.127297) (xy 181.655019 114.127298) + (xy 181.883951 114.1655) (xy 181.883952 114.1655) (xy 182.116048 114.1655) (xy 182.116049 114.1655) + (xy 182.344981 114.127298) (xy 182.564503 114.051936) (xy 182.768626 113.94147) (xy 182.951784 113.798913) + (xy 183.108979 113.628153) (xy 183.235924 113.433849) (xy 183.329157 113.2213) (xy 183.386134 112.996305) + (xy 183.395868 112.87883) (xy 183.4053 112.765006) (xy 183.4053 112.764993) (xy 183.386135 112.533702) + (xy 183.386133 112.533691) (xy 183.329157 112.308699) (xy 183.235924 112.096151) (xy 183.108983 111.901852) + (xy 183.10898 111.901849) (xy 183.108979 111.901847) (xy 183.014195 111.798884) (xy 182.983275 111.736232) + (xy 182.991135 111.666806) (xy 183.035283 111.612651) (xy 183.062095 111.598722) (xy 183.142326 111.568798) + (xy 183.142326 111.568797) (xy 183.142331 111.568796) (xy 183.257546 111.482546) (xy 183.343796 111.367331) + (xy 183.394091 111.232483) (xy 183.4005 111.172873) (xy 183.400499 109.277128) (xy 183.394091 109.217517) + (xy 183.343796 109.082669) (xy 183.343795 109.082668) (xy 183.343793 109.082664) (xy 183.257547 108.967455) + (xy 183.257544 108.967452) (xy 183.142335 108.881206) (xy 183.142328 108.881202) (xy 183.007482 108.830908) + (xy 183.007483 108.830908) (xy 182.947883 108.824501) (xy 182.947881 108.8245) (xy 182.947873 108.8245) + (xy 182.947864 108.8245) (xy 181.052129 108.8245) (xy 181.052123 108.824501) (xy 180.992516 108.830908) + (xy 180.857671 108.881202) (xy 180.857664 108.881206) (xy 180.742455 108.967452) (xy 180.742452 108.967455) + (xy 180.656206 109.082664) (xy 180.656202 109.082671) (xy 180.605908 109.217517) (xy 180.599501 109.277116) + (xy 180.599501 109.277123) (xy 180.5995 109.277135) (xy 180.5995 111.17287) (xy 180.599501 111.172876) + (xy 180.605908 111.232483) (xy 180.656202 111.367328) (xy 180.656206 111.367335) (xy 180.742452 111.482544) + (xy 180.742455 111.482547) (xy 180.857664 111.568793) (xy 180.857673 111.568798) (xy 180.937904 111.598722) + (xy 180.993838 111.640593) (xy 181.018256 111.706057) (xy 181.003405 111.77433) (xy 180.985802 111.798886) + (xy 180.891019 111.901849) (xy 180.764075 112.096151) (xy 180.670842 112.308699) (xy 180.613866 112.533691) + (xy 180.613864 112.533702) (xy 180.5947 112.764993) (xy 180.5947 112.765006) (xy 179.8005 112.765006) + (xy 179.8005 106.250005) (xy 200.494357 106.250005) (xy 200.51489 106.497812) (xy 200.514892 106.497824) + (xy 200.575936 106.738881) (xy 200.675826 106.966606) (xy 200.811833 107.174782) (xy 200.811836 107.174785) + (xy 200.980256 107.357738) (xy 201.176491 107.510474) (xy 201.39519 107.628828) (xy 201.630386 107.709571) + (xy 201.875665 107.7505) (xy 202.124335 107.7505) (xy 202.369614 107.709571) (xy 202.60481 107.628828) + (xy 202.823509 107.510474) (xy 203.019744 107.357738) (xy 203.188164 107.174785) (xy 203.324173 106.966607) + (xy 203.424063 106.738881) (xy 203.485108 106.497821) (xy 203.505643 106.250005) (xy 206.994357 106.250005) + (xy 207.01489 106.497812) (xy 207.014892 106.497824) (xy 207.075936 106.738881) (xy 207.175826 106.966606) + (xy 207.311833 107.174782) (xy 207.311836 107.174785) (xy 207.480256 107.357738) (xy 207.676491 107.510474) + (xy 207.89519 107.628828) (xy 208.130386 107.709571) (xy 208.375665 107.7505) (xy 208.624335 107.7505) + (xy 208.869614 107.709571) (xy 209.10481 107.628828) (xy 209.323509 107.510474) (xy 209.519744 107.357738) + (xy 209.688164 107.174785) (xy 209.824173 106.966607) (xy 209.924063 106.738881) (xy 209.985108 106.497821) + (xy 210.005643 106.25) (xy 209.985108 106.002179) (xy 209.924063 105.761119) (xy 209.824173 105.533393) + (xy 209.688166 105.325217) (xy 209.666557 105.301744) (xy 209.519744 105.142262) (xy 209.323509 104.989526) + (xy 209.323507 104.989525) (xy 209.323506 104.989524) (xy 209.104811 104.871172) (xy 209.104802 104.871169) + (xy 208.869616 104.790429) (xy 208.624335 104.7495) (xy 208.375665 104.7495) (xy 208.130383 104.790429) + (xy 207.895197 104.871169) (xy 207.895188 104.871172) (xy 207.676493 104.989524) (xy 207.480257 105.142261) + (xy 207.311833 105.325217) (xy 207.175826 105.533393) (xy 207.075936 105.761118) (xy 207.014892 106.002175) + (xy 207.01489 106.002187) (xy 206.994357 106.249994) (xy 206.994357 106.250005) (xy 203.505643 106.250005) + (xy 203.505643 106.25) (xy 203.485108 106.002179) (xy 203.424063 105.761119) (xy 203.324173 105.533393) + (xy 203.188166 105.325217) (xy 203.166557 105.301744) (xy 203.019744 105.142262) (xy 202.823509 104.989526) + (xy 202.823507 104.989525) (xy 202.823506 104.989524) (xy 202.604811 104.871172) (xy 202.604802 104.871169) + (xy 202.369616 104.790429) (xy 202.124335 104.7495) (xy 201.875665 104.7495) (xy 201.630383 104.790429) + (xy 201.395197 104.871169) (xy 201.395188 104.871172) (xy 201.176493 104.989524) (xy 200.980257 105.142261) + (xy 200.811833 105.325217) (xy 200.675826 105.533393) (xy 200.575936 105.761118) (xy 200.514892 106.002175) + (xy 200.51489 106.002187) (xy 200.494357 106.249994) (xy 200.494357 106.250005) (xy 179.8005 106.250005) + (xy 179.8005 104.100001) (xy 187.3745 104.100001) (xy 187.374501 104.100019) (xy 187.385 104.202796) + (xy 187.385001 104.202799) (xy 187.409588 104.276996) (xy 187.440186 104.369334) (xy 187.532288 104.518656) + (xy 187.656344 104.642712) (xy 187.805666 104.734814) (xy 187.972203 104.789999) (xy 188.074991 104.8005) + (xy 189.425008 104.800499) (xy 189.527797 104.789999) (xy 189.694334 104.734814) (xy 189.843656 104.642712) + (xy 189.967712 104.518656) (xy 190.059814 104.369334) (xy 190.114999 104.202797) (xy 190.1255 104.100009) + (xy 190.125499 103.299992) (xy 190.114999 103.197203) (xy 190.059814 103.030666) (xy 189.967712 102.881344) + (xy 189.843656 102.757288) (xy 189.843652 102.757285) (xy 189.779456 102.717688) (xy 189.732731 102.66574) + (xy 189.72151 102.596777) (xy 189.749353 102.532695) (xy 189.75685 102.524491) (xy 189.864414 102.416928) + (xy 189.966232 102.276788) (xy 190.044873 102.122445) (xy 190.098402 101.957701) (xy 190.1255 101.786611) + (xy 190.1255 101.613389) (xy 190.098402 101.442299) (xy 190.044873 101.277555) (xy 189.966232 101.123212) + (xy 189.864414 100.983072) (xy 189.741928 100.860586) (xy 189.65855 100.800008) (xy 189.615885 100.744677) + (xy 189.609906 100.675064) (xy 189.642512 100.613269) (xy 189.658552 100.599371) (xy 189.741598 100.539036) + (xy 189.864032 100.416602) (xy 189.965804 100.276524) (xy 190.044408 100.122255) (xy 190.097914 99.957584) + (xy 190.099115 99.95) (xy 189.03033 99.95) (xy 189.050075 99.930255) (xy 189.099444 99.844745) (xy 189.115021 99.786611) + (xy 200.8245 99.786611) (xy 200.851598 99.957701) (xy 200.905127 100.122445) (xy 200.983768 100.276788) + (xy 201.085586 100.416928) (xy 201.208072 100.539414) (xy 201.348212 100.641232) (xy 201.502555 100.719873) + (xy 201.667299 100.773402) (xy 201.838389 100.8005) (xy 201.83839 100.8005) (xy 202.56161 100.8005) + (xy 202.561611 100.8005) (xy 202.732701 100.773402) (xy 202.897445 100.719873) (xy 203.051788 100.641232) + (xy 203.191928 100.539414) (xy 203.314414 100.416928) (xy 203.416232 100.276788) (xy 203.494873 100.122445) + (xy 203.548402 99.957701) (xy 203.5755 99.786611) (xy 203.5755 99.613389) (xy 203.548402 99.442299) + (xy 203.494873 99.277555) (xy 203.416232 99.123212) (xy 203.314414 98.983072) (xy 203.206872 98.87553) + (xy 203.173387 98.814207) (xy 203.178371 98.744515) (xy 203.220243 98.688582) (xy 203.229457 98.68231) + (xy 203.240198 98.675685) (xy 203.293656 98.642712) (xy 203.417712 98.518656) (xy 203.509814 98.369334) + (xy 203.564999 98.202797) (xy 203.5755 98.100009) (xy 203.575499 97.299992) (xy 203.574826 97.293408) + (xy 203.564999 97.197203) (xy 203.564998 97.1972) (xy 203.549267 97.149727) (xy 203.509814 97.030666) + (xy 203.417712 96.881344) (xy 203.293656 96.757288) (xy 203.168559 96.680128) (xy 203.144336 96.665187) + (xy 203.144331 96.665185) (xy 203.142862 96.664698) (xy 202.977797 96.610001) (xy 202.977795 96.61) + (xy 202.87501 96.5995) (xy 201.524998 96.5995) (xy 201.524981 96.599501) (xy 201.422203 96.61) (xy 201.4222 96.610001) + (xy 201.255668 96.665185) (xy 201.255663 96.665187) (xy 201.106342 96.757289) (xy 200.982289 96.881342) + (xy 200.890187 97.030663) (xy 200.890185 97.030668) (xy 200.889838 97.031716) (xy 200.835001 97.197203) + (xy 200.835001 97.197204) (xy 200.835 97.197204) (xy 200.8245 97.299983) (xy 200.8245 98.100001) + (xy 200.824501 98.100019) (xy 200.835 98.202796) (xy 200.835001 98.202799) (xy 200.890185 98.369331) + (xy 200.890186 98.369334) (xy 200.982288 98.518656) (xy 201.106344 98.642712) (xy 201.159802 98.675685) + (xy 201.170543 98.68231) (xy 201.217268 98.734258) (xy 201.228489 98.803221) (xy 201.200646 98.867303) + (xy 201.193128 98.87553) (xy 201.085585 98.983073) (xy 200.983768 99.123211) (xy 200.905128 99.277552) + (xy 200.851597 99.442302) (xy 200.8245 99.613389) (xy 200.8245 99.786611) (xy 189.115021 99.786611) + (xy 189.125 99.74937) (xy 189.125 99.65063) (xy 189.099444 99.555255) (xy 189.050075 99.469745) + (xy 189.03033 99.45) (xy 190.099115 99.45) (xy 190.099115 99.449999) (xy 190.097914 99.442415) (xy 190.044408 99.277744) + (xy 189.965804 99.123475) (xy 189.864032 98.983397) (xy 189.741602 98.860967) (xy 189.658551 98.800628) + (xy 189.615885 98.745298) (xy 189.609906 98.675685) (xy 189.642511 98.613889) (xy 189.658551 98.599991) + (xy 189.699172 98.570478) (xy 189.741928 98.539414) (xy 189.864414 98.416928) (xy 189.966232 98.276788) + (xy 190.044873 98.122445) (xy 190.098402 97.957701) (xy 190.1255 97.786611) (xy 190.1255 97.613389) + (xy 190.098402 97.442299) (xy 190.044873 97.277555) (xy 189.966232 97.123212) (xy 189.864414 96.983072) + (xy 189.741928 96.860586) (xy 189.601788 96.758768) (xy 189.447445 96.680127) (xy 189.282701 96.626598) + (xy 189.282699 96.626597) (xy 189.282698 96.626597) (xy 189.151271 96.605781) (xy 189.111611 96.5995) + (xy 188.388389 96.5995) (xy 188.348728 96.605781) (xy 188.217302 96.626597) (xy 188.052552 96.680128) + (xy 187.898211 96.758768) (xy 187.818256 96.816859) (xy 187.758072 96.860586) (xy 187.75807 96.860588) + (xy 187.758069 96.860588) (xy 187.635588 96.983069) (xy 187.635588 96.98307) (xy 187.635586 96.983072) + (xy 187.600244 97.031716) (xy 187.533768 97.123211) (xy 187.455128 97.277552) (xy 187.401597 97.442302) + (xy 187.3745 97.613389) (xy 187.3745 97.786611) (xy 187.401598 97.957701) (xy 187.44784 98.100019) + (xy 187.455128 98.122447) (xy 187.491693 98.194211) (xy 187.533768 98.276788) (xy 187.635586 98.416928) + (xy 187.758072 98.539414) (xy 187.800828 98.570478) (xy 187.841449 98.599991) (xy 187.884114 98.655322) + (xy 187.890093 98.724935) (xy 187.857487 98.78673) (xy 187.841448 98.800627) (xy 187.758404 98.860961) + (xy 187.758399 98.860965) (xy 187.635967 98.983397) (xy 187.534195 99.123475) (xy 187.455591 99.277744) + (xy 187.402085 99.442415) (xy 187.400884 99.449999) (xy 187.400885 99.45) (xy 188.46967 99.45) (xy 188.449925 99.469745) + (xy 188.400556 99.555255) (xy 188.375 99.65063) (xy 188.375 99.74937) (xy 188.400556 99.844745) + (xy 188.449925 99.930255) (xy 188.46967 99.95) (xy 187.400885 99.95) (xy 187.402085 99.957584) (xy 187.455591 100.122255) + (xy 187.534195 100.276524) (xy 187.635967 100.416602) (xy 187.758401 100.539036) (xy 187.841447 100.599371) + (xy 187.884114 100.654701) (xy 187.890093 100.724314) (xy 187.857488 100.786109) (xy 187.84145 100.800007) + (xy 187.758072 100.860585) (xy 187.635588 100.983069) (xy 187.635588 100.98307) (xy 187.635586 100.983072) + (xy 187.591859 101.043256) (xy 187.533768 101.123211) (xy 187.455128 101.277552) (xy 187.401597 101.442302) + (xy 187.3745 101.613389) (xy 187.3745 101.78661) (xy 187.398809 101.940097) (xy 187.401598 101.957701) + (xy 187.455127 102.122445) (xy 187.533768 102.276788) (xy 187.635586 102.416928) (xy 187.635588 102.41693) + (xy 187.743127 102.524469) (xy 187.776612 102.585792) (xy 187.771628 102.655484) (xy 187.729756 102.711417) + (xy 187.720544 102.717688) (xy 187.656344 102.757287) (xy 187.532289 102.881342) (xy 187.440187 103.030663) + (xy 187.440186 103.030666) (xy 187.385001 103.197203) (xy 187.385001 103.197204) (xy 187.385 103.197204) + (xy 187.3745 103.299983) (xy 187.3745 104.100001) (xy 179.8005 104.100001) (xy 179.8005 98.768004) + (xy 181.1995 98.768004) (xy 181.199501 98.76802) (xy 181.227812 98.983069) (xy 181.230307 99.002014) + (xy 181.291394 99.229993) (xy 181.381714 99.448045) (xy 181.381719 99.448056) (xy 181.443611 99.555255) + (xy 181.499727 99.65245) (xy 181.499729 99.652453) (xy 181.49973 99.652454) (xy 181.643406 99.839697) + (xy 181.643412 99.839704) (xy 181.810295 100.006587) (xy 181.810301 100.006592) (xy 181.99755 100.150273) + (xy 182.128918 100.226118) (xy 182.201943 100.26828) (xy 182.201948 100.268282) (xy 182.201951 100.268284) + (xy 182.420007 100.358606) (xy 182.647986 100.419693) (xy 182.881989 100.4505) (xy 182.881996 100.4505) + (xy 183.118004 100.4505) (xy 183.118011 100.4505) (xy 183.352014 100.419693) (xy 183.579993 100.358606) + (xy 183.798049 100.268284) (xy 184.00245 100.150273) (xy 184.189699 100.006592) (xy 184.356592 99.839699) + (xy 184.500273 99.65245) (xy 184.618284 99.448049) (xy 184.708606 99.229993) (xy 184.769693 99.002014) + (xy 184.8005 98.768011) (xy 184.8005 98.531989) (xy 184.769693 98.297986) (xy 184.708606 98.070007) + (xy 184.618284 97.851951) (xy 184.618282 97.851948) (xy 184.61828 97.851943) (xy 184.576118 97.778918) + (xy 184.500273 97.64755) (xy 184.356592 97.460301) (xy 184.356587 97.460295) (xy 184.189704 97.293412) + (xy 184.189697 97.293406) (xy 184.002454 97.14973) (xy 184.002453 97.149729) (xy 184.00245 97.149727) + (xy 183.920957 97.102677) (xy 183.798056 97.031719) (xy 183.798045 97.031714) (xy 183.579993 96.941394) + (xy 183.355884 96.881344) (xy 183.352014 96.880307) (xy 183.352013 96.880306) (xy 183.35201 96.880306) + (xy 183.11802 96.849501) (xy 183.118017 96.8495) (xy 183.118011 96.8495) (xy 182.881989 96.8495) + (xy 182.881983 96.8495) (xy 182.881979 96.849501) (xy 182.647989 96.880306) (xy 182.420006 96.941394) + (xy 182.201954 97.031714) (xy 182.201943 97.031719) (xy 181.997545 97.14973) (xy 181.810302 97.293406) + (xy 181.810295 97.293412) (xy 181.643412 97.460295) (xy 181.643406 97.460302) (xy 181.49973 97.647545) + (xy 181.381719 97.851943) (xy 181.381714 97.851954) (xy 181.291394 98.070006) (xy 181.230306 98.297989) + (xy 181.199501 98.531979) (xy 181.1995 98.531995) (xy 181.1995 98.768004) (xy 179.8005 98.768004) + (xy 179.8005 95.6745) (xy 179.820185 95.607461) (xy 179.872989 95.561706) (xy 179.9245 95.5505) + (xy 213.816 95.5505) + ) + ) + ) + (zone + (net 7) + (net_name "VBAT") + (layer "B.Cu") + (uuid "de02fa1e-5dbd-4423-a3a2-a160858ab946") + (name "VBAT") + (hatch edge 0.5) + (priority 3) + (connect_pads + (clearance 0.25) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 201.25 129.25) (xy 201.25 131.75) (xy 205.75 131.75) (xy 205.75 129.25) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 205.265677 129.269685) (xy 205.286319 129.286319) (xy 205.713681 129.713681) (xy 205.747166 129.775004) + (xy 205.75 129.801362) (xy 205.75 131.198638) (xy 205.730315 131.265677) (xy 205.713681 131.286319) + (xy 205.286319 131.713681) (xy 205.224996 131.747166) (xy 205.198638 131.75) (xy 201.801362 131.75) + (xy 201.734323 131.730315) (xy 201.713681 131.713681) (xy 201.286319 131.286319) (xy 201.252834 131.224996) + (xy 201.25 131.198638) (xy 201.25 129.98323) (xy 201.250472 129.972423) (xy 201.255277 129.917501) + (xy 201.255277 129.917497) (xy 201.250472 129.862576) (xy 201.25 129.851769) (xy 201.25 129.801362) + (xy 201.269685 129.734323) (xy 201.286319 129.713681) (xy 201.713681 129.286319) (xy 201.775004 129.252834) + (xy 201.801362 129.25) (xy 205.198638 129.25) + ) + ) + ) + (zone + (net 0) + (net_name "") + (layer "B.Cu") + (uuid "fe432b65-6fe6-4791-87c0-25a543413f15") + (name "antenna keepout") + (hatch edge 0.5) + (connect_pads + (clearance 0) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (keepout + (tracks allowed) + (vias allowed) + (pads allowed) + (copperpour not_allowed) + (footprints allowed) + ) + (fill + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 213.94 95.25) (xy 213.94 99.75) (xy 234.5 99.75) (xy 234.5 95.25) (xy 234.4 95.25) + ) + ) + ) + (generated + (uuid "2c942e09-f478-4a86-afd3-c89f4ccefff3") + (type tuning_pattern) + (name "Tuning Pattern") + (layer "F.Cu") + (base_line + (pts + (xy 199.610172 102.5) (xy 201.385747 102.5) + ) + ) + (corner_radius_percent 80) + (end + (xy 201.385747 102.5) + ) + (initial_side "left") + (last_diff_pair_gap 0.18) + (last_netname "/SCL") + (last_status "tuned") + (last_track_width 0.2) + (last_tuning "21.0000 mm (tuned)") + (max_amplitude 1) + (min_amplitude 0.2) + (min_spacing 0.6) + (origin + (xy 199.610172 102.5) + ) + (override_custom_rules no) + (rounded yes) + (single_sided no) + (target_length 21) + (target_length_max 21.1) + (target_length_min 20.9) + (target_skew 0) + (target_skew_max 0.1) + (target_skew_min -0.1) + (tuning_mode "single") + (members 0c093642-6ea7-4080-80c3-938e38bb64c4 2a849540-6f8a-47ac-8719-c499ced9b004 + 53d9ddaa-81a1-4bf9-ac35-47f956bec492 631510f4-2039-41b8-bcd0-87bddb06fc87 + 652a928a-0485-4689-8fc7-4c7c7b4f98df 84d2e5f0-280e-4717-94ec-a523af90d120 + 84f651a8-2288-42a4-b51c-19fcb8fc2dab e7ff0335-b3dc-4145-84a7-726525f53d73 + f452bfeb-db97-4013-bbde-b3e61138b2d8 + ) + ) + (generated + (uuid "4063a999-775b-4ea9-acf0-097149899fe8") + (type tuning_pattern) + (name "Tuning Pattern") + (layer "F.Cu") + (base_line + (pts + (xy 193.491864 101.65) (xy 190.355859 101.65) + ) + ) + (corner_radius_percent 80) + (end + (xy 190.355859 101.65) + ) + (initial_side "left") + (last_diff_pair_gap 0.18) + (last_netname "/SCL") + (last_status "tuned") + (last_track_width 0.2) + (last_tuning "8.7500 mm (tuned)") + (max_amplitude 0.6) + (min_amplitude 0.2) + (min_spacing 0.6) + (origin + (xy 193.491864 101.65) + ) + (override_custom_rules no) + (rounded yes) + (single_sided no) + (target_length 8.75) + (target_length_max 8.85) + (target_length_min 8.65) + (target_skew 0) + (target_skew_max 0.1) + (target_skew_min -0.1) + (tuning_mode "single") + (members 1039807e-9170-40c5-ac1d-2ab1724d0a56 1c31168b-df03-4b95-9a07-e59fec25ba36 + 1d112970-c354-4f5c-95a9-7d6ce2647220 432b49d9-21b9-4cfb-9710-ae8f7bdd94ca + 5fc7921a-7317-4fe5-b0b8-1ce40945d89d 61d896f4-7f6f-49ee-a2c6-976f7273bc9f + 64984e44-8064-45d5-a6aa-8435b07e7878 784d52c0-0c1d-43a4-81bd-9e66cca56bfc + 7cb89381-0c53-4d3b-a352-95c71fbcd2fd 88909dce-8e70-4f26-9bdb-8b92cf81584d + 8e6b6823-e2ec-4981-97d7-2e02bcce8e11 9d5c2c8c-6be1-46cf-8247-6947cb963aa6 + a2a17318-1bfc-4a0b-b212-7f57dbf95ea2 a718b382-cef7-4269-9ea8-44f8cf0d429d + ae1858c6-400c-41ba-b16c-296fbe342255 bd05d96d-70ee-4218-b22f-087d686720f6 + daf9c7de-bb45-46a2-a262-c3f8af082e5b + ) + ) + (generated + (uuid "8f99a083-46a5-49fa-85a8-696a5b81e19f") + (type tuning_pattern) + (name "Tuning Pattern") + (layer "F.Cu") + (base_line + (pts + (xy 205.501105 103.75) (xy 201.332891 103.75) + ) + ) + (corner_radius_percent 80) + (end + (xy 201.332891 103.75) + ) + (initial_side "left") + (last_diff_pair_gap 0.18) + (last_netname "/SDA") + (last_status "tuned") + (last_track_width 0.2) + (last_tuning "21.0000 mm (tuned)") + (max_amplitude 0.5) + (min_amplitude 0.2) + (min_spacing 0.6) + (origin + (xy 205.501105 103.75) + ) + (override_custom_rules no) + (rounded yes) + (single_sided no) + (target_length 21) + (target_length_max 21.1) + (target_length_min 20.9) + (target_skew 0) + (target_skew_max 0.1) + (target_skew_min -0.1) + (tuning_mode "single") + (members 06930410-069a-453a-9508-440706603843 10860872-0c95-478b-8c27-361bf611c95b + 141b5ff2-9594-4730-a27b-0e5c2b03a6f1 1c971254-f1ef-4617-958e-9e0d2164690d + 1e152e27-0966-4381-bbf6-669145f2c42d 20ecec4d-ca4e-48d1-9cfc-a598e4f284e3 + 25f8cd34-775d-47c2-a87d-7bf903d2edb4 2b70b6e9-f55a-44e8-8283-4e9c53a60f95 + 376dc2d6-829e-40c9-a749-7a3a7be0ae2f 41bacb33-220d-49dd-825a-ea2f4f0497e6 + 43f3249e-a448-466a-988b-126c833a5661 47da4928-9f66-464b-bc7e-0e32f7aaf03c + 5a294489-f80d-47ef-8660-7a7b658bf36c 5b822ea4-efe1-48db-b6c9-a46312a14842 + 5ca0b4ba-f585-4b4d-ae61-8265953f91ad 7d3b4d45-1bc2-42af-b7a4-56520503bce5 + 8b6358ec-53e1-44bb-81a2-63a134851594 8e912254-60e0-48bc-9fa9-75f8815f5e66 + 90817e95-d101-448a-9be1-3b7c57ae0e1f 957e5158-f69e-49bb-b84e-e24bfc384569 + 9a32820b-f466-4f25-9dc8-1f6b29a03076 b00eb42b-3779-4a01-a1f7-5f522422c63c + ca31a350-608c-4005-ae15-26bbcc3c2b69 dcaedb57-c9b4-4865-af11-d7da25c52210 + e6c1b768-ace3-4b1c-94bf-f949656a4b95 eeab3b9d-56cb-4adf-9e02-cce52b169761 + f46be9dd-b191-4366-8f84-718c468966d1 + ) + ) +) \ No newline at end of file diff --git a/pcb/esp32-boards/esp32-boards.kicad_pro b/pcb/esp32-boards/esp32-boards.kicad_pro new file mode 100644 index 0000000..cd27c16 --- /dev/null +++ b/pcb/esp32-boards/esp32-boards.kicad_pro @@ -0,0 +1,720 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.153, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.153, + "silk_text_upright": true, + "zones": { + "min_clearance": 0.25 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [ + "lib_footprint_mismatch|224440000|99150000|3da9fedf-1235-42e5-9a55-1205853e6b96|00000000-0000-0000-0000-000000000000", + "silk_overlap|0|0|1d7e7cba-e1c2-4e79-a3b4-5d5e650e38d8|a7e5e005-2f49-4b00-a0af-e046f7cff277", + "silk_overlap|0|0|430e9076-01bc-49df-b0e9-8045d58ffe7e|a7e5e005-2f49-4b00-a0af-e046f7cff277", + "silk_overlap|227490000|127900000|9f605078-9006-4739-83c0-c4e53cebc93e|c2128804-9e87-4c5e-94d2-b9dd0cd49dd5", + "silk_overlap|227490000|129600000|bc261751-df80-48ba-966d-789382520582|c2128804-9e87-4c5e-94d2-b9dd0cd49dd5", + "silk_overlap|227510000|129600000|578d3f10-3250-48df-ae28-aa74cddbbe29|4ec1e464-20e0-44cc-8161-d8b9dd98d293", + "silk_overlap|227510000|129600000|578d3f10-3250-48df-ae28-aa74cddbbe29|c2128804-9e87-4c5e-94d2-b9dd0cd49dd5", + "silk_overlap|227510000|129600000|bc261751-df80-48ba-966d-789382520582|4ec1e464-20e0-44cc-8161-d8b9dd98d293" + ], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.127, + "min_connection": 0.127, + "min_copper_edge_clearance": 0.3, + "min_hole_clearance": 0.2, + "min_hole_to_hole": 0.5, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 1, + "min_silk_clearance": 0.0, + "min_text_height": 1.0, + "min_text_thickness": 0.153, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.127, + "min_via_annular_width": 0.13, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0 + ], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": true + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "error", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "esp32-boards.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.127, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Power", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.5, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.127, + "diff_pair_gap": 0.127, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2088, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "USB Data", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2088, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": { + "/D+": "USB Data", + "/D-": "USB Data" + }, + "netclass_patterns": [ + { + "netclass": "Power", + "pattern": "VBUS" + }, + { + "netclass": "Power", + "pattern": "VDD" + }, + { + "netclass": "Power", + "pattern": "VBAT" + }, + { + "netclass": "Power", + "pattern": "+3V3" + }, + { + "netclass": "USB Data", + "pattern": "/USB_D+" + }, + { + "netclass": "USB Data", + "pattern": "/USB_D-" + } + ] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "production/", + "pos_files": "production/", + "specctra_dsn": "", + "step": "esp32-boards.step", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": true, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + }, + { + "group_by": false, + "label": "Exclude from Board", + "name": "${EXCLUDE_FROM_BOARD}", + "show": false + }, + { + "group_by": false, + "label": "Exclude from Simulation", + "name": "${EXCLUDE_FROM_SIM}", + "show": false + }, + { + "group_by": true, + "label": "Part Number", + "name": "Part Number", + "show": true + }, + { + "group_by": false, + "label": "Description", + "name": "Description", + "show": false + }, + { + "group_by": false, + "label": "Exclude from BOM", + "name": "${EXCLUDE_FROM_BOM}", + "show": false + }, + { + "group_by": false, + "label": "#", + "name": "${ITEM_NUMBER}", + "show": false + }, + { + "group_by": false, + "label": "Notes", + "name": "Notes", + "show": false + }, + { + "group_by": true, + "label": "JLCSC", + "name": "JLCSC", + "show": true + }, + { + "group_by": false, + "label": "JLC", + "name": "JLC", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "1f39f509-fadf-4ef8-8f0f-fb272e08b99c", + "Root" + ] + ], + "text_variables": {} +} diff --git a/pcb/esp32-boards/esp32-boards.kicad_sch b/pcb/esp32-boards/esp32-boards.kicad_sch new file mode 100644 index 0000000..02b9d56 --- /dev/null +++ b/pcb/esp32-boards/esp32-boards.kicad_sch @@ -0,0 +1,13570 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "1f39f509-fadf-4ef8-8f0f-fb272e08b99c") + (paper "USLetter") + (title_block + (title "laser photogate ESP32 board") + (date "2024-03-24") + (rev "1") + (company "Trevor Vannoy") + ) + (lib_symbols + (symbol "Analog_Switch:MAX40200AUK" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -6.35 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "MAX40200AUK" + (at 7.62 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 12.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX40200.pdf" + (at 0 12.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Ideal Diode, Ultra-Low Voltage Drop, 1.5-5.5V, 1A, SOT-23-5" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "current switch" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?23*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "MAX40200AUK_1_1" + (rectangle + (start -7.62 -5.08) + (end 7.62 5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin power_in line + (at -10.16 2.54 0) + (length 2.54) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin no_connect line + (at 7.62 -2.54 180) + (length 2.54) hide + (name "NC" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 10.16 2.54 180) + (length 2.54) + (name "OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Battery_Management:MCP73831-2-OT" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 1.27 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 1.27 -6.35 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 0 -18.288 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "battery charger lithium" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?23*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "MCP73831-2-OT_0_1" + (rectangle + (start -7.62 5.08) + (end 7.62 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "MCP73831-2-OT_1_1" + (pin tri_state line + (at 10.16 -2.54 180) + (length 2.54) + (name "STAT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "V_{SS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 2.54 180) + (length 2.54) + (name "V_{BAT}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 7.62 270) + (length 2.54) + (name "V_{DD}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "PROG" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:USB_B_Micro" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at -5.08 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "USB_B_Micro" + (at -5.08 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector USB micro" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "USB*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "USB_B_Micro_0_1" + (rectangle + (start -5.08 -7.62) + (end 5.08 7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (circle + (center -3.81 2.159) + (radius 0.635) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (circle + (center -0.635 3.429) + (radius 0.381) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start -0.127 -7.62) + (end 0.127 -6.858) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 2.159) (xy 0.635 2.159) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 2.159) (xy -2.54 2.159) (xy -1.27 3.429) (xy -0.635 3.429) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 2.159) (xy -1.905 2.159) (xy -1.27 0.889) (xy 0 0.889) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 2.794) (xy 0.635 1.524) (xy 1.905 2.159) (xy 0.635 2.794) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.318 5.588) (xy -1.778 5.588) (xy -2.032 4.826) (xy -4.064 4.826) (xy -4.318 5.588) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.699 5.842) (xy -4.699 5.588) (xy -4.445 4.826) (xy -4.445 4.572) (xy -1.651 4.572) (xy -1.651 4.826) + (xy -1.397 5.588) (xy -1.397 5.842) (xy -4.699 5.842) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.254 1.27) + (end -0.508 0.508) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 5.08 -5.207) + (end 4.318 -4.953) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -2.667) + (end 4.318 -2.413) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -0.127) + (end 4.318 0.127) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 4.953) + (end 4.318 5.207) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line + (at 7.62 5.08 180) + (length 2.54) + (name "VBUS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -2.54 180) + (length 2.54) + (name "D-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 0 180) + (length 2.54) + (name "D+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -5.08 180) + (length 2.54) + (name "ID" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -10.16 90) + (length 2.54) + (name "Shield" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x02" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x02" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x02_1_1" + (rectangle + (start -1.27 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 1.27) + (end 1.27 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -2.54 0) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x04" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x04" + (at 0 -7.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x04_1_1" + (rectangle + (start -1.27 -4.953) + (end 0 -5.207) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 2.667) + (end 0 2.413) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 3.81) + (end 1.27 -6.35) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin passive line + (at -5.08 2.54 0) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -2.54 0) + (length 3.81) + (name "Pin_3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -5.08 0) + (length 3.81) + (name "Pin_4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C_Small" + (pin_numbers hide) + (pin_names + (offset 0.254) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.254 1.778 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C_Small" + (at 0.254 -2.032 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "capacitor cap" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) (xy 1.524 -0.508) + ) + (stroke + (width 0.3302) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.524 0.508) (xy 1.524 0.508) + ) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_Small_1_1" + (pin passive line + (at 0 2.54 270) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:D_Schottky" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "D_Schottky" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "diode Schottky" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "D_Schottky_0_1" + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 1.27) (xy 1.27 -1.27) (xy -1.27 0) (xy 1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 0.635) (xy -1.905 1.27) (xy -1.27 1.27) (xy -1.27 -1.27) (xy -0.635 -1.27) (xy -0.635 -0.635) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "D_Schottky_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:LED" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LED" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "LED diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) (xy -1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 0) (xy 1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -1.27) (xy 1.27 1.27) (xy -1.27 0) (xy 1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.048 -0.762) (xy -4.572 -2.286) (xy -3.81 -2.286) (xy -4.572 -2.286) (xy -4.572 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.778 -0.762) (xy -3.302 -2.286) (xy -2.54 -2.286) (xy -3.302 -2.286) (xy -3.302 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "LED_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:Q_Photo_NPN" + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "Q" + (at 5.08 1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "Q_Photo_NPN" + (at 5.08 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 5.08 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "NPN phototransistor, collector/emitter" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "phototransistor NPN" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Q_Photo_NPN_0_1" + (polyline + (pts + (xy -1.905 1.27) (xy -2.54 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 2.54) (xy -1.905 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 0.635) (xy 2.54 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.81 3.175) (xy -1.905 1.27) (xy -1.905 1.905) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 4.445) (xy -1.27 2.54) (xy -1.27 3.175) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 -0.635) (xy 2.54 -2.54) (xy 2.54 -2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 1.905) (xy 0.635 -1.905) (xy 0.635 -1.905) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -1.778) (xy 1.778 -1.27) (xy 2.286 -2.286) (xy 1.27 -1.778) (xy 1.27 -1.778) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (circle + (center 1.27 0) + (radius 2.8194) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Q_Photo_NPN_1_1" + (pin passive line + (at 2.54 5.08 270) + (length 2.54) + (name "C" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 -5.08 90) + (length 2.54) + (name "E" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R_Small_US" + (pin_numbers hide) + (pin_names + (offset 0.254) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 0.762 0.508 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "R_Small_US" + (at 0.762 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "r resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_Small_US_1_1" + (polyline + (pts + (xy 0 0) (xy 1.016 -0.381) (xy 0 -0.762) (xy -1.016 -1.143) (xy 0 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 1.524) (xy 1.016 1.143) (xy 0 0.762) (xy -1.016 0.381) (xy 0 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at 0 2.54 270) + (length 1.016) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 1.016) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Diode:SD05_SOD323" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.littelfuse.com/~/media/electronics/datasheets/tvs_diode_arrays/littelfuse_tvs_diode_array_sd_c_datasheet.pdf.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "transient voltage suppressor thyrector transil" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "D?SOD?323*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SD05_SOD323_0_1" + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy 0 0) (xy -2.54 1.27) (xy -2.54 -1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.508 1.27) (xy 0 1.27) (xy 0 -1.27) (xy -0.508 -1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 1.27) (xy 2.54 -1.27) (xy 0 0) (xy 2.54 1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "SD05_SOD323_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "PCM_Espressif:ESP32-C3-WROOM-02" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -25.4 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ESP32-C3-WROOM-02" + (at -25.4 22.86 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "PCM_Espressif:ESP32-C3-WROOM-02" + (at 0 -30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf" + (at -2.54 -33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "ESP32-C3-WROOM-02 is a general-purpose Wi-Fi and Bluetooth LE module. This module features a rich set of peripherals and high performance, which makes it an ideal choice for smart home, industrial automation, health care, consumer electronics, etc." + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "esp32-c3 module" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "ESP32-C3-WROOM-02_0_0" + (text "ESP32-C3-WROOM-02" + (at -12.7 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (symbol "ESP32-C3-WROOM-02_0_1" + (rectangle + (start 25.4 20.32) + (end -25.4 -20.32) + (stroke + (width 0) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "ESP32-C3-WROOM-02_1_1" + (pin power_in line + (at 0 22.86 270) + (length 2.54) + (name "3V3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -17.78 180) + (length 2.54) + (name "GPIO10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 17.78 180) + (length 2.54) + (name "GPIO20/U0RXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 15.24 180) + (length 2.54) + (name "GPIO21/U0TXD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 10.16 180) + (length 2.54) + (name "GPIO18/USB_D-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 7.62 180) + (length 2.54) + (name "GPIO19/USB_D+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 0 180) + (length 2.54) + (name "GPIO3/ADC1_CH3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 2.54 180) + (length 2.54) + (name "GPIO2/ADC1_CH2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -27.94 7.62 0) + (length 2.54) + (name "GPIO1/ADC1_CH1/XTAL_32K_N" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -27.94 10.16 0) + (length 2.54) + (name "GPIO0/ADC1_CH0/XTAL_32K_P" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -22.86 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -27.94 17.78 0) + (length 2.54) + (name "EN/CHIP_PU" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -2.54 180) + (length 2.54) + (name "GPIO4/ADC1_CH4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -5.08 180) + (length 2.54) + (name "GPIO5/ADC2_CH0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -7.62 180) + (length 2.54) + (name "GPIO6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -10.16 180) + (length 2.54) + (name "GPIO7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -12.7 180) + (length 2.54) + (name "GPIO8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 27.94 -15.24 180) + (length 2.54) + (name "GPIO9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -22.86 90) + (length 2.54) hide + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Regulator_Linear:LD39150DT33" + (pin_names + (offset 0.254) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -3.81 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LD39150DT33" + (at 0 3.175 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:TO-252-3_TabPin2" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/ld39150.pdf" + (at 2.54 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1.5A Ultra Low Dropout regulator, positive, 3.3V fixed output, TO-252" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO?252*TabPin2*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LD39150DT33_0_1" + (rectangle + (start -5.08 -5.08) + (end 5.08 1.905) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "LD39150DT33_1_1" + (pin power_in line + (at -7.62 0 0) + (length 2.54) + (name "VI" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 7.62 0 180) + (length 2.54) + (name "VO" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_Push" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 1.27 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SW_Push" + (at 0 -1.524 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_Push_0_1" + (circle + (center -2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 1.27) (xy 0 3.048) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 1.27) (xy -2.54 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 0) + (radius 0.508) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 0 180) + (length 2.54) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_SPDT" + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SW_SPDT" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 -7.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "switch single-pole double-throw spdt ON-ON" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_SPDT_0_1" + (circle + (center -2.032 0) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.651 0.254) (xy 1.651 2.286) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 -2.54) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 2.54) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "SW_SPDT_1_1" + (rectangle + (start -3.175 3.81) + (end 3.175 -3.81) + (stroke + (width 0) + (type default) + ) + (fill + (type background) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 2.54) + (name "B" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 2.54) + (name "C" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:+3V3" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:PWR_FLAG" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#FLG" + (at 0 1.905 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "PWR_FLAG" + (at 0 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Special symbol for telling ERC where power comes from" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "flag power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "PWR_FLAG_0_0" + (pin power_out line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "PWR_FLAG_0_1" + (polyline + (pts + (xy 0 0) (xy 0 1.27) (xy -1.016 1.905) (xy 0 2.54) (xy 1.016 1.905) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + ) + (symbol "power:VBUS" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VBUS_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VBUS_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VCC" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VCC" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VCC_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VCC_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VDD" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VDD_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VDD_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 219.71 40.64) + (diameter 0) + (color 0 0 0 0) + (uuid "0129ae96-702e-4162-8391-28813fc13b42") + ) + (junction + (at 204.47 91.44) + (diameter 0) + (color 0 0 0 0) + (uuid "11e46c1e-a655-401b-97a6-fe7c83d604d0") + ) + (junction + (at 92.71 27.94) + (diameter 0) + (color 0 0 0 0) + (uuid "19057670-2b2b-4c0d-aa17-34c45336aa49") + ) + (junction + (at 157.48 49.53) + (diameter 0) + (color 0 0 0 0) + (uuid "1f8f32fc-88af-4619-8763-5151d093e316") + ) + (junction + (at 139.7 189.23) + (diameter 0) + (color 0 0 0 0) + (uuid "3764e1ec-778b-4d35-92fa-91d46e9f6265") + ) + (junction + (at 234.95 48.26) + (diameter 0) + (color 0 0 0 0) + (uuid "44a1dfc9-ac81-476b-88ff-1ab4a6543454") + ) + (junction + (at 115.57 142.24) + (diameter 0) + (color 0 0 0 0) + (uuid "4daf7cca-7fc6-4291-8064-579f71a4a9fe") + ) + (junction + (at 237.49 91.44) + (diameter 0) + (color 0 0 0 0) + (uuid "524094ae-4ca1-4bbb-9ce9-d50c3f4ba606") + ) + (junction + (at 101.6 27.94) + (diameter 0) + (color 0 0 0 0) + (uuid "570fe5d6-b671-4289-80b9-48fd9ac52267") + ) + (junction + (at 146.05 62.23) + (diameter 0) + (color 0 0 0 0) + (uuid "5f829c67-4b90-4cbe-862e-31c58548d9e5") + ) + (junction + (at 48.26 41.91) + (diameter 0) + (color 0 0 0 0) + (uuid "75b0ba97-aecb-4889-93b2-4a8983bce32d") + ) + (junction + (at 143.51 147.32) + (diameter 0) + (color 0 0 0 0) + (uuid "76cdd119-e315-4b12-90b9-36215f25716b") + ) + (junction + (at 162.56 52.07) + (diameter 0) + (color 0 0 0 0) + (uuid "79f2d773-5aa7-4a68-8f0b-4de8ef370da0") + ) + (junction + (at 226.06 147.32) + (diameter 0) + (color 0 0 0 0) + (uuid "81ead61a-2563-45b2-92e5-320397c9b4d8") + ) + (junction + (at 74.93 190.5) + (diameter 0) + (color 0 0 0 0) + (uuid "9363d426-2a2d-4898-9bb4-7c4038c257a6") + ) + (junction + (at 203.2 140.97) + (diameter 0) + (color 0 0 0 0) + (uuid "9c7b289f-fdf2-4e03-8759-bc24ab3bc39e") + ) + (junction + (at 86.36 33.02) + (diameter 0) + (color 0 0 0 0) + (uuid "9ed839b5-4e47-4f92-a9c0-634075b50615") + ) + (junction + (at 223.52 104.14) + (diameter 0) + (color 0 0 0 0) + (uuid "bc799a4f-9791-49aa-aec5-ca3644013314") + ) + (junction + (at 92.71 185.42) + (diameter 0) + (color 0 0 0 0) + (uuid "da5a4fc5-e82b-4286-83f0-6985e475d93b") + ) + (junction + (at 227.33 45.72) + (diameter 0) + (color 0 0 0 0) + (uuid "ec36c749-7825-4b2b-8c3d-16e349bfae13") + ) + (junction + (at 160.02 59.69) + (diameter 0) + (color 0 0 0 0) + (uuid "ee593479-1204-4b3c-94b0-40fabfe5dfe9") + ) + (junction + (at 205.74 57.15) + (diameter 0) + (color 0 0 0 0) + (uuid "f574572c-6c6c-43e6-a230-7eebb098785a") + ) + (junction + (at 80.01 121.92) + (diameter 0) + (color 0 0 0 0) + (uuid "f63db105-1c2c-4893-8719-1ec2c615b0dc") + ) + (junction + (at 29.21 185.42) + (diameter 0) + (color 0 0 0 0) + (uuid "ff5e84b0-d14e-416e-a83f-7fc0e56fcb35") + ) + (no_connect + (at 129.54 77.47) + (uuid "079fc5f7-ffe4-4052-bc05-44140e7236a6") + ) + (no_connect + (at 129.54 44.45) + (uuid "6fefad54-04d5-4830-9c7e-62cdcf7a6938") + ) + (no_connect + (at 129.54 41.91) + (uuid "8ba63620-68d6-49e1-9ecb-6cdd7991c55c") + ) + (no_connect + (at 129.54 59.69) + (uuid "973a3ffd-8c7a-4680-8ab4-6fd733d3f90e") + ) + (no_connect + (at 129.54 62.23) + (uuid "ce9be493-09da-4541-a137-54f13ea9c5be") + ) + (no_connect + (at 214.63 50.8) + (uuid "f45abbda-6bdd-403c-8264-fa2957a2aae0") + ) + (wire + (pts + (xy 80.01 152.4) (xy 80.01 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "013e4ef5-6aa9-4306-bca9-db8def4160bf") + ) + (wire + (pts + (xy 74.93 185.42) (xy 74.93 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02074985-deb2-4ff4-b13d-3887ae3911f5") + ) + (wire + (pts + (xy 157.48 49.53) (xy 166.37 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0239c91d-4c68-44d4-8b3b-23798ea6c69e") + ) + (wire + (pts + (xy 100.33 185.42) (xy 102.87 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0249883c-5b45-4514-9a44-06e5314c1463") + ) + (wire + (pts + (xy 173.99 161.29) (xy 170.18 161.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02a9c814-eef2-4f3e-bd5c-fdfb5b37428a") + ) + (wire + (pts + (xy 204.47 57.15) (xy 204.47 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "047a477b-4e2f-42d7-b634-ed8917046adc") + ) + (wire + (pts + (xy 80.01 161.29) (xy 80.01 162.56) + ) + (stroke + (width 0) + (type default) + ) + (uuid "04d4ae64-96be-45f8-bcb4-0815cc8f6373") + ) + (wire + (pts + (xy 214.63 48.26) (xy 234.95 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "04e2d882-1697-4915-b31c-d83e633eddbc") + ) + (wire + (pts + (xy 129.54 69.85) (xy 147.32 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "051d18e8-6dcd-41bf-a294-30b741ac0938") + ) + (wire + (pts + (xy 219.71 40.64) (xy 236.22 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "05d92901-928a-44c8-8fb6-3344e3cdaeb7") + ) + (wire + (pts + (xy 81.28 190.5) (xy 74.93 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "068681aa-d2c3-4b7e-8cf3-4f40705208e3") + ) + (wire + (pts + (xy 143.51 157.48) (xy 143.51 154.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "08504560-f57b-43d3-9808-31b31a27f60f") + ) + (wire + (pts + (xy 129.54 64.77) (xy 133.35 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "098ede25-2736-4757-8987-efa1ff9ec29c") + ) + (wire + (pts + (xy 143.51 52.07) (xy 162.56 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0af9ea3a-f42e-4e25-bbae-eb8c2dcf62bb") + ) + (wire + (pts + (xy 254 147.32) (xy 254 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0bfc9a28-695f-4a77-b181-c5127a45f779") + ) + (wire + (pts + (xy 190.5 152.4) (xy 193.04 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0e3248a4-5b86-4567-b44b-4ff0cc1891ee") + ) + (wire + (pts + (xy 92.71 190.5) (xy 86.36 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1050758e-467d-44b8-a590-b622c9dfda23") + ) + (wire + (pts + (xy 237.49 91.44) (xy 237.49 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "112b0c87-13b6-4563-a60c-030da2d67e39") + ) + (wire + (pts + (xy 43.18 191.77) (xy 43.18 194.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "113b6983-c51b-45dc-8562-68bda7e739a8") + ) + (wire + (pts + (xy 234.95 48.26) (xy 236.22 48.26) + ) + (stroke + (width 0) + (type default) + ) + (uuid "18fe04fb-a855-4f38-b4cd-dd521cd211f4") + ) + (wire + (pts + (xy 146.05 62.23) (xy 147.32 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1b219b10-bf3f-4863-92bf-482074e4a6ff") + ) + (wire + (pts + (xy 129.54 52.07) (xy 138.43 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c5c28ec-0610-48f2-bc65-15b7ca3ff691") + ) + (wire + (pts + (xy 234.95 48.26) (xy 234.95 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1cb2d625-0bf0-43e5-a52f-0765228e00e5") + ) + (wire + (pts + (xy 203.2 140.97) (xy 203.2 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1dfaecf0-bd4e-4728-9a99-d48e401f0355") + ) + (wire + (pts + (xy 139.7 187.96) (xy 139.7 189.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1e3ad1b2-b723-40b7-ad7f-104ba01bd918") + ) + (wire + (pts + (xy 101.6 82.55) (xy 101.6 86.36) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1ef3929f-b44c-4501-b6f4-5b73f43eba1b") + ) + (wire + (pts + (xy 144.78 62.23) (xy 146.05 62.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1fd47d1b-a190-41af-b15e-4daf8bb6bfdd") + ) + (wire + (pts + (xy 101.6 27.94) (xy 101.6 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1fe3a960-c417-42dd-9204-10ed85f3349c") + ) + (wire + (pts + (xy 92.71 185.42) (xy 92.71 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "24f497ec-0911-4a72-9234-d68d5a46d67f") + ) + (wire + (pts + (xy 147.32 67.31) (xy 147.32 69.85) + ) + (stroke + (width 0) + (type default) + ) + (uuid "25adaccd-a98f-4a8c-b443-de8dbb9d669e") + ) + (wire + (pts + (xy 74.93 190.5) (xy 74.93 191.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "27428cb8-65a2-47d7-bd5e-e282532dffcf") + ) + (wire + (pts + (xy 237.49 104.14) (xy 237.49 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a02d663-3b6d-4fd0-acd6-1ad4690cd1ec") + ) + (wire + (pts + (xy 55.88 191.77) (xy 58.42 191.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b38f435-5039-4bf9-9ea7-306ecd8dfc81") + ) + (wire + (pts + (xy 45.72 118.11) (xy 45.72 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3204e0b1-1ea9-407c-9d4a-461d3915cfd0") + ) + (wire + (pts + (xy 100.33 152.4) (xy 104.14 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3a4334c0-dde7-4358-bec2-caaa886b401f") + ) + (wire + (pts + (xy 207.01 57.15) (xy 207.01 55.88) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3aed4004-91f1-45ad-849f-5ceabb05bdd6") + ) + (wire + (pts + (xy 30.48 151.13) (xy 30.48 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3d313404-bf45-4291-9a85-e33689b73a64") + ) + (wire + (pts + (xy 33.02 191.77) (xy 33.02 194.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3de95132-bea9-44e1-8530-fb99d0117aaf") + ) + (wire + (pts + (xy 214.63 45.72) (xy 227.33 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3eb030e5-e66d-441c-b408-8fd125818bb9") + ) + (wire + (pts + (xy 160.02 59.69) (xy 162.56 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3f4eeca8-d7c2-4744-85b4-1fc16afb1312") + ) + (wire + (pts + (xy 223.52 104.14) (xy 237.49 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "40c3f06c-95b6-4b47-8de1-5443186f6e4d") + ) + (wire + (pts + (xy 157.48 49.53) (xy 157.48 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "42cf84ea-2f3f-48c6-bf86-5c6c6e571b4c") + ) + (wire + (pts + (xy 223.52 99.06) (xy 223.52 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "45557e90-8ab4-42bb-b3e5-eb2756c9b73a") + ) + (wire + (pts + (xy 129.54 57.15) (xy 133.35 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4741c01d-6b6d-432f-952f-84c2765db02d") + ) + (wire + (pts + (xy 25.4 191.77) (xy 25.4 194.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "481574e1-cb27-4571-a0c2-1b1a7a5d5e9c") + ) + (wire + (pts + (xy 143.51 147.32) (xy 143.51 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "49a1f69a-a55e-4fcd-9339-cfa2e04088ad") + ) + (wire + (pts + (xy 115.57 139.7) (xy 115.57 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4e851612-62da-454f-87e4-1e18a95ebe8c") + ) + (wire + (pts + (xy 226.06 147.32) (xy 233.68 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4ea0ffc2-7fe1-4534-b9c2-d62f8c3e4822") + ) + (wire + (pts + (xy 143.51 147.32) (xy 143.51 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5050364e-9736-45c8-b4a7-75cf33cb22ec") + ) + (wire + (pts + (xy 119.38 142.24) (xy 119.38 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "50c63023-0d93-4be4-8c78-36a1dd61a5a2") + ) + (wire + (pts + (xy 205.74 57.15) (xy 207.01 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "567941b2-767b-4f58-86a4-1aa717a9caba") + ) + (wire + (pts + (xy 139.7 189.23) (xy 139.7 190.5) + ) + (stroke + (width 0) + (type default) + ) + (uuid "56f51b95-7714-4955-941f-5257a9c33a6e") + ) + (wire + (pts + (xy 35.56 127) (xy 39.37 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "59666ced-656a-4340-a936-f568bee6573e") + ) + (wire + (pts + (xy 80.01 144.78) (xy 80.01 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5a09b903-9588-40f9-bc52-65c7570e7f8e") + ) + (wire + (pts + (xy 129.54 49.53) (xy 138.43 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5fb350a3-485d-4c0c-918a-621132d61385") + ) + (wire + (pts + (xy 204.47 88.9) (xy 204.47 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "60324422-64f1-4b84-947f-85203f4dd63b") + ) + (wire + (pts + (xy 114.3 154.94) (xy 120.65 154.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6089e23c-644c-4703-a686-c8b1b57babd3") + ) + (wire + (pts + (xy 63.5 161.29) (xy 63.5 162.56) + ) + (stroke + (width 0) + (type default) + ) + (uuid "639792f7-08f7-4827-b738-6bcb78d57248") + ) + (wire + (pts + (xy 205.74 57.15) (xy 204.47 57.15) + ) + (stroke + (width 0) + (type default) + ) + (uuid "65879c14-8824-4ff1-8349-d1c84f9bd4d8") + ) + (wire + (pts + (xy 43.18 191.77) (xy 45.72 191.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "67e9e8cc-87e6-4137-8725-e0027fb62a7e") + ) + (wire + (pts + (xy 204.47 104.14) (xy 223.52 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "69a90102-9970-462e-a952-c411a24a6faf") + ) + (wire + (pts + (xy 119.38 147.32) (xy 120.65 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6c2e393a-c017-492c-b59d-f680074be146") + ) + (wire + (pts + (xy 115.57 142.24) (xy 119.38 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "74169eb9-89ed-4715-b7eb-7f62d0c557b4") + ) + (wire + (pts + (xy 227.33 50.8) (xy 227.33 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "760e0fca-0bc2-4955-9bcc-a929cc6e77c9") + ) + (wire + (pts + (xy 204.47 95.25) (xy 204.47 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "76c7d446-2bef-4827-a440-b846d2e18d40") + ) + (wire + (pts + (xy 86.36 27.94) (xy 92.71 27.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7a14edf5-d236-4b31-9475-a1e4e6a742f9") + ) + (wire + (pts + (xy 30.48 160.02) (xy 30.48 161.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7a155532-073f-4ccd-bb36-83ac75aa9f47") + ) + (wire + (pts + (xy 71.12 52.07) (xy 73.66 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "826c3092-1881-4fe6-8883-8ee15ca2bfd7") + ) + (wire + (pts + (xy 217.17 161.29) (xy 217.17 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "83e3fafb-3291-42bf-a4c6-571b7ae960f0") + ) + (wire + (pts + (xy 88.9 185.42) (xy 92.71 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "83eab9d7-26ce-4d17-b880-0d87ede1c20f") + ) + (wire + (pts + (xy 100.33 147.32) (xy 100.33 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "84283719-4184-450b-bf77-ab4ae71cba9e") + ) + (wire + (pts + (xy 71.12 49.53) (xy 73.66 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "85d3737b-e832-46da-880e-b05a853c867f") + ) + (wire + (pts + (xy 227.33 45.72) (xy 236.22 45.72) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8b4504dd-0f7d-4d0a-be5c-ba9396ddb8ed") + ) + (wire + (pts + (xy 204.47 100.33) (xy 204.47 104.14) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8c832729-ab78-46ee-a52c-d7d37432cd14") + ) + (wire + (pts + (xy 66.04 120.65) (xy 66.04 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8f7cbc45-8c64-4a5f-89c3-1bcaeaa8118a") + ) + (wire + (pts + (xy 200.66 140.97) (xy 203.2 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "953e47e0-8e2a-4439-8114-9a090a9f8867") + ) + (wire + (pts + (xy 77.47 121.92) (xy 80.01 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "96f26d21-596d-47b2-bdfb-3db0b0d36140") + ) + (wire + (pts + (xy 191.77 142.24) (xy 191.77 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9860466c-e6c8-4084-bc1c-e5ad8adeef87") + ) + (wire + (pts + (xy 255.27 40.64) (xy 255.27 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "98bbcc83-0717-4652-8789-31d9d152e7b7") + ) + (wire + (pts + (xy 48.26 41.91) (xy 48.26 43.18) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9a3cf6f5-245a-45a3-b195-c084d5893ed1") + ) + (wire + (pts + (xy 203.2 139.7) (xy 203.2 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9cdff1a2-a94f-4cf3-b5ea-e3c594682011") + ) + (wire + (pts + (xy 226.06 154.94) (xy 226.06 161.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9d6e875e-1361-4ce8-85aa-3089b0a60c9e") + ) + (wire + (pts + (xy 129.54 72.39) (xy 133.35 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9eef3d03-b3d6-4345-b07c-45df8fb9e5b8") + ) + (wire + (pts + (xy 213.36 147.32) (xy 226.06 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a097f7fa-40f4-4e10-a5bb-142817379250") + ) + (wire + (pts + (xy 92.71 27.94) (xy 101.6 27.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a0b39c8f-82e9-47b7-a137-fb78d3202a75") + ) + (wire + (pts + (xy 29.21 185.42) (xy 25.4 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a1383921-d7f0-4411-8b4b-a37d9737a9fe") + ) + (wire + (pts + (xy 170.18 147.32) (xy 170.18 149.86) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a1ec2376-9a63-4668-aa15-8a417009744c") + ) + (wire + (pts + (xy 63.5 152.4) (xy 63.5 153.67) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a2c61534-9a61-4d24-909e-f0abdb2f5219") + ) + (wire + (pts + (xy 157.48 59.69) (xy 160.02 59.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a395c9fd-57c0-49d2-b3a6-ea4e004eaf2c") + ) + (wire + (pts + (xy 35.56 119.38) (xy 45.72 119.38) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a51ff0e5-4469-4e29-8782-31e8223db8da") + ) + (wire + (pts + (xy 80.01 121.92) (xy 86.36 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8a5ac85-113c-40ac-8382-b2dcf821a822") + ) + (wire + (pts + (xy 237.49 88.9) (xy 237.49 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a8ef8443-f75f-474a-b276-0add6d2cb72b") + ) + (wire + (pts + (xy 63.5 144.78) (xy 63.5 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ab60ab69-b345-49e8-a5aa-c51e92d3f85a") + ) + (wire + (pts + (xy 78.74 185.42) (xy 74.93 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b019b1df-c29a-4ce9-8dc5-b98f5f67f946") + ) + (wire + (pts + (xy 204.47 91.44) (xy 215.9 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b4a264ff-8266-4a0a-b08e-8834ca5db6ff") + ) + (wire + (pts + (xy 29.21 182.88) (xy 29.21 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b5a34b8d-762d-495c-879d-deeab6ea816f") + ) + (wire + (pts + (xy 143.51 147.32) (xy 140.97 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b5e43e68-1bda-439f-8221-2213aa2abbf8") + ) + (wire + (pts + (xy 214.63 40.64) (xy 219.71 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b636c08b-9356-4eb2-8308-5d2cab6d3513") + ) + (wire + (pts + (xy 92.71 185.42) (xy 95.25 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c5f640ae-ffd9-4742-896d-3cae6b205f53") + ) + (wire + (pts + (xy 129.54 67.31) (xy 144.78 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c6a0282c-1140-47d9-8211-94fd28582e14") + ) + (wire + (pts + (xy 143.51 49.53) (xy 157.48 49.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c9988563-3541-4970-a6ff-cbe3e0f46ec4") + ) + (wire + (pts + (xy 29.21 185.42) (xy 33.02 185.42) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c9c8047e-cc48-4207-98be-3cbba0504eb0") + ) + (wire + (pts + (xy 243.84 40.64) (xy 255.27 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cbc4ad82-7f92-4a29-bd44-2630dc7e4a0c") + ) + (wire + (pts + (xy 139.7 189.23) (xy 142.24 189.23) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ce1030e4-0752-4324-9e2a-1825537798cb") + ) + (wire + (pts + (xy 48.26 40.64) (xy 48.26 41.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ce2d129f-fd9c-4bbc-85b0-1d4c6ee5f374") + ) + (wire + (pts + (xy 35.56 121.92) (xy 45.72 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d00fec4f-5c66-4317-a5cf-e05b1e1ddfe9") + ) + (wire + (pts + (xy 219.71 40.64) (xy 219.71 50.8) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d17005c8-26e5-49d0-a1f2-8db643f83908") + ) + (wire + (pts + (xy 179.07 161.29) (xy 217.17 161.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d1e27a45-c4b4-4932-a548-b5c79d73fc68") + ) + (wire + (pts + (xy 25.4 185.42) (xy 25.4 186.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d264cc0c-9a36-49a2-a90e-3d8f26eff301") + ) + (wire + (pts + (xy 226.06 149.86) (xy 226.06 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d2b40108-5c33-4a55-9234-beca9f3d9502") + ) + (wire + (pts + (xy 162.56 52.07) (xy 162.56 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d39fb4ac-d915-41a7-85e5-3f1f7135949d") + ) + (wire + (pts + (xy 143.51 147.32) (xy 151.13 147.32) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d5910a85-1f31-47e6-8ef6-a433f18b6e9e") + ) + (wire + (pts + (xy 254 158.75) (xy 254 161.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d7340388-e7dd-4ff3-8fc1-eb692dbce691") + ) + (wire + (pts + (xy 213.36 152.4) (xy 217.17 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d750099e-cc83-4a9c-86c6-211451898a85") + ) + (wire + (pts + (xy 100.33 157.48) (xy 100.33 160.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8bd8947-1008-413e-848c-22e8787ac1a9") + ) + (wire + (pts + (xy 66.04 121.92) (xy 67.31 121.92) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc6d25a5-3468-4329-a013-76e32d57fae7") + ) + (wire + (pts + (xy 231.14 91.44) (xy 237.49 91.44) + ) + (stroke + (width 0) + (type default) + ) + (uuid "df794d08-201d-4dee-a836-4e804562a9dc") + ) + (wire + (pts + (xy 104.14 157.48) (xy 100.33 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e36c70fb-6fb1-456a-9e41-6d089b6955f9") + ) + (wire + (pts + (xy 191.77 140.97) (xy 195.58 140.97) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e78a288c-3128-4466-a6c6-f4b0de5292a7") + ) + (wire + (pts + (xy 170.18 161.29) (xy 170.18 157.48) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eb8d2516-8db8-488d-b1b6-0129ce17745b") + ) + (wire + (pts + (xy 219.71 35.56) (xy 219.71 40.64) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eb925dca-63ff-4c54-862a-cdf8a25383f6") + ) + (wire + (pts + (xy 162.56 52.07) (xy 166.37 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f07a8fa5-b7a5-416b-8828-e439a7b05663") + ) + (wire + (pts + (xy 45.72 121.92) (xy 45.72 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f1686b7d-2d92-43cd-8a80-4cac4e6e79f8") + ) + (wire + (pts + (xy 33.02 185.42) (xy 33.02 186.69) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f2024e24-342d-4a9f-93c4-8e025a3dac10") + ) + (wire + (pts + (xy 181.61 153.67) (xy 181.61 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f3cc72a3-5f54-4db1-bfd9-75e8de3a653e") + ) + (wire + (pts + (xy 35.56 124.46) (xy 39.37 124.46) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f73acdca-778c-43d6-81ec-0bfb0504e4de") + ) + (wire + (pts + (xy 129.54 74.93) (xy 133.35 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f8e54a59-d2fb-4fc8-8dfc-8f9170680096") + ) + (wire + (pts + (xy 101.6 25.4) (xy 101.6 27.94) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fad191c9-2684-4b2f-84c5-499b472213bf") + ) + (wire + (pts + (xy 86.36 33.02) (xy 92.71 33.02) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fce122b6-a062-4973-8acc-bad11a2d10ca") + ) + (wire + (pts + (xy 181.61 152.4) (xy 185.42 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fd5a757e-d384-4f5f-9f13-bbafb2d86b8d") + ) + (wire + (pts + (xy 48.26 41.91) (xy 73.66 41.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fda67f25-5d27-48de-9ea3-57fc948267ad") + ) + (wire + (pts + (xy 151.13 147.32) (xy 151.13 144.78) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fdd25ea2-d18e-4168-945d-04ba8c3ae54c") + ) + (wire + (pts + (xy 30.48 143.51) (xy 30.48 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fee57670-004c-46cc-bef0-d98528cb4fe3") + ) + (wire + (pts + (xy 120.65 154.94) (xy 120.65 152.4) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ff94ce7e-31d8-4e02-9003-4a1590366106") + ) + (text_box "Heatbeat LED" + (exclude_from_sim no) + (at 13.97 134.62 0) + (size 38.1 35.56) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "0ca4fe9e-34ec-4b12-b249-868d82525348") + ) + (text_box "Boot control" + (exclude_from_sim no) + (at 13.97 171.45 0) + (size 52.07 30.48) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "38543d0f-a2d9-49c6-829d-6985a36e5079") + ) + (text_box "\"Start\" user input" + (exclude_from_sim no) + (at 53.34 111.76 0) + (size 41.91 21.59) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "4fb73361-9cca-4671-9829-c7af07194627") + ) + (text_box "Power indicators" + (exclude_from_sim no) + (at 53.34 134.62 0) + (size 41.91 35.56) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "5b5424de-29b6-42e3-822a-4453e1f6f6e2") + ) + (text_box "Reset" + (exclude_from_sim no) + (at 67.31 171.45 0) + (size 40.64 30.48) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "64dcfe84-8c9c-498b-b7f2-574599c07a42") + ) + (text_box "USB connector" + (exclude_from_sim no) + (at 200.66 25.4 0) + (size 64.77 43.18) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (bold yes) + ) + (justify left top) + ) + (uuid "90700df8-6d1d-4800-af3f-2068dd0ce0ca") + ) + (text_box "i2c LCD connector" + (exclude_from_sim no) + (at 13.97 111.76 0) + (size 38.1 21.59) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (bold yes) + ) + (justify left top) + ) + (uuid "94599115-e04e-442a-97d0-002c78774d01") + ) + (text_box "Phototransistor" + (exclude_from_sim no) + (at 109.22 171.45 0) + (size 48.26 30.48) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "c434e82a-af15-46fe-aaa3-7ba378f421b4") + ) + (text_box "LIPO charger" + (exclude_from_sim no) + (at 158.75 111.76 0) + (size 106.68 58.42) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "c78240e3-a02c-49ed-b690-669af4201116") + ) + (text_box "3V3 LDO regulator" + (exclude_from_sim no) + (at 200.66 69.85 0) + (size 64.77 40.64) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (bold yes) + ) + (justify left top) + ) + (uuid "d4df300e-5550-420b-9fcb-0b072bed5d69") + ) + (text_box "Power supply selection" + (exclude_from_sim no) + (at 96.52 111.76 0) + (size 60.96 58.42) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (bold yes) + ) + (justify left top) + ) + (uuid "fd42eed2-71b3-4ca7-9672-b455913104f1") + ) + (text "Short pins 1 and 2 to put\nESP32 into download mode." + (exclude_from_sim no) + (at 51.562 175.006 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "0ffff854-64d5-4863-a427-805311d744e0") + ) + (text "Dropout voltage:\n200 mV typ. @ 1.5 A\n40 mV typ. @ 300 mA" + (exclude_from_sim no) + (at 239.014 106.426 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1e06f57a-ccd3-44b9-99f9-36863dee4f6f") + ) + (text "when usb is plugged in:\n1. charge battery\n2. power MCU from USB\n\nwhen usb is unplugged and switch is on:\n1. power MCU from battery " + (exclude_from_sim no) + (at 160.02 123.698 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "2c6ba714-39c7-4c64-93b3-fd09e8815935") + ) + (text "VDD from USB is\n~4.8V through 4.7V" + (exclude_from_sim no) + (at 264.16 43.942 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "3acd8aa3-b5cf-401a-b0fa-158516c1bfdb") + ) + (text "- allows programming the ESP32 via JTAG\n- provides power when plugged in" + (exclude_from_sim no) + (at 201.676 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "45bf0d77-1722-40cf-a8ca-c38d421bca3a") + ) + (text "Based off of Adafruit QT Py Lipo BFF" + (exclude_from_sim no) + (at 204.724 114.046 0) + (effects + (font + (size 1.27 1.27) + ) + (href "https://learn.adafruit.com/adafruit-qt-py-charger-bff") + ) + (uuid "463bd6b3-1704-4873-bcf2-c1d18882dc3b") + ) + (text "Diode prevents battery power\nfrom flowing into USB port" + (exclude_from_sim no) + (at 246.888 28.448 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "46659344-9393-444c-8537-741e4fcc1061") + ) + (text "0 Ohm resistors and cap footprints\nper ESP32 recommendation.\n" + (exclude_from_sim no) + (at 159.512 44.196 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "4b5606de-0b8f-437f-ba12-1d0ea59e31a0") + ) + (text "TODO:\n- preliminary power draw analysis\n" + (exclude_from_sim no) + (at 21.336 83.566 0) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left) + ) + (uuid "4d73eae5-0469-4bb2-aa4d-bd77d768f959") + ) + (text "Power from USB:\n1. EN <- GND\n2. EN <- VBAT and USB is plugged in\n\nPower from battery:\n1. EN <- VBAT and USB is not plugged in" + (exclude_from_sim no) + (at 98.044 122.682 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "4fc5a23a-d15a-4c8f-9f6f-9af45191d64e") + ) + (text "no-load on\nreporter PCB" + (exclude_from_sim no) + (at 21.844 129.794 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + ) + (uuid "52df54f4-d213-40e3-ab90-1526f8fcaf4b") + ) + (text "Output will be high when \nthe laser is hitting the\nphototransistor, and low \nwhen the laser is blocked." + (exclude_from_sim no) + (at 109.982 196.342 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "581c357d-6dd1-42a3-bf28-0b430bc62dd5") + ) + (text "Pressing this button\nsignals the MCU to\nwait for the car." + (exclude_from_sim no) + (at 74.93 115.57 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "58c8a6f2-d2a0-4eaa-ba3e-7f266336b6d5") + ) + (text "See page 6 of datasheet\nfor R_L calculation. \n10k may not be\nthe best value,\nbut it should work." + (exclude_from_sim no) + (at 110.236 180.594 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (href "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/885/ALS-PT204-6C%2CL177.pdf") + ) + (uuid "5dbb629c-5307-431c-96d3-df90336dc109") + ) + (text "See the boot mode control\ntable for more information." + (exclude_from_sim no) + (at 51.308 180.086 0) + (effects + (font + (size 1.27 1.27) + ) + (href "https://docs.espressif.com/projects/esp-hardware-design-guidelines/en/latest/esp32c3/schematic-checklist.html#tab-chip-boot-mode-control") + ) + (uuid "7ad1608e-05a7-4499-85c5-374778b7dbab") + ) + (text "MAX4020 ideal diode prevents VBUS from connecting to VBAT" + (exclude_from_sim no) + (at 127 167.894 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "9bba0a07-34d7-491d-875f-c1c14ffcc12f") + ) + (text "Taken from EPS32-C3 datasheet" + (exclude_from_sim no) + (at 74.93 173.482 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + (href "https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf") + ) + (uuid "a4e56230-b9a0-4428-bab6-701e3d6ae98d") + ) + (text "USB power: VDD = VBUS - Schottky forward voltage \nbattery power: VDD = VBAT - small ideal diode drop" + (exclude_from_sim no) + (at 201.93 77.724 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "bb13e1a6-a1f6-4d20-b0ae-5c4d84a99c6d") + ) + (text "LED biasing:\nAssume Vf = 2V, design for ~7 mA.\n" + (exclude_from_sim no) + (at 161.036 106.68 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "befe21ea-3fba-46bb-b473-6284dc77b5da") + ) + (text "Charge current settings:\n\nI_CHARGE = 1000 V / RPROG \n\nRPROG = 2k -> 500 mA\nRPROG = 5k -> 200 mA\nRPROG = 10k -> 100 mA\nRPROG = 20k -> 50 mA" + (exclude_from_sim no) + (at 228.092 122.428 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "d6864b9f-7733-449f-bbc3-a5a4540f3eb7") + ) + (text "RC enable delay,\nper ESP 32 datasheet\nrecommendation" + (exclude_from_sim no) + (at 35.052 41.91 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "de2b08c5-981e-487b-b5a3-2a6399cfa354") + ) + (text "Cap values came from\nESP32-C3-DEVKITC-02 schematic." + (exclude_from_sim no) + (at 181.61 62.738 0) + (effects + (font + (size 1.27 1.27) + ) + (href "https://dl.espressif.com/dl/schematics/SCH_ESP32-C3-DEVKITC-02_V1_1_20210126A.pdf") + ) + (uuid "e41b2111-2091-4b62-b029-7da77317f1cb") + ) + (text "<-- ESD protection diodes" + (exclude_from_sim no) + (at 237.236 55.118 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "eec34ebe-26cf-407d-b717-19f1717f8c03") + ) + (text "no-load on\nreporter PCB" + (exclude_from_sim no) + (at 60.452 129.794 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + ) + (uuid "f934c59f-2683-429d-ac95-e90b7a2f6d94") + ) + (label "IO2" + (at 33.02 194.31 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right top) + ) + (uuid "0fb98d49-560c-4e76-af9b-00eee6e5af9c") + ) + (label "HEARTBEAT" + (at 30.48 143.51 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "19a21431-5b37-48d2-8690-bd39bb68ea66") + ) + (label "SDA" + (at 39.37 127 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "1f482028-5021-4835-8244-ee0de4be8ba7") + ) + (label "USB_D-" + (at 236.22 48.26 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "2369f34c-ef3a-4fe9-80b2-4506d2008074") + ) + (label "EN" + (at 102.87 185.42 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "3a814a02-5614-49fe-9848-7b7d12aa783f") + ) + (label "SCL" + (at 133.35 67.31 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "5a0d4421-9a23-4eb6-9571-ae6ff5d54b8f") + ) + (label "START" + (at 133.35 64.77 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6108d50a-88ee-4911-bc71-2363c8b0b8b0") + ) + (label "USB_D+" + (at 166.37 52.07 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "6518ade3-fe12-4f55-ae3d-e98da0d05c3e") + ) + (label "USB_D-" + (at 166.37 49.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "65335029-ab7c-4a62-9b78-920b8e382716") + ) + (label "USB_D+" + (at 236.22 45.72 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "6687277a-6950-4506-8f03-6400de387e92") + ) + (label "SDA" + (at 133.35 69.85 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "740e106f-b732-4abf-a598-f897ec0865df") + ) + (label "HEARTBEAT" + (at 71.12 52.07 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "7a26978d-a424-4173-a187-c675dd91de7a") + ) + (label "IO9" + (at 133.35 74.93 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "7b6096f8-3f17-4769-9716-d41ce19291f5") + ) + (label "IO2" + (at 133.35 57.15 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "8902e9c3-bcf0-4fe5-b096-fe217e3fe77b") + ) + (label "START" + (at 86.36 121.92 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "89a55923-0417-4dec-856b-1df7f4eba4d8") + ) + (label "IO9" + (at 58.42 191.77 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "a5a63435-f5a3-4c19-a69b-d07fa2ec5172") + ) + (label "~{LASER_TRIPPED}" + (at 71.12 49.53 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "b94fffa5-0786-4e29-b773-2445b96801a4") + ) + (label "~{LASER_TRIPPED}" + (at 142.24 189.23 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "bc30eb87-860f-4e5e-aa7a-22831a0baaa0") + ) + (label "IO8" + (at 25.4 194.31 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right top) + ) + (uuid "bc4176d9-18c3-4efb-a3f4-082e12eca0d5") + ) + (label "EN" + (at 68.58 41.91 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "d082efd1-291e-4aef-9974-d15b26da6940") + ) + (label "D-" + (at 133.35 52.07 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "da854891-2cce-401e-b98f-a365e8221c0f") + ) + (label "D+" + (at 133.35 49.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "db85bde9-49bd-461c-9783-0bdecc2d09aa") + ) + (label "SCL" + (at 39.37 124.46 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "e0278f11-4c05-4790-a4b5-6a1c29a80e44") + ) + (label "IO8" + (at 133.35 72.39 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "e871d120-29da-42a1-ae18-f5b201cf1a8f") + ) + (netclass_flag "" + (length 2.54) + (shape round) + (at 130.81 52.07 180) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify right bottom) + ) + (uuid "2c683695-bd13-43fa-a8ba-c8a772a06278") + (property "Netclass" "USB Data" + (at 131.5085 54.61 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + ) + ) + ) + (netclass_flag "" + (length 2.54) + (shape round) + (at 130.81 49.53 0) + (fields_autoplaced yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left bottom) + ) + (uuid "736282f5-154d-4bb2-8133-7339d4f8bb3a") + (property "Netclass" "USB Data" + (at 131.5085 46.99 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 80.01 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "020a7687-bc2a-4932-a966-998d426f68a7") + (property "Reference" "#PWR013" + (at 80.01 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 80.01 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 80.01 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 80.01 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 80.01 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "cc9a466f-f014-46c4-b7b7-d0b5250465c9") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR013") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 160.02 59.69 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0787d719-4440-4d27-9e5f-62613268d67f") + (property "Reference" "#PWR028" + (at 160.02 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 160.02 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 160.02 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 160.02 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 160.02 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e4a6f847-5f6b-44ff-895d-3b17644619e0") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR028") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 205.74 57.15 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "08f0d067-f954-4741-827b-040c770e6100") + (property "Reference" "#PWR035" + (at 205.74 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 205.74 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 205.74 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 205.74 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 205.74 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "125420f9-409f-4456-8967-acdef94aee75") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR035") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 143.51 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0ae9bcb2-e3d9-4fd3-896d-b7fd08c49fbe") + (property "Reference" "#PWR026" + (at 143.51 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 143.51 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 143.51 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 143.51 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 143.51 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ab115c23-dea9-4373-b748-df23665f71ab") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR026") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 100.33 160.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0be2ed96-1292-43e6-9363-9c5ac75f5b9e") + (property "Reference" "#PWR017" + (at 100.33 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 100.33 165.1 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 100.33 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 100.33 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 100.33 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "2fd52a6d-2fd8-48ef-bc6c-7468dbeaee68") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR017") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 204.47 88.9 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0df59ff9-48aa-4b07-8148-e39d06e80868") + (property "Reference" "#PWR034" + (at 204.47 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 204.47 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 204.47 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 204.47 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 204.47 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "46f48d25-b503-4e94-ae22-e6b5a0063eb6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR034") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 45.72 127 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0fba012a-f40f-4caa-a24e-3486196e2a00") + (property "Reference" "#PWR05" + (at 45.72 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 45.72 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 45.72 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 45.72 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 45.72 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4a508017-a54c-4879-8673-b247a8a0228f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR05") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VBUS") + (at 219.71 35.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "10cca9dc-f6c9-468f-a4e5-2b053ad4c3f0") + (property "Reference" "#PWR036" + (at 219.71 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 219.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 219.71 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 219.71 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 219.71 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0a3f8e69-ec39-4038-b9cc-fc17676b8a4d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR036") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 30.48 156.21 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "179703f9-7822-4c90-b4dd-428858cfec89") + (property "Reference" "D1" + (at 34.29 156.5274 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "STATUS" + (at 34.29 159.0674 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 30.48 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 30.48 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 30.48 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 30.48 156.21 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "1206" + (at 30.48 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C434438" + (at 30.48 156.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b36c679b-3e74-4453-872d-59efd9133f34") + ) + (pin "2" + (uuid "97979fed-3c13-47ff-aabb-97b694f19f89") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_Push") + (at 50.8 191.77 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "17bb85d7-35ee-4b02-ade0-d8e4a053c53c") + (property "Reference" "SW1" + (at 50.8 184.15 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "BOOT_MODE" + (at 50.8 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (at 50.8 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.cuidevices.com/product/resource/ts02.pdf" + (at 50.8 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 50.8 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "TS02-66-43-BK-260-LCR-D" + (at 50.8 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 50.8 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "328de19c-ebc8-42f0-80a8-333225b97179") + ) + (pin "2" + (uuid "e21bba81-2f88-420d-9926-b527d34362d2") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "SW1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 219.71 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "1be8d65c-cb3e-48af-947b-60cfb7bea25b") + (property "Reference" "#PWR037" + (at 219.71 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 220.472 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 219.71 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 219.71 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 219.71 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "88cc6950-e20e-48ca-80a3-3f441dcde899") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR037") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 234.95 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "20804f73-d5d2-4744-92dd-5a423a130236") + (property "Reference" "#PWR042" + (at 234.95 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 235.712 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 234.95 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 234.95 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 234.95 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a64a626e-d302-4867-ad5e-9f0ea63c78da") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR042") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Diode:SD05_SOD323") + (at 219.71 54.61 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "229ccc7e-c7b9-42ae-a0ae-6b7e277ec726") + (property "Reference" "D5" + (at 220.218 50.546 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SD05_SOD323" + (at 216.916 48.768 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 214.63 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 219.71 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 219.71 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "Leiditech SD05" + (at 219.71 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SD-323" + (at 219.71 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C490614" + (at 219.71 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b782923f-e2e7-460d-8b18-1385c7f7160e") + ) + (pin "2" + (uuid "edb77717-29ea-496f-9217-c55110695e1c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 63.5 162.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "29ba3f55-88b0-4c31-8966-fc4255d36d14") + (property "Reference" "#PWR09" + (at 63.5 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 63.5 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 63.5 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 63.5 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 63.5 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "439026dc-c2cd-4e3c-8c64-e2d5fac4b55f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR09") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Diode:SD05_SOD323") + (at 234.95 54.61 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "2b6d7fd8-f3d6-4a4a-9337-2479989e6783") + (property "Reference" "D7" + (at 235.458 50.546 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SD05_SOD323" + (at 232.41 48.768 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 229.87 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 234.95 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 234.95 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "Leiditech SD05" + (at 234.95 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SD-323" + (at 234.95 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C490614" + (at 234.95 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b782923f-e2e7-460d-8b18-1385c7f7160f") + ) + (pin "2" + (uuid "edb77717-29ea-496f-9217-c55110695e1d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 162.56 57.15 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp yes) + (uuid "2cdae28f-c7e2-4858-aee9-333143666e79") + (property "Reference" "C8" + (at 165.1 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "22pF" + (at 165.1 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Notes" "50V" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805JRNPO9BN220" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1804" + (at 162.56 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "64642fea-e2ac-42bb-9760-2ae73a5b8a78") + ) + (pin "2" + (uuid "1f5bc9bf-1122-423c-92af-0538c3f0a3fb") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 254 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2d074e7e-ed14-4fc3-8d92-375ba7f7ea72") + (property "Reference" "#PWR044" + (at 254 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 254 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 254 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 254 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 254 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "539984e2-6139-4d29-8d37-1f2751946b71") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR044") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Q_Photo_NPN") + (at 137.16 182.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "2d482a1d-f7ab-4768-9cd3-f2fa4d992e15") + (property "Reference" "Q1" + (at 142.24 180.848 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "Q_Photo_NPN" + (at 142.24 183.4006 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "LED_THT:LED_D3.0mm_Clear" + (at 142.24 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/885/ALS-PT204-6C%2CL177.pdf" + (at 137.16 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "NPN phototransistor, collector/emitter" + (at 137.16 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "ALS-PT204-6C/L177" + (at 137.16 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 137.16 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C2936540" + (at 137.16 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "61626549-5059-4877-bcb1-87c8ffe5e418") + ) + (pin "2" + (uuid "5416a846-3db0-45b1-9e31-b85f95377008") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "Q1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 203.2 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "2f9727f4-c524-4250-bc64-5cf8ccc89485") + (property "Reference" "#PWR033" + (at 203.2 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 206.756 159.004 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 203.2 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 203.2 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 203.2 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "58779602-917f-474c-84c3-8ac23f71ff0c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR033") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 176.53 161.29 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "30bd750a-0bca-484f-9fb6-3bce80478129") + (property "Reference" "R14" + (at 176.53 163.83 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "390" + (at 176.53 166.37 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-07390RL" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17655" + (at 176.53 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "933622cb-37e5-4ce5-984e-91488d6418c5") + ) + (pin "1" + (uuid "80dd0877-42e5-41ef-bc70-6c0ca12e1470") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R14") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 80.01 124.46 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "32511ded-dba6-451a-b728-f39d6e26796c") + (property "Reference" "R6" + (at 82.55 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10k" + (at 82.55 127 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0710KL" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17414" + (at 80.01 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "cfd1cf0a-8ba4-4295-b8e7-b9c2a60fcd48") + ) + (pin "1" + (uuid "aaf1eed4-06cb-445e-b50b-efa27bca835d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 66.04 120.65 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "3bb54cf1-dd98-49d0-9e46-bb4c6bb6296a") + (property "Reference" "#PWR010" + (at 66.04 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 66.04 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 66.04 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 66.04 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 66.04 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "19438776-e8d5-4d78-91cd-13ec1bf8d6e5") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR010") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 83.82 190.5 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "3cb16c53-caaf-4f68-adad-3f5416ea1ee0") + (property "Reference" "C2" + (at 83.82 194.31 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1uF" + (at 83.82 196.85 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KFX7R6BB106" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C28323" + (at 83.82 190.5 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "017c4429-e12a-43b1-8496-acdaabc48ac3") + ) + (pin "1" + (uuid "27a3f20d-9a4d-41cc-acb0-2df34724050e") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Regulator_Linear:LD39150DT33") + (at 223.52 91.44 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3e6e0c17-305e-43f5-b517-6bc321d67606") + (property "Reference" "U4" + (at 223.52 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LD39150DT33" + (at 223.52 87.63 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:TO-252-3_TabPin2" + (at 223.52 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.st.com/resource/en/datasheet/ld39150.pdf" + (at 226.06 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "1.5A Ultra Low Dropout regulator, positive, 3.3V fixed output, TO-252" + (at 223.52 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "LD39150DT33-R" + (at 223.52 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "TO-252" + (at 223.52 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C155559" + (at 223.52 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "3" + (uuid "b71c5c29-a540-4281-be58-96ab84e5c834") + ) + (pin "2" + (uuid "5f1b1e56-17ed-452c-800e-5a969923c831") + ) + (pin "1" + (uuid "e2a229e8-0c0a-41bd-9ca3-f16f256e717a") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "U4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 101.6 86.36 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "449eb5cd-3884-4fbb-8b6d-b72c068ee983") + (property "Reference" "#PWR019" + (at 101.6 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 101.6 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 101.6 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 101.6 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 101.6 86.36 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4b96faf2-0b69-49c3-8cdb-4cd95e87aed0") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR019") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 29.21 182.88 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "46c58f5f-74f0-479e-bd0e-1226decc027c") + (property "Reference" "#PWR01" + (at 29.21 186.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 29.21 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 29.21 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 29.21 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 29.21 182.88 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c9069274-cc85-47e0-b11e-53cee118e70d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 48.26 38.1 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "473496c9-d03e-498a-8fd0-a78bdefb5805") + (property "Reference" "R4" + (at 50.8 36.8299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "10k" + (at 50.8 39.3699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0710KL" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17414" + (at 48.26 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "878efb6d-d43e-438a-8b75-fce270317d31") + ) + (pin "2" + (uuid "0ce4c17e-d436-424b-95fe-ce66429040a5") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 187.96 152.4 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "488c8b47-f8be-44d0-8535-762211171c8b") + (property "Reference" "R15" + (at 187.706 155.194 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "2k" + (at 187.96 157.48 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-072KL" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17604" + (at 187.96 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "ba8017b0-cc65-44d4-90ce-f29ac8d9b9b3") + ) + (pin "1" + (uuid "2f58da50-9b18-43ab-ad10-4c92e3d2a50c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R15") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 143.51 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4dc89430-4c4d-474a-ab25-32e44d3dd8b4") + (property "Reference" "#PWR025" + (at 143.51 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 143.51 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 143.51 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 143.51 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 143.51 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0a6d5aaa-f441-4ca4-9ba7-8106d4825904") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR025") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:PWR_FLAG") + (at 151.13 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "4f937aa9-3880-45bb-92c4-1cd3adc8e72e") + (property "Reference" "#FLG01" + (at 151.13 142.875 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "PWR_FLAG" + (at 146.304 139.954 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 151.13 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 151.13 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Special symbol for telling ERC where power comes from" + (at 151.13 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "69270f2d-16fc-4208-b5a1-61b7ca7a1d8a") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#FLG01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 140.97 49.53 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "5054e324-368d-43c4-adf5-2815233ace9e") + (property "Reference" "R10" + (at 142.748 46.99 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "0" + (at 145.796 46.99 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Notes" "1%" + (at 140.97 49.53 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805JR-070RL" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17477" + (at 140.97 49.53 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "51034601-49a5-4ba8-b8de-b3bde24a273e") + ) + (pin "2" + (uuid "d38d437d-23cb-4d32-ba1f-815be87be1c6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 181.61 153.67 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "512e8826-6a7e-4b2e-84b1-270155672f00") + (property "Reference" "#PWR030" + (at 181.61 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 181.61 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 181.61 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 181.61 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 181.61 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "33810787-32a0-49ac-89a8-3e98f4563814") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR030") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector_Generic:Conn_01x04") + (at 30.48 124.46 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "523c089d-82f7-40d7-ae73-44133eca02e7") + (property "Reference" "J1" + (at 26.162 121.666 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LCD" + (at 26.162 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B4B-PH-K_1x04_P2.00mm_Vertical" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B4B-PH-K-S" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C131334" + (at 30.48 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "c52dc30d-5f78-45e0-bbb1-9768d872ac8e") + ) + (pin "3" + (uuid "f10418c6-73af-43b7-89a5-cfbc7a75b6bb") + ) + (pin "1" + (uuid "7a889a83-fa66-4ea4-beff-ecf4d1247675") + ) + (pin "4" + (uuid "2cdc8f65-9dbe-40c3-919b-76da38246f72") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 233.68 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "52face11-e026-4de7-8f2f-2140363c099a") + (property "Reference" "#PWR041" + (at 233.68 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 233.68 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 233.68 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 233.68 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 233.68 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "0046594e-5238-4aab-8670-4818039e1cbd") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR041") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:D_Schottky") + (at 240.03 40.64 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "538b3edf-4111-4643-8f1e-b6f8c9cee92f") + (property "Reference" "D8" + (at 240.3475 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SM5817PL-TP" + (at 240.3475 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123F" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.mccsemi.com/pdf/Products/SM5817PL-SM5819PL(SOD-123FL).PDF" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "SM5817PL-TP" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOD-123FL" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C669022" + (at 240.03 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "7783a061-89ed-4378-b500-234a53d85b48") + ) + (pin "1" + (uuid "0a4f2959-12ba-4381-b985-0b554f90490f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 204.47 97.79 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "5a88f758-d682-428e-a0cb-7ba7af7b932f") + (property "Reference" "C10" + (at 207.01 96.5135 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "2.2uF" + (at 207.01 99.0535 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB225" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C377773" + (at 204.47 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a48f0d6e-49ef-4944-9b8e-e51009a817aa") + ) + (pin "2" + (uuid "8e5045ff-4008-45d7-82a8-16df44227b8f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 227.33 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "5afdc8c5-2159-4cb1-892d-e58c3581307f") + (property "Reference" "#PWR040" + (at 227.33 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 228.092 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 227.33 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 227.33 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 227.33 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "705fcd73-2e9e-4937-9c55-9d9c227d70e4") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR040") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 170.18 153.67 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "60f9f6a3-dd24-4def-ac45-c7651abc3084") + (property "Reference" "D4" + (at 165.862 153.67 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "CHARGE" + (at 160.782 156.464 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 170.18 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 170.18 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 170.18 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 170.18 153.67 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "1206" + (at 170.18 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C434438" + (at 170.18 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "994df20b-b08a-4d21-b45d-3d1264144003") + ) + (pin "2" + (uuid "c41a5b13-9b1a-48be-9a37-335ee58cbe4c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 198.12 140.97 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "65a79856-6b62-4025-b138-b7f07f760a8d") + (property "Reference" "C9" + (at 198.1136 134.62 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "4.7uF" + (at 198.1136 137.16 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB475" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1779" + (at 198.12 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3df7bcbb-f482-4847-9989-fe58f05ea20e") + ) + (pin "2" + (uuid "2144fbd4-ebf2-4c31-87e2-272ff46d7ba6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 80.01 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6baa4381-967c-4a49-a7b8-864a2464fc56") + (property "Reference" "R7" + (at 82.55 148.5899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "180" + (at 82.55 151.1299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-07180RL" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C25270" + (at 80.01 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "40b2c791-f8a5-47d9-b5c8-12b17d38a7f9") + ) + (pin "1" + (uuid "d11805a5-479b-4c2a-ba36-8b17d41db72f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 226.06 161.29 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6f81dbe5-8c69-40eb-b8e4-baf1358236ef") + (property "Reference" "#PWR039" + (at 226.06 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 226.06 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 226.06 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 226.06 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 226.06 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d31454dd-25a5-457a-9f9d-560fc24cc531") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR039") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 63.5 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6fdfcec0-aeb6-4051-982f-9cf77018dd4b") + (property "Reference" "R5" + (at 66.04 148.5899 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "180" + (at 66.04 151.1299 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-07180RL" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C25270" + (at 63.5 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "2373870d-ccf2-4f36-8007-a075c55eeb1b") + ) + (pin "1" + (uuid "0e03742c-52f2-4c8d-aada-50b48d75d2cf") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Battery_Management:MCP73831-2-OT") + (at 203.2 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "73435e64-45f4-4cf8-b9e3-999484089e40") + (property "Reference" "U3" + (at 205.3941 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 205.3941 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 204.47 156.21 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 203.2 168.148 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 203.2 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "MCP73831T-2ACI/OT" + (at 203.2 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOT-23-5" + (at 203.2 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C424093" + (at 203.2 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "3903719f-27e2-48ac-8d4b-ace4b155c5a8") + ) + (pin "5" + (uuid "6fc72c53-5661-40ab-a7ce-703b737a4b7a") + ) + (pin "4" + (uuid "7d622f19-1777-4c34-a7e6-a8132cf780be") + ) + (pin "3" + (uuid "d4529b37-cba9-4056-8d28-1eef8eb24f1b") + ) + (pin "1" + (uuid "395a27f5-e8fc-4d81-a4af-2fe0dff22b62") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "U3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 191.77 142.24 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "73afdf57-007d-4ccf-ae6d-58ba3396abe9") + (property "Reference" "#PWR031" + (at 191.77 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 191.77 146.05 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 191.77 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 191.77 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 191.77 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "256c3d41-2e3c-4585-8e1b-dfc7cdf59284") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR031") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 100.33 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "75276cdc-1743-4138-8fca-9f15e0c8f91f") + (property "Reference" "#PWR016" + (at 100.33 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 100.33 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 100.33 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 100.33 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 100.33 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3e1d2460-e891-4527-97d5-c5b72cbbbec7") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR016") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 80.01 157.48 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "76fb557b-6824-4641-b6c7-9004189a26ea") + (property "Reference" "D3" + (at 83.82 157.7974 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "3V3" + (at 83.82 160.3374 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 80.01 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 80.01 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 80.01 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 80.01 157.48 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "1206" + (at 80.01 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C434438" + (at 80.01 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "173aebdf-e492-4206-82be-662f9a0ed891") + ) + (pin "2" + (uuid "33fb1ca1-7f23-4a07-87f6-0e13d4a8b5be") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 115.57 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "7a469ce7-cb2e-47bf-b6fe-87d61e525599") + (property "Reference" "C5" + (at 107.95 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1uF" + (at 107.95 146.05 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KFX7R6BB106" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C28323" + (at 115.57 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8975ea11-8686-479c-9f1f-d302465f541e") + ) + (pin "2" + (uuid "0f2802c6-e361-48c3-8401-4119ffb05298") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "PCM_Espressif:ESP32-C3-WROOM-02") + (at 101.6 59.69 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7a739e65-f2c0-4a16-bbad-f4b78883366c") + (property "Reference" "U1" + (at 103.7941 34.29 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "ESP32-C3-WROOM-02" + (at 103.7941 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "PCM_Espressif:ESP32-C3-WROOM-02" + (at 101.6 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.espressif.com/sites/default/files/documentation/esp32-c3-wroom-02_datasheet_en.pdf" + (at 99.06 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "ESP32-C3-WROOM-02 is a general-purpose Wi-Fi and Bluetooth LE module. This module features a rich set of peripherals and high performance, which makes it an ideal choice for smart home, industrial automation, health care, consumer electronics, etc." + (at 101.6 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "ESP32-C3-WROOM-02-N4" + (at 101.6 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "VFQFN-32-EP" + (at 101.6 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C2934560" + (at 101.6 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "18" + (uuid "5f6081f7-7c22-45c0-bd4b-03ddd695d2e4") + ) + (pin "17" + (uuid "c0ef944f-f58e-42d3-8da7-66cb3678d2f1") + ) + (pin "13" + (uuid "a80d5808-5160-4d8a-a3ba-9a268b826df2") + ) + (pin "2" + (uuid "5c5c77b7-8383-4333-a5ab-941941200702") + ) + (pin "12" + (uuid "7ccddc92-dac6-409d-a9b7-d59c78a558cb") + ) + (pin "14" + (uuid "7281c160-e96b-4a1a-a84c-8560395a92fa") + ) + (pin "15" + (uuid "040f7528-f93f-4924-8eae-a280c06e4900") + ) + (pin "11" + (uuid "4831d622-f46c-487f-811f-684647566bf8") + ) + (pin "19" + (uuid "9421bb60-ba6d-48b4-8f01-15de72874ae1") + ) + (pin "1" + (uuid "c6a78b41-3f35-4a57-bc53-e35a9b2c48e0") + ) + (pin "16" + (uuid "29be1856-6332-431a-9d8f-2a3846e4f008") + ) + (pin "10" + (uuid "b91ea156-8da6-499c-a730-588404bd5d9d") + ) + (pin "3" + (uuid "a301f1c1-5165-439c-be95-9b7507494b38") + ) + (pin "4" + (uuid "eaf01b2c-9a20-4df9-9062-9c53000fc626") + ) + (pin "9" + (uuid "affe3fbe-f47e-4c9f-a989-fa5431dbc315") + ) + (pin "5" + (uuid "466e9ff5-51cf-48c0-af05-eb7f351c0df5") + ) + (pin "6" + (uuid "d244522b-ad58-4f8d-b3cf-33e538aa99a2") + ) + (pin "7" + (uuid "fa9ea29d-8a6e-42b5-b773-c047a0988b9c") + ) + (pin "8" + (uuid "9b1a975e-8b1d-4c8c-8d65-e9e759cbee84") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 223.52 104.14 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "821c81e0-679d-49f3-8a9a-7de3a4b4564c") + (property "Reference" "#PWR038" + (at 223.52 110.49 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 223.52 109.22 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 223.52 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 223.52 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 223.52 104.14 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "89df0ce4-d572-4187-befd-fe2da4806b84") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR038") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 43.18 194.31 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "84ecc28d-992f-46d4-9dcc-01850def11bd") + (property "Reference" "#PWR03" + (at 43.18 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 43.18 199.39 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 43.18 194.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 43.18 194.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 43.18 194.31 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f4ee0158-56c3-4e7e-8a76-c129a096a3cc") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR03") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 237.49 97.79 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "886e163a-3f53-4f84-b5d2-9a50cce6b0e0") + (property "Reference" "C12" + (at 240.03 96.5135 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "2.2uF" + (at 240.03 99.0535 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB225" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C377773" + (at 237.49 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "afc76b8f-7aad-4f49-947f-fcc14e423874") + ) + (pin "2" + (uuid "914628ba-6fcd-4e5d-808c-297e2358a7c6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 33.02 189.23 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8ad9e4b3-a391-488f-9ff8-ea635fb8e3a3") + (property "Reference" "R3" + (at 35.56 187.9599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "10k" + (at 35.56 190.4999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0710KL" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17414" + (at 33.02 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "e533a782-0bdc-46b1-a8e8-8bd19a55f0d9") + ) + (pin "1" + (uuid "b942f204-af3d-4e26-b1ea-937f6663a622") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 48.26 45.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8ed62025-ca8c-4661-b369-79ff33958a56") + (property "Reference" "C1" + (at 50.8 44.4562 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1uF" + (at 50.8 46.9962 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KFX7R6BB106" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C28323" + (at 48.26 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "fdde6196-1b86-476a-8bdf-038f32715045") + ) + (pin "1" + (uuid "a811ff6c-d183-469f-8277-aa60c2ad2087") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:USB_B_Micro") + (at 207.01 45.72 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8ed77342-f3a7-4684-877d-dda9b88f12b7") + (property "Reference" "J2" + (at 207.01 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "USB_B_Micro" + (at 207.01 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (at 210.82 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.we-online.com/katalog/datasheet/629105150521.pdf" + (at 210.82 46.99 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 207.01 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "629105150521" + (at 207.01 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 207.01 45.72 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3c03a621-8977-4ff7-a955-55f88619628e") + ) + (pin "4" + (uuid "fd0a568c-3b71-4b5a-aaf0-7d4b7ab68b41") + ) + (pin "6" + (uuid "0532b8d1-ed1b-422b-b584-199fc0847e82") + ) + (pin "2" + (uuid "f832a7af-e8fc-4575-b399-9de4666a4934") + ) + (pin "3" + (uuid "d7238036-1121-4331-a7f9-a8f3bfd81fba") + ) + (pin "5" + (uuid "12fedacd-0d71-4b7f-8ffb-e37b369c677d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "J2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 115.57 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "909e4836-d5e2-4cb6-8e12-8c4e8ee8c612") + (property "Reference" "#PWR021" + (at 115.57 153.67 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 115.57 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 115.57 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 115.57 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 115.57 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e250b62a-98c3-4126-9069-64c71fd7a63f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR021") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 203.2 139.7 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "978e7709-d000-4c12-8f4f-6457a06c0841") + (property "Reference" "#PWR032" + (at 203.2 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 203.2 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 203.2 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 203.2 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 203.2 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6ff0c2e3-f02c-49b9-a3ea-fb33092fe509") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR032") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 254 161.29 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "983a5f5b-b6e2-4e23-b4fd-9cae7a90b391") + (property "Reference" "#PWR045" + (at 254 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 254 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 254 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 254 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 254 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "adaaa678-1df7-4ef0-ba20-682c45b784bb") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR045") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 92.71 30.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a524dbad-9986-4d76-9c94-352ebe22d0bb") + (property "Reference" "C4" + (at 95.25 29.2162 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "0.1uF" + (at 95.25 31.7562 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KRX7R6BB104" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C49678" + (at 92.71 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "471c149d-60ad-430b-95fd-f06ecf716b9d") + ) + (pin "2" + (uuid "e8b52b10-f187-4926-b6e7-5673443ae65e") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 139.7 195.58 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a7949408-676b-4697-86f4-9f6937bdc447") + (property "Reference" "#PWR024" + (at 139.7 201.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 139.7 200.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 139.7 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 139.7 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 139.7 195.58 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8fe7eaba-aea8-4b09-959e-34cf5734dc28") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR024") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 147.32 64.77 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "a966b45e-913b-42f0-ba5f-08b538c1ab2e") + (property "Reference" "R13" + (at 148.59 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4.7k" + (at 148.59 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-074K7L" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17673" + (at 147.32 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "116d9dcd-b0f3-45fe-b1e2-fc936ee19d27") + ) + (pin "2" + (uuid "61da36d8-19b3-4ac1-b3ce-007f02fd8498") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_SPDT") + (at 109.22 154.94 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "ac70717d-986e-46b7-a395-c6c06fc0fb3c") + (property "Reference" "SW4" + (at 109.22 161.544 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "BATTERY_EN" + (at 109.22 164.084 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (at 109.22 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://sten-eswitch-13110800-production.s3.amazonaws.com/system/asset/product_line/data_sheet/119/EG.pdf" + (at 109.22 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 109.22 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "EG1224" + (at 109.22 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 109.22 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C273395" + (at 109.22 154.94 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "de6c16e3-6a9f-4c11-97d8-eeb459690109") + ) + (pin "3" + (uuid "9d346937-1e9c-4630-b3d0-416d5c8b64ca") + ) + (pin "1" + (uuid "b12a2b24-7d17-41c4-8a63-053e9cefcfc6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "SW4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Diode:SD05_SOD323") + (at 227.33 54.61 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "aca15590-47b8-4ad3-a0e6-e8871b4acd10") + (property "Reference" "D6" + (at 227.838 50.546 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SD05_SOD323" + (at 224.79 48.768 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 222.25 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 227.33 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 227.33 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "Leiditech SD05" + (at 227.33 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SD-323" + (at 227.33 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C490614" + (at 227.33 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b782923f-e2e7-460d-8b18-1385c7f71610") + ) + (pin "2" + (uuid "edb77717-29ea-496f-9217-c55110695e1e") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 97.79 185.42 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ae8dccd3-6b86-49bf-97f9-37495143e8a6") + (property "Reference" "R8" + (at 97.79 179.07 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "0" + (at 97.79 181.61 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805JR-070RL" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17477" + (at 97.79 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f062f1a6-5c22-4eac-a973-4a0e86a0d356") + ) + (pin "2" + (uuid "209f1dc7-98f9-47ea-a6eb-326d592f0324") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 63.5 157.48 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b0ad350a-04e6-427c-97c9-54e750aa5604") + (property "Reference" "D2" + (at 67.31 157.7974 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "VBUS" + (at 67.31 160.3374 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 63.5 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 63.5 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 63.5 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 63.5 157.48 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "1206" + (at 63.5 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C434438" + (at 63.5 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "299a4f0d-1cad-4eac-82de-ed4c1aee9329") + ) + (pin "2" + (uuid "1fd9e7aa-fb76-4910-a74a-885639a878a0") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "D2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 101.6 25.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "b50c01e4-62f4-4baf-98fb-37253e885765") + (property "Reference" "#PWR018" + (at 101.6 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 101.6 20.32 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 101.6 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 101.6 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 101.6 25.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4bd847df-10af-4f9f-827f-d2371a331ace") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR018") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector_Generic:Conn_01x02") + (at 248.92 158.75 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ba09a0f4-a9d3-49ef-bf0f-9db04e3511cd") + (property "Reference" "J3" + (at 248.92 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "BATTERY" + (at 248.92 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C5251182" + (at 248.92 158.75 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "def48ef5-2713-4b6f-a053-4d21285a4ef8") + ) + (pin "2" + (uuid "5140fecd-466d-4e5c-b821-7333c82e8563") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 139.7 193.04 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bb56aee8-b4b3-465a-b04e-a93322fef9de") + (property "Reference" "R9" + (at 142.24 191.7699 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10k" + (at 142.24 194.3099 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0710KL" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17414" + (at 139.7 193.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4bf79e91-f82c-4d49-a71a-0b77116ffa8c") + ) + (pin "2" + (uuid "8a9e2ac3-5174-4e6f-a568-449800de3410") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 30.48 148.59 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c17cd14a-a83d-49c9-b625-ea11d9d50601") + (property "Reference" "R2" + (at 33.02 147.3199 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "180" + (at 33.02 149.8599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-07180RL" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C25270" + (at 30.48 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "2a9f0436-e8d1-40b6-b43d-8f120f7ec356") + ) + (pin "1" + (uuid "c16c72a2-18d1-41b3-a9ed-082808d641fd") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 86.36 30.48 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c21559ae-bfcc-46bc-a566-ec140ed56a7c") + (property "Reference" "C3" + (at 78.74 29.21 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "10uF" + (at 78.74 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB105" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C15850" + (at 86.36 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f307fe41-cf0c-466d-854f-519d79869ca5") + ) + (pin "2" + (uuid "d95691be-f85b-4cfa-9e11-a3215bedbc9f") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 48.26 35.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c4373cfd-7c39-4c89-876f-0ea0c4f9fca1") + (property "Reference" "#PWR06" + (at 48.26 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 48.26 30.48 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 48.26 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 48.26 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 48.26 35.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "91dad31d-5b77-4276-bd49-6cde8011d8eb") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR06") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 139.7 177.8 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c5084962-0821-4b54-8c26-d3df79b175b3") + (property "Reference" "#PWR023" + (at 139.7 181.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 139.192 173.99 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 139.7 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 139.7 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 139.7 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3363b87d-a94e-4759-b331-0fd2dbe8e8e2") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR023") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 80.01 162.56 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c73f9219-6652-4eea-ba66-c4eef22f1400") + (property "Reference" "#PWR014" + (at 80.01 168.91 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 80.01 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 80.01 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 80.01 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 80.01 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6ef3ce1d-be33-46ef-aeaf-ff64eba28b26") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR014") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 170.18 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "caaacd3b-6319-4eb2-96eb-f7ffb0082535") + (property "Reference" "#PWR029" + (at 170.18 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 170.18 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 170.18 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 170.18 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 170.18 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c16aae69-d582-4d42-ad4d-c158408507bd") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR029") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 86.36 33.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cb84b553-c713-4819-bbcb-5f8204a2f475") + (property "Reference" "#PWR015" + (at 86.36 39.37 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 86.36 38.1 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 86.36 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 86.36 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 86.36 33.02 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "21c32479-f60b-41d1-bfe1-e730a5078883") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR015") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 25.4 189.23 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cbac06a7-e3d2-489a-9802-000da5a70bea") + (property "Reference" "R1" + (at 27.94 187.9599 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "10k" + (at 27.94 190.4999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0710KL" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17414" + (at 25.4 189.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "251d3597-c005-4300-bb56-f0139d7b6d43") + ) + (pin "1" + (uuid "7c983f53-13f1-4941-80a6-8fc198b7907c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 45.72 118.11 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cd60f472-95fe-40e2-ae30-bda852806de4") + (property "Reference" "#PWR04" + (at 45.72 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 45.72 113.03 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 45.72 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d671dd9f-bdbc-4361-91fc-6f5f8c1e889e") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR04") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 48.26 48.26 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cfb66d01-7d73-42ca-8389-ed60e28c2810") + (property "Reference" "#PWR07" + (at 48.26 54.61 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 48.26 53.34 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 48.26 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 48.26 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 48.26 48.26 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "dadfbe1b-0f23-4f3e-aea5-586040f0ca0b") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR07") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 30.48 161.29 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d1712227-09aa-424e-a8de-393f9a9e03b3") + (property "Reference" "#PWR02" + (at 30.48 167.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 30.48 166.37 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 30.48 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 30.48 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 30.48 161.29 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f39265f8-b2c3-4285-8f9b-8af151e5fdb5") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 157.48 57.15 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp yes) + (uuid "d52a491d-881d-4a4c-8ed7-a3816473cbe7") + (property "Reference" "C7" + (at 151.13 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "22pF" + (at 151.13 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Notes" "50V" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805JRNPO9BN220" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1804" + (at 157.48 57.15 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "acd31cf4-81f3-4f94-977a-0a84b04d635c") + ) + (pin "2" + (uuid "b4d883d6-4ecc-494b-a3d7-fdd83fe7a218") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 115.57 139.7 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d5918491-f67c-497f-810f-af14c8bcfa1a") + (property "Reference" "#PWR020" + (at 115.57 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 115.57 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 115.57 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 115.57 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 115.57 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "513aac40-c494-440b-a4ed-7210c1fe2f1c") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR020") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Analog_Switch:MAX40200AUK") + (at 130.81 149.86 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d87e0efb-6e6d-4210-a090-4143bd9ef61b") + (property "Reference" "U2" + (at 130.81 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "MAX40200AUK" + (at 130.81 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 130.81 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://datasheets.maximintegrated.com/en/ds/MAX40200.pdf" + (at 130.81 137.16 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Ideal Diode, Ultra-Low Voltage Drop, 1.5-5.5V, 1A, SOT-23-5" + (at 130.81 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "MAX40200AUK+T" + (at 130.81 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOT-23-5" + (at 130.81 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C2649430" + (at 130.81 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "dba885f5-3ef5-4519-94a7-be44e36c9fa8") + ) + (pin "1" + (uuid "42536467-075f-4d9a-bd82-74d7c6f9ca53") + ) + (pin "4" + (uuid "9bbba70a-1cc4-486b-93c4-03c375991674") + ) + (pin "5" + (uuid "38ace0a5-4f45-45f1-93df-5a4adafdf9df") + ) + (pin "3" + (uuid "295ee9dd-67fc-4d36-a8a2-3fc9ff0c3790") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "U2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_Push") + (at 83.82 185.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "de9fc6ea-07f8-47b9-89d8-98e7e329bca9") + (property "Reference" "SW3" + (at 83.82 177.8 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "RESET" + (at 83.82 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_PUSH_6mm_H4.3mm" + (at 83.82 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.cuidevices.com/product/resource/ts02.pdf" + (at 83.82 180.34 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 83.82 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "TS02-66-43-BK-260-LCR-D" + (at 83.82 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 83.82 185.42 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "1fadd664-9a3d-4f27-9abf-5127bc5afef6") + ) + (pin "2" + (uuid "01f3f922-f434-42e4-b5c2-de93ff807481") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "SW3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 130.81 157.48 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "dec35075-1d7e-466a-a64b-f41145d54bdc") + (property "Reference" "#PWR022" + (at 130.81 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 130.81 162.56 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 130.81 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 130.81 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 130.81 157.48 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "56f66389-ff80-4428-a8f2-7827254059c2") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR022") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 146.05 62.23 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e44df9ce-d88b-45cc-8281-fc086945a997") + (property "Reference" "#PWR027" + (at 146.05 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 146.05 58.42 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 146.05 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 146.05 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 146.05 62.23 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d11179c1-9ac8-4f95-a7e9-bbfe6fee36e2") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR027") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_Push") + (at 72.39 121.92 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e85857b2-8ef2-49d5-926d-de92ec2f29e2") + (property "Reference" "SW2" + (at 72.39 124.46 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "START" + (at 72.39 127 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 72.39 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 72.39 116.84 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Push button switch, generic, two pins" + (at 72.39 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 72.39 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 72.39 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C5251182" + (at 72.39 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "26128a62-ce10-4967-a729-f7e13095557a") + ) + (pin "2" + (uuid "8f2806a7-c6f9-4c68-9efd-8128d2cb847d") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "SW2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 237.49 88.9 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e9c4731f-7335-4efa-9d86-7351ce3d8744") + (property "Reference" "#PWR043" + (at 237.49 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "+3V3" + (at 237.49 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 237.49 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 237.49 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 237.49 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "a394a919-3cc1-4d8e-b27f-19518974f682") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR043") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 144.78 64.77 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "e9c5c486-eb0f-4498-b580-aad3ebe06e3b") + (property "Reference" "R12" + (at 139.7 63.5 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4.7k" + (at 139.7 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-074K7L" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17673" + (at 144.78 64.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "718d3265-efd4-468b-afbb-3b66b32d407b") + ) + (pin "1" + (uuid "a660648a-cdba-4e14-9723-cc924a1847da") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 80.01 127 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f06b9625-a3bc-4098-9f3c-455ea41e8b51") + (property "Reference" "#PWR012" + (at 80.01 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 80.01 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 80.01 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 80.01 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 80.01 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "10a01d4f-7cd0-4837-9885-f33ee3b818f6") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 140.97 52.07 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "f19d6492-609c-4b19-8a9b-6d47873469df") + (property "Reference" "R11" + (at 143.002 54.356 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "0" + (at 145.796 54.356 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Notes" "1%" + (at 140.97 52.07 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805JR-070RL" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17477" + (at 140.97 52.07 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5ddcf98b-89b4-4588-8729-eca4e4be3584") + ) + (pin "2" + (uuid "708204ed-70da-4358-bf03-eae0322420bd") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "R11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 74.93 191.77 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f419fefb-d3dd-4dc3-af74-d033e4206bd0") + (property "Reference" "#PWR011" + (at 74.93 198.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 74.93 196.85 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 74.93 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 74.93 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 74.93 191.77 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "17c9055b-6e4c-4613-a6bc-2e2a2a4f884e") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR011") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VBUS") + (at 63.5 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f56d03ed-cfed-4002-948d-64ab805f66cd") + (property "Reference" "#PWR08" + (at 63.5 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 63.5 139.7 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 63.5 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 63.5 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 63.5 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "efe3ae16-9ca2-41f8-b8ac-c93702cd415a") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR08") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 143.51 152.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f79d7719-728c-40ab-a7d4-3575bef4d058") + (property "Reference" "C6" + (at 146.05 151.1362 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10uF" + (at 146.05 153.6762 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB105" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C15850" + (at 143.51 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f77712d1-f3ac-4aeb-9262-5022a625993c") + ) + (pin "2" + (uuid "12853680-b577-4a76-a17a-ca3c66283839") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 226.06 152.4 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f9fdae09-69ea-4bb2-8930-790da735355e") + (property "Reference" "C11" + (at 228.6 151.1362 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4.7uF" + (at 228.6 153.6762 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB475" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1779" + (at 226.06 152.4 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "db021d03-d2a5-48df-babf-7d1b68f94c25") + ) + (pin "2" + (uuid "b1fabc25-4983-4525-9bdd-5256fd577c45") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "C11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 255.27 36.83 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "fbbe84ad-6ed2-4cc7-9c68-8d1f954a5ad8") + (property "Reference" "#PWR046" + (at 255.27 40.64 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 255.27 31.75 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 255.27 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 255.27 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 255.27 36.83 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b5914fb0-a8d9-43e3-bfdd-c0c8b32b3759") + ) + (instances + (project "esp32-boards" + (path "/1f39f509-fadf-4ef8-8f0f-fb272e08b99c" + (reference "#PWR046") + (unit 1) + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +) \ No newline at end of file diff --git a/pcb/esp32-boards/fp-lib-table b/pcb/esp32-boards/fp-lib-table new file mode 100644 index 0000000..b854bd5 --- /dev/null +++ b/pcb/esp32-boards/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "laser-photogate")(type "KiCad")(uri "${KIPRJMOD}/../lib")(options "")(descr "")) +) diff --git a/pcb/lib/7379.STEP b/pcb/lib/7379.STEP new file mode 100644 index 0000000..f086100 --- /dev/null +++ b/pcb/lib/7379.STEP @@ -0,0 +1,1258 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION (( 'STEP AP214' ), + '1' ); +FILE_NAME ('7379.STEP', + '2022-01-21T17:32:37', + ( '' ), + ( '' ), + 'SwSTEP 2.0', + 'SolidWorks 2013', + '' ); +FILE_SCHEMA (( 'AUTOMOTIVE_DESIGN' )); +ENDSEC; + +DATA; +#1 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#2 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#3 = VERTEX_POINT ( 'NONE', #949 ) ; +#4 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, 0.06600000000000025300 ) ) ; +#5 = CIRCLE ( 'NONE', #995, 0.01999999999999999700 ) ; +#6 = EDGE_CURVE ( 'NONE', #681, #107, #222, .T. ) ; +#7 = AXIS2_PLACEMENT_3D ( 'NONE', #365, #456, #1102 ) ; +#8 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#9 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#10 = LINE ( 'NONE', #384, #237 ) ; +#11 = ORIENTED_EDGE ( 'NONE', *, *, #1175, .T. ) ; +#12 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000001800, 0.02500000000000001200, -0.06342121096289482500 ) ) ; +#13 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#14 = ORIENTED_EDGE ( 'NONE', *, *, #544, .F. ) ; +#15 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649029800, 0.02000000000000000000, -0.07745333323392338000 ) ) ; +#16 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#17 = ORIENTED_EDGE ( 'NONE', *, *, #544, .T. ) ; +#18 = CARTESIAN_POINT ( 'NONE', ( -0.03900127313790631400, 0.03830089119653440300, 0.05487422338129682000 ) ) ; +#19 = VECTOR ( 'NONE', #646, 39.37007874015748100 ) ; +#20 = APPLICATION_PROTOCOL_DEFINITION ( 'draft international standard', 'automotive_design', 1998, #121 ) ; +#21 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#22 = VECTOR ( 'NONE', #567, 39.37007874015748100 ) ; +#23 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#24 = EDGE_CURVE ( 'NONE', #964, #489, #688, .T. ) ; +#25 = VECTOR ( 'NONE', #588, 39.37007874015748100 ) ; +#26 = PRODUCT_CONTEXT ( 'NONE', #121, 'mechanical' ) ; +#27 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#28 = DIRECTION ( 'NONE', ( 1.333910153156357900E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#29 = ORIENTED_EDGE ( 'NONE', *, *, #538, .T. ) ; +#30 = CARTESIAN_POINT ( 'NONE', ( 0.05000000000000000300, 0.6851803093024633200, 0.004996747797862659800 ) ) ; +#31 = PLANE ( 'NONE', #462 ) ; +#32 = EDGE_LOOP ( 'NONE', ( #326 ) ) ; +#33 = ORIENTED_EDGE ( 'NONE', *, *, #752, .T. ) ; +#34 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649029800, -0.0000000000000000000, -0.05745333323392339700 ) ) ; +#35 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, -0.06600000000000025300 ) ) ; +#36 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.09853937007874022400 ) ) ; +#37 = ORIENTED_EDGE ( 'NONE', *, *, #256, .T. ) ; +#38 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#39 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#40 = VERTEX_POINT ( 'NONE', #1129 ) ; +#41 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#42 = VECTOR ( 'NONE', #693, 39.37007874015748100 ) ; +#43 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#44 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649032600, 0.02000000000000000000, 0.05745333323392343200 ) ) ; +#45 = AXIS2_PLACEMENT_3D ( 'NONE', #600, #417, #325 ) ; +#46 = STYLED_ITEM ( 'NONE', ( #636 ), #60 ) ; +#47 = VECTOR ( 'NONE', #493, 39.37007874015748100 ) ; +#48 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#49 = ORIENTED_EDGE ( 'NONE', *, *, #468, .F. ) ; +#50 = VERTEX_POINT ( 'NONE', #426 ) ; +#51 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#52 = EDGE_CURVE ( 'NONE', #315, #908, #1153, .T. ) ; +#53 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #535 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #1047, #498, #1139 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#54 = VERTEX_POINT ( 'NONE', #847 ) ; +#55 = ADVANCED_FACE ( 'NONE', ( #190, #658 ), #1120, .T. ) ; +#56 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, -0.06600000000000025300 ) ) ; +#57 = FACE_OUTER_BOUND ( 'NONE', #505, .T. ) ; +#58 = DIRECTION ( 'NONE', ( 1.333910153156357900E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#59 = LINE ( 'NONE', #16, #770 ) ; +#60 = MANIFOLD_SOLID_BREP ( 'Extrude19', #1013 ) ; +#61 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#62 = LINE ( 'NONE', #51, #773 ) ; +#63 = PLANE ( 'NONE', #545 ) ; +#64 = ADVANCED_FACE ( 'NONE', ( #413 ), #578, .T. ) ; +#65 = ORIENTED_EDGE ( 'NONE', *, *, #512, .T. ) ; +#66 = ORIENTED_EDGE ( 'NONE', *, *, #157, .T. ) ; +#67 = CARTESIAN_POINT ( 'NONE', ( -0.03900127313790634900, 0.03830089119653443800, -0.05487422338129679300 ) ) ; +#68 = ORIENTED_EDGE ( 'NONE', *, *, #654, .T. ) ; +#69 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04354999999999999800, -0.04753945729601884900 ) ) ; +#70 = ADVANCED_FACE ( 'NONE', ( #293, #548 ), #756, .T. ) ; +#71 = EDGE_CURVE ( 'NONE', #81, #904, #166, .T. ) ; +#72 = ORIENTED_EDGE ( 'NONE', *, *, #383, .T. ) ; +#73 = CARTESIAN_POINT ( 'NONE', ( 0.008139410298048765900, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#74 = CIRCLE ( 'NONE', #1132, 0.01999999999999999700 ) ; +#75 = FACE_OUTER_BOUND ( 'NONE', #1019, .T. ) ; +#76 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.03606186049263663400, 0.9993495595725300200 ) ) ; +#77 = CARTESIAN_POINT ( 'NONE', ( 0.07499999999999999700, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#78 = EDGE_CURVE ( 'NONE', #81, #1020, #932, .T. ) ; +#79 = ORIENTED_EDGE ( 'NONE', *, *, #785, .T. ) ; +#80 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#81 = VERTEX_POINT ( 'NONE', #677 ) ; +#82 = PLANE ( 'NONE', #143 ) ; +#83 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #46 ) ) ; +#84 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#85 = ORIENTED_EDGE ( 'NONE', *, *, #643, .T. ) ; +#86 = AXIS2_PLACEMENT_3D ( 'NONE', #997, #446, #356 ) ; +#87 = CARTESIAN_POINT ( 'NONE', ( 0.03777456465601761200, 0.03744219525921233000, -0.02837059259585595100 ) ) ; +#88 = FACE_BOUND ( 'NONE', #947, .T. ) ; +#89 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#90 = LINE ( 'NONE', #483, #337 ) ; +#91 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#92 = VECTOR ( 'NONE', #1140, 39.37007874015748100 ) ; +#93 = VERTEX_POINT ( 'NONE', #397 ) ; +#94 = EDGE_CURVE ( 'NONE', #1107, #589, #1005, .T. ) ; +#95 = ORIENTED_EDGE ( 'NONE', *, *, #141, .T. ) ; +#96 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#97 = VERTEX_POINT ( 'NONE', #4 ) ; +#98 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#99 = ORIENTED_EDGE ( 'NONE', *, *, #94, .F. ) ; +#100 = FACE_OUTER_BOUND ( 'NONE', #591, .T. ) ; +#101 = AXIS2_PLACEMENT_3D ( 'NONE', #610, #238, #883 ) ; +#102 = VECTOR ( 'NONE', #1057, 39.37007874015748100 ) ; +#103 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#104 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06454649486997729400 ) ) ; +#105 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#106 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( $, .METRE. ) ); +#107 = VERTEX_POINT ( 'NONE', #570 ) ; +#108 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04355000000000000500, 0.04753945729601884900 ) ) ; +#109 = ORIENTED_EDGE ( 'NONE', *, *, #1098, .F. ) ; +#110 = CARTESIAN_POINT ( 'NONE', ( 0.05000000000000000300, 0.01999999999999999000, 0.02900000000000000100 ) ) ; +#111 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000001400, 0.6850000000000001600, 0.0000000000000000000 ) ) ; +#112 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649032600, 0.02000000000000000000, 0.07745333323392338000 ) ) ; +#113 = COLOUR_RGB ( '',0.8431372549019607900, 0.8156862745098039100, 0.7529411764705882200 ) ; +#114 = VECTOR ( 'NONE', #817, 39.37007874015747400 ) ; +#115 = EDGE_CURVE ( 'NONE', #1081, #1039, #10, .T. ) ; +#116 = VERTEX_POINT ( 'NONE', #1031 ) ; +#117 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649032600, 0.0000000000000000000, 0.07745333323392338000 ) ) ; +#118 = CARTESIAN_POINT ( 'NONE', ( 0.03000386553242791200, 0.03200270587269953700, -0.06026647286169999800 ) ) ; +#119 = VECTOR ( 'NONE', #971, 39.37007874015748100 ) ; +#120 = EDGE_LOOP ( 'NONE', ( #704 ) ) ; +#121 = APPLICATION_CONTEXT ( 'automotive_design' ) ; +#122 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.8000000000000000400, 0.04753945729601884900 ) ) ; +#123 = ORIENTED_EDGE ( 'NONE', *, *, #1109, .T. ) ; +#124 = ORIENTED_EDGE ( 'NONE', *, *, #303, .F. ) ; +#125 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, 0.03399999999999975300 ) ) ; +#126 = AXIS2_PLACEMENT_3D ( 'NONE', #395, #299, #1042 ) ; +#127 = APPLICATION_PROTOCOL_DEFINITION ( 'draft international standard', 'automotive_design', 1998, #683 ) ; +#128 = PLANE ( 'NONE', #1125 ) ; +#129 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#130 = EDGE_LOOP ( 'NONE', ( #1036, #211, #179 ) ) ; +#131 = LINE ( 'NONE', #563, #352 ) ; +#132 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000000, 0.02499999999999999800, 0.09853937007874022400 ) ) ; +#133 = VECTOR ( 'NONE', #23, 39.37007874015748100 ) ; +#134 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.9993495595725299100, 0.03606186049263662700 ) ) ; +#135 = EDGE_CURVE ( 'NONE', #197, #781, #973, .T. ) ; +#136 = CIRCLE ( 'NONE', #210, 0.01999999999999999000 ) ; +#137 = ORIENTED_EDGE ( 'NONE', *, *, #346, .F. ) ; +#138 = ORIENTED_EDGE ( 'NONE', *, *, #327, .F. ) ; +#139 = PRODUCT ( '7379', '7379', '', ( #26 ) ) ; +#140 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#141 = EDGE_CURVE ( 'NONE', #894, #522, #496, .T. ) ; +#142 = PLANE ( 'NONE', #101 ) ; +#143 = AXIS2_PLACEMENT_3D ( 'NONE', #553, #733, #175 ) ; +#144 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#145 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.9993495595725299100, -0.03606186049263662700 ) ) ; +#146 = PRODUCT_DEFINITION_SHAPE ( 'NONE', 'NONE', #618 ) ; +#147 = FACE_OUTER_BOUND ( 'NONE', #130, .T. ) ; +#148 = AXIS2_PLACEMENT_3D ( 'NONE', #1094, #76, #725 ) ; +#149 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#150 = ADVANCED_FACE ( 'NONE', ( #519 ), #307, .F. ) ; +#151 = CIRCLE ( 'NONE', #1065, 0.06650000000000010100 ) ; +#152 = ORIENTED_EDGE ( 'NONE', *, *, #141, .F. ) ; +#153 = ORIENTED_EDGE ( 'NONE', *, *, #263, .T. ) ; +#154 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#155 = ADVANCED_FACE ( 'NONE', ( #946, #204 ), #674, .T. ) ; +#156 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#157 = EDGE_CURVE ( 'NONE', #908, #489, #1197, .T. ) ; +#158 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#159 = ORIENTED_EDGE ( 'NONE', *, *, #198, .F. ) ; +#160 = CYLINDRICAL_SURFACE ( 'NONE', #521, 0.06650000000000004500 ) ; +#161 = LINE ( 'NONE', #125, #838 ) ; +#162 = ADVANCED_FACE ( 'NONE', ( #918 ), #128, .F. ) ; +#163 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, 0.0000000000000000000 ) ) ; +#164 = CIRCLE ( 'NONE', #1104, 0.005000000000000008800 ) ; +#165 = AXIS2_PLACEMENT_3D ( 'NONE', #336, #978, #430 ) ; +#166 = LINE ( 'NONE', #1044, #47 ) ; +#167 = ORIENTED_EDGE ( 'NONE', *, *, #412, .F. ) ; +#168 = ADVANCED_FACE ( 'NONE', ( #425, #457 ), #399, .T. ) ; +#169 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#170 = EDGE_LOOP ( 'NONE', ( #527 ) ) ; +#171 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#172 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02000000000000000000, -0.06600000000000025300 ) ) ; +#173 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04354999999999999800, -0.04753945729601884900 ) ) ; +#174 = CIRCLE ( 'NONE', #603, 0.01999999999999999000 ) ; +#175 = DIRECTION ( 'NONE', ( 0.8192319205190402600, 0.5734623443633284400, 0.0000000000000000000 ) ) ; +#176 = EDGE_CURVE ( 'NONE', #280, #97, #1133, .T. ) ; +#177 = LINE ( 'NONE', #696, #1105 ) ; +#178 = STYLED_ITEM ( 'NONE', ( #1073 ), #549 ) ; +#179 = ORIENTED_EDGE ( 'NONE', *, *, #724, .F. ) ; +#180 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#181 = ORIENTED_EDGE ( 'NONE', *, *, #391, .T. ) ; +#182 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#183 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#184 = ORIENTED_EDGE ( 'NONE', *, *, #1131, .T. ) ; +#185 = AXIS2_PLACEMENT_3D ( 'NONE', #9, #855, #302 ) ; +#186 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#187 = VECTOR ( 'NONE', #275, 39.37007874015747400 ) ; +#188 = FACE_OUTER_BOUND ( 'NONE', #319, .T. ) ; +#189 = LINE ( 'NONE', #344, #1151 ) ; +#190 = FACE_OUTER_BOUND ( 'NONE', #1121, .T. ) ; +#191 = EDGE_CURVE ( 'NONE', #281, #1099, #177, .T. ) ; +#192 = ORIENTED_EDGE ( 'NONE', *, *, #555, .F. ) ; +#193 = CARTESIAN_POINT ( 'NONE', ( 0.03900127313790632800, 0.03830089119653443800, -0.05487422338129682700 ) ) ; +#194 = EDGE_LOOP ( 'NONE', ( #159 ) ) ; +#195 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000001800, 0.02500000000000001200, 0.06342121096289482500 ) ) ; +#196 = PLANE ( 'NONE', #1075 ) ; +#197 = VERTEX_POINT ( 'NONE', #233 ) ; +#198 = EDGE_CURVE ( 'NONE', #40, #40, #647, .T. ) ; +#199 = VERTEX_POINT ( 'NONE', #766 ) ; +#200 = AXIS2_PLACEMENT_3D ( 'NONE', #827, #270, #922 ) ; +#201 = LINE ( 'NONE', #1074, #916 ) ; +#202 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, 0.0000000000000000000 ) ) ; +#203 = DIRECTION ( 'NONE', ( 0.8192319205190402600, 0.5734623443633284400, 0.0000000000000000000 ) ) ; +#204 = FACE_OUTER_BOUND ( 'NONE', #1155, .T. ) ; +#205 = CARTESIAN_POINT ( 'NONE', ( -0.07499999999999999700, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#206 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#207 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#208 = ORIENTED_EDGE ( 'NONE', *, *, #861, .F. ) ; +#209 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#210 = AXIS2_PLACEMENT_3D ( 'NONE', #34, #27, #38 ) ; +#211 = ORIENTED_EDGE ( 'NONE', *, *, #303, .T. ) ; +#212 = CARTESIAN_POINT ( 'NONE', ( -0.07499999999999999700, 0.02000000000000000000, 0.01999999999999999700 ) ) ; +#213 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649029800, 0.02000000000000000000, -0.05745333323392343200 ) ) ; +#214 = EDGE_LOOP ( 'NONE', ( #952, #167, #1156, #811, #266, #761, #1191, #152, #181, #931 ) ) ; +#215 = SURFACE_SIDE_STYLE ('',( #751 ) ) ; +#216 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, -0.03399999999999975300 ) ) ; +#217 = VECTOR ( 'NONE', #180, 39.37007874015748100 ) ; +#218 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000000, 0.02499999999999999800, 0.09853937007874022400 ) ) ; +#219 = EDGE_CURVE ( 'NONE', #1106, #620, #151, .T. ) ; +#220 = FACE_OUTER_BOUND ( 'NONE', #1060, .T. ) ; +#221 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#222 = LINE ( 'NONE', #706, #1184 ) ; +#223 = CARTESIAN_POINT ( 'NONE', ( 0.05000000000000000300, 0.6851803093024633200, -0.004996747797862659800 ) ) ; +#224 = ORIENTED_EDGE ( 'NONE', *, *, #1215, .F. ) ; +#225 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#226 = ORIENTED_EDGE ( 'NONE', *, *, #1109, .F. ) ; +#227 = DIRECTION ( 'NONE', ( -0.5734623443633285500, -0.8192319205190403700, 0.0000000000000000000 ) ) ; +#228 = AXIS2_PLACEMENT_3D ( 'NONE', #332, #1165, #525 ) ; +#229 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#230 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, -0.06342121096289478300 ) ) ; +#231 = ORIENTED_EDGE ( 'NONE', *, *, #555, .T. ) ; +#232 = VERTEX_POINT ( 'NONE', #1134 ) ; +#233 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04354999999999999800, 0.04753945729601890400 ) ) ; +#234 = CIRCLE ( 'NONE', #286, 0.06650000000000010100 ) ; +#235 = AXIS2_PLACEMENT_3D ( 'NONE', #140, #331, #974 ) ; +#236 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, 0.0000000000000000000 ) ) ; +#237 = VECTOR ( 'NONE', #477, 39.37007874015748100 ) ; +#238 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#239 = ORIENTED_EDGE ( 'NONE', *, *, #882, .F. ) ; +#240 = ORIENTED_EDGE ( 'NONE', *, *, #428, .F. ) ; +#241 = EDGE_CURVE ( 'NONE', #1207, #681, #617, .T. ) ; +#242 = CARTESIAN_POINT ( 'NONE', ( 0.04649999999999999300, 0.8000000000000000400, 0.04753945729601883500 ) ) ; +#243 = PRESENTATION_LAYER_ASSIGNMENT ( '', '', ( #178 ) ) ; +#244 = ORIENTED_EDGE ( 'NONE', *, *, #495, .T. ) ; +#245 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#246 = ADVANCED_FACE ( 'NONE', ( #856 ), #880, .F. ) ; +#247 = FACE_OUTER_BOUND ( 'NONE', #385, .T. ) ; +#248 = AXIS2_PLACEMENT_3D ( 'NONE', #213, #860, #309 ) ; +#249 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#250 = FACE_OUTER_BOUND ( 'NONE', #624, .T. ) ; +#251 = ADVANCED_FACE ( 'NONE', ( #1077 ), #1163, .T. ) ; +#252 = ORIENTED_EDGE ( 'NONE', *, *, #1003, .F. ) ; +#253 = VERTEX_POINT ( 'NONE', #423 ) ; +#254 = ORIENTED_EDGE ( 'NONE', *, *, #898, .F. ) ; +#255 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#256 = EDGE_CURVE ( 'NONE', #107, #830, #828, .T. ) ; +#257 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.6850000000000001600, 0.0000000000000000000 ) ) ; +#258 = ADVANCED_FACE ( 'NONE', ( #587, #340 ), #310, .T. ) ; +#259 = EDGE_LOOP ( 'NONE', ( #1119, #1201, #1170 ) ) ; +#260 = VERTEX_POINT ( 'NONE', #762 ) ; +#261 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#262 = FACE_OUTER_BOUND ( 'NONE', #294, .T. ) ; +#263 = EDGE_CURVE ( 'NONE', #550, #1088, #1051, .T. ) ; +#264 = AXIS2_PLACEMENT_3D ( 'NONE', #268, #1007, #1011 ) ; +#265 = VECTOR ( 'NONE', #944, 39.37007874015748100 ) ; +#266 = ORIENTED_EDGE ( 'NONE', *, *, #843, .T. ) ; +#267 = ORIENTED_EDGE ( 'NONE', *, *, #882, .T. ) ; +#268 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#269 = AXIS2_PLACEMENT_3D ( 'NONE', #44, #225, #1202 ) ; +#270 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#271 = ORIENTED_EDGE ( 'NONE', *, *, #495, .F. ) ; +#272 =( BOUNDED_CURVE ( ) B_SPLINE_CURVE ( 3, ( #349, #808, #67, #993 ), + .UNSPECIFIED., .F., .F. ) + B_SPLINE_CURVE_WITH_KNOTS ( ( 4, 4 ), + ( 1.876277262688480900, 2.345141523745443400 ), + .UNSPECIFIED. ) + CURVE ( ) GEOMETRIC_REPRESENTATION_ITEM ( ) RATIONAL_B_SPLINE_CURVE ( ( 1.000000000000000000, 0.9817642726283539700, 0.9817642726283539700, 1.000000000000000000 ) ) + REPRESENTATION_ITEM ( '' ) ); +#273 = VECTOR ( 'NONE', #1101, 39.37007874015748100 ) ; +#274 = FACE_OUTER_BOUND ( 'NONE', #790, .T. ) ; +#275 = DIRECTION ( 'NONE', ( 0.8190565693624944900, -0.5733395985537465000, -0.02068914967730959800 ) ) ; +#276 = EDGE_CURVE ( 'NONE', #877, #964, #371, .T. ) ; +#277 = LINE ( 'NONE', #122, #25 ) ; +#278 = ORIENTED_EDGE ( 'NONE', *, *, #368, .F. ) ; +#279 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#280 = VERTEX_POINT ( 'NONE', #1166 ) ; +#281 = VERTEX_POINT ( 'NONE', #524 ) ; +#282 = CARTESIAN_POINT ( 'NONE', ( 0.008139410298048765900, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#283 = FILL_AREA_STYLE_COLOUR ( '', #471 ) ; +#284 = EDGE_CURVE ( 'NONE', #991, #1053, #277, .T. ) ; +#285 = ORIENTED_EDGE ( 'NONE', *, *, #1090, .T. ) ; +#286 = AXIS2_PLACEMENT_3D ( 'NONE', #154, #799, #245 ) ; +#287 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, 0.04753945729601884900 ) ) ; +#288 = CIRCLE ( 'NONE', #930, 0.06650000000000010100 ) ; +#289 = CARTESIAN_POINT ( 'NONE', ( 0.03000386553242791200, 0.03200270587269953700, 0.06026647286169999800 ) ) ; +#290 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#291 = PLANE ( 'NONE', #829 ) ; +#292 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.8000000000000000400, 0.0000000000000000000 ) ) ; +#293 = FACE_OUTER_BOUND ( 'NONE', #170, .T. ) ; +#294 = EDGE_LOOP ( 'NONE', ( #1037, #377, #474, #445 ) ) ; +#295 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.9993495595725299100, -0.03606186049263662700 ) ) ; +#296 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649029800, 0.02000000000000000000, -0.05745333323392339700 ) ) ; +#297 = ORIENTED_EDGE ( 'NONE', *, *, #945, .F. ) ; +#298 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#299 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#300 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#301 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#302 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#303 = EDGE_CURVE ( 'NONE', #908, #93, #62, .T. ) ; +#304 = ORIENTED_EDGE ( 'NONE', *, *, #284, .F. ) ; +#305 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #178 ), #369 ) ; +#306 = FACE_BOUND ( 'NONE', #447, .T. ) ; +#307 = PLANE ( 'NONE', #376 ) ; +#308 = VECTOR ( 'NONE', #182, 39.37007874015748100 ) ; +#309 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#310 = CYLINDRICAL_SURFACE ( 'NONE', #487, 0.09850000000000006000 ) ; +#311 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( $, .METRE. ) ); +#312 = ORIENTED_EDGE ( 'NONE', *, *, #1131, .F. ) ; +#313 = AXIS2_PLACEMENT_3D ( 'NONE', #209, #494, #1137 ) ; +#314 = ORIENTED_EDGE ( 'NONE', *, *, #78, .F. ) ; +#315 = VERTEX_POINT ( 'NONE', #885 ) ; +#316 =( BOUNDED_CURVE ( ) B_SPLINE_CURVE ( 3, ( #1112, #18, #480, #442 ), + .UNSPECIFIED., .F., .F. ) + B_SPLINE_CURVE_WITH_KNOTS ( ( 4, 4 ), + ( 3.938043783434144200, 4.406908044491105500 ), + .UNSPECIFIED. ) + CURVE ( ) GEOMETRIC_REPRESENTATION_ITEM ( ) RATIONAL_B_SPLINE_CURVE ( ( 1.000000000000000000, 0.9817642726283542000, 0.9817642726283542000, 1.000000000000000000 ) ) + REPRESENTATION_ITEM ( '' ) ); +#317 = EDGE_LOOP ( 'NONE', ( #1143 ) ) ; +#318 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#319 = EDGE_LOOP ( 'NONE', ( #537 ) ) ; +#320 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#321 = CIRCLE ( 'NONE', #825, 0.06650000000000010100 ) ; +#322 = PLANE ( 'NONE', #1185 ) ; +#323 = EDGE_CURVE ( 'NONE', #514, #514, #558, .T. ) ; +#324 = LINE ( 'NONE', #689, #599 ) ; +#325 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#326 = ORIENTED_EDGE ( 'NONE', *, *, #637, .T. ) ; +#327 = EDGE_CURVE ( 'NONE', #1052, #830, #504, .T. ) ; +#328 = EDGE_LOOP ( 'NONE', ( #239, #507 ) ) ; +#329 = CARTESIAN_POINT ( 'NONE', ( 0.07499999999999999700, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#330 = AXIS2_PLACEMENT_3D ( 'NONE', #91, #739, #183 ) ; +#331 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#332 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#333 = ORIENTED_EDGE ( 'NONE', *, *, #816, .F. ) ; +#334 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#335 = VECTOR ( 'NONE', #639, 39.37007874015748100 ) ; +#336 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#337 = VECTOR ( 'NONE', #84, 39.37007874015748100 ) ; +#338 = ADVANCED_FACE ( 'NONE', ( #986 ), #432, .T. ) ; +#339 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#340 = FACE_OUTER_BOUND ( 'NONE', #317, .T. ) ; +#341 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, -0.07215966241192899000, -0.04753945729601884900 ) ) ; +#342 = ORIENTED_EDGE ( 'NONE', *, *, #715, .T. ) ; +#343 = ORIENTED_EDGE ( 'NONE', *, *, #323, .T. ) ; +#344 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, -0.07215966241192899000, -0.04753945729601884900 ) ) ; +#345 = ORIENTED_EDGE ( 'NONE', *, *, #241, .F. ) ; +#346 = EDGE_CURVE ( 'NONE', #620, #848, #743, .T. ) ; +#347 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#348 = ADVANCED_FACE ( 'NONE', ( #958 ), #622, .T. ) ; +#349 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, -0.06342121096289478300 ) ) ; +#350 = EDGE_LOOP ( 'NONE', ( #1199, #543, #640, #1097, #433, #33, #1213, #304 ) ) ; +#351 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#352 = VECTOR ( 'NONE', #1116, 39.37007874015748100 ) ; +#353 = LINE ( 'NONE', #1064, #546 ) ; +#354 = EDGE_CURVE ( 'NONE', #116, #97, #470, .T. ) ; +#355 = ADVANCED_FACE ( 'NONE', ( #250 ), #687, .F. ) ; +#356 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#357 = AXIS2_PLACEMENT_3D ( 'NONE', #158, #805, #249 ) ; +#358 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#359 = LENGTH_MEASURE_WITH_UNIT ( LENGTH_MEASURE( 0.02539999999999999900 ), #106 ); +#360 = VERTEX_POINT ( 'NONE', #780 ) ; +#361 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, -0.03399999999999975300 ) ) ; +#362 = DIMENSIONAL_EXPONENTS ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ; +#363 = ORIENTED_EDGE ( 'NONE', *, *, #24, .F. ) ; +#364 = AXIS2_PLACEMENT_3D ( 'NONE', #236, #503, #1145 ) ; +#365 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#366 = PLANE ( 'NONE', #264 ) ; +#367 = VECTOR ( 'NONE', #722, 39.37007874015748100 ) ; +#368 = EDGE_CURVE ( 'NONE', #1039, #522, #1182, .T. ) ; +#369 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1010 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #954, #404, #1054 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#370 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000700, 0.02500000000000000500, -0.02881957334074344200 ) ) ; +#371 = LINE ( 'NONE', #216, #1017 ) ; +#372 = ORIENTED_EDGE ( 'NONE', *, *, #1159, .T. ) ; +#373 = ORIENTED_EDGE ( 'NONE', *, *, #575, .F. ) ; +#374 = VERTEX_POINT ( 'NONE', #801 ) ; +#375 = AXIS2_PLACEMENT_3D ( 'NONE', #1049, #501, #1141 ) ; +#376 = AXIS2_PLACEMENT_3D ( 'NONE', #938, #594, #28 ) ; +#377 = ORIENTED_EDGE ( 'NONE', *, *, #1050, .T. ) ; +#378 = VECTOR ( 'NONE', #1113, 39.37007874015748100 ) ; +#379 = CIRCLE ( 'NONE', #437, 0.01999999999999999700 ) ; +#380 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649032600, 0.0000000000000000000, 0.05745333323392343200 ) ) ; +#381 = SURFACE_SIDE_STYLE ('',( #875 ) ) ; +#382 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.09853937007874022400 ) ) ; +#383 = EDGE_CURVE ( 'NONE', #374, #904, #929, .T. ) ; +#384 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, -0.02881957334074344200 ) ) ; +#385 = EDGE_LOOP ( 'NONE', ( #990, #960, #1171, #889, #1161 ) ) ; +#386 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000001400, 0.6851803093024633200, 0.004996747797862659800 ) ) ; +#387 = ORIENTED_EDGE ( 'NONE', *, *, #191, .T. ) ; +#388 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#389 =( CONVERSION_BASED_UNIT ( 'INCH', #359 ) LENGTH_UNIT ( ) NAMED_UNIT ( #910 ) ); +#390 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#391 = EDGE_CURVE ( 'NONE', #894, #1052, #189, .T. ) ; +#392 = DIRECTION ( 'NONE', ( -0.8190565693624946000, -0.5733395985537463900, 0.02068914967730959400 ) ) ; +#393 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#394 = FACE_OUTER_BOUND ( 'NONE', #350, .T. ) ; +#395 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649032600, 0.02000000000000000000, 0.05745333323392339700 ) ) ; +#396 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.8000000000000000400, -0.09850000000000000400 ) ) ; +#397 = CARTESIAN_POINT ( 'NONE', ( -0.008139410298048755500, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#398 = MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION ( '', ( #46 ), #461 ) ; +#399 = CYLINDRICAL_SURFACE ( 'NONE', #45, 0.01999999999999999700 ) ; +#400 = EDGE_LOOP ( 'NONE', ( #224 ) ) ; +#401 =( LENGTH_UNIT ( ) NAMED_UNIT ( * ) SI_UNIT ( $, .METRE. ) ); +#402 = VERTEX_POINT ( 'NONE', #536 ) ; +#403 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#404 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#405 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#406 = EDGE_CURVE ( 'NONE', #253, #1107, #657, .T. ) ; +#407 = ORIENTED_EDGE ( 'NONE', *, *, #596, .F. ) ; +#408 = FACE_OUTER_BOUND ( 'NONE', #32, .T. ) ; +#409 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#410 = CYLINDRICAL_SURFACE ( 'NONE', #126, 0.01999999999999999700 ) ; +#411 = VECTOR ( 'NONE', #714, 39.37007874015748100 ) ; +#412 = EDGE_CURVE ( 'NONE', #777, #1211, #272, .T. ) ; +#413 = FACE_OUTER_BOUND ( 'NONE', #523, .T. ) ; +#414 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#415 = EDGE_LOOP ( 'NONE', ( #79 ) ) ; +#416 = ORIENTED_EDGE ( 'NONE', *, *, #923, .T. ) ; +#417 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#418 =( BOUNDED_CURVE ( ) B_SPLINE_CURVE ( 3, ( #195, #289, #1032, #479 ), + .UNSPECIFIED., .F., .F. ) + B_SPLINE_CURVE_WITH_KNOTS ( ( 4, 4 ), + ( 1.876277262688480900, 2.345141523745442900 ), + .UNSPECIFIED. ) + CURVE ( ) GEOMETRIC_REPRESENTATION_ITEM ( ) RATIONAL_B_SPLINE_CURVE ( ( 1.000000000000000000, 0.9817642726283539700, 0.9817642726283539700, 1.000000000000000000 ) ) + REPRESENTATION_ITEM ( '' ) ); +#419 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649029800, 0.0000000000000000000, -0.05745333323392343200 ) ) ; +#420 = AXIS2_PLACEMENT_3D ( 'NONE', #439, #988, #891 ) ; +#421 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#422 = VECTOR ( 'NONE', #58, 39.37007874015748100 ) ; +#423 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.6851803093024633200, -0.004996747797862659800 ) ) ; +#424 = PLANE ( 'NONE', #228 ) ; +#425 = FACE_OUTER_BOUND ( 'NONE', #564, .T. ) ; +#426 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02000000000000000000, -0.06600000000000025300 ) ) ; +#427 = ORIENTED_EDGE ( 'NONE', *, *, #1200, .T. ) ; +#428 = EDGE_CURVE ( 'NONE', #1020, #280, #901, .T. ) ; +#429 = EDGE_LOOP ( 'NONE', ( #866, #721, #935, #271 ) ) ; +#430 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#431 = ADVANCED_FACE ( 'NONE', ( #634 ), #720, .T. ) ; +#432 = PLANE ( 'NONE', #609 ) ; +#433 = ORIENTED_EDGE ( 'NONE', *, *, #94, .T. ) ; +#434 = ADVANCED_FACE ( 'NONE', ( #874 ), #1095, .T. ) ; +#435 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#436 = LINE ( 'NONE', #1206, #187 ) ; +#437 = AXIS2_PLACEMENT_3D ( 'NONE', #686, #129, #778 ) ; +#438 = CIRCLE ( 'NONE', #1082, 0.06650000000000010100 ) ; +#439 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649032600, 0.02000000000000000000, 0.05745333323392339700 ) ) ; +#440 = VECTOR ( 'NONE', #61, 39.37007874015748100 ) ; +#441 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 0.0000000000000000000 ) ) ; +#442 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.06342121096289478300 ) ) ; +#443 = ADVANCED_FACE ( 'NONE', ( #1117 ), #1190, .F. ) ; +#444 = VECTOR ( 'NONE', #615, 39.37007874015748100 ) ; +#445 = ORIENTED_EDGE ( 'NONE', *, *, #412, .T. ) ; +#446 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#447 = EDGE_LOOP ( 'NONE', ( #765 ) ) ; +#448 = EDGE_CURVE ( 'NONE', #571, #1107, #164, .T. ) ; +#449 = CARTESIAN_POINT ( 'NONE', ( 0.02931080172556826600, -0.009517561207897810800, 0.03006515099162839300 ) ) ; +#450 = ORIENTED_EDGE ( 'NONE', *, *, #843, .F. ) ; +#451 = PLANE ( 'NONE', #1100 ) ; +#452 = VERTEX_POINT ( 'NONE', #117 ) ; +#453 = CIRCLE ( 'NONE', #357, 0.06650000000000010100 ) ; +#454 = EDGE_CURVE ( 'NONE', #260, #260, #136, .T. ) ; +#455 = AXIS2_PLACEMENT_3D ( 'NONE', #380, #1025, #473 ) ; +#456 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#457 = FACE_OUTER_BOUND ( 'NONE', #1138, .T. ) ; +#458 = ORIENTED_EDGE ( 'NONE', *, *, #679, .F. ) ; +#459 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000001800, 0.02499999999999999800, 0.02881957334074345900 ) ) ; +#460 = ORIENTED_EDGE ( 'NONE', *, *, #6, .F. ) ; +#461 =( GEOMETRIC_REPRESENTATION_CONTEXT ( 3 ) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT ( ( #1195 ) ) GLOBAL_UNIT_ASSIGNED_CONTEXT ( ( #389, #1035, #485 ) ) REPRESENTATION_CONTEXT ( 'NONE', 'WORKASPACE' ) ); +#462 = AXIS2_PLACEMENT_3D ( 'NONE', #1012, #803, #625 ) ; +#463 = DIRECTION ( 'NONE', ( 0.8190565693624946000, 0.5733395985537463900, 0.02068914967730959400 ) ) ; +#464 = ORIENTED_EDGE ( 'NONE', *, *, #368, .T. ) ; +#465 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#466 = FACE_OUTER_BOUND ( 'NONE', #415, .T. ) ; +#467 = LINE ( 'NONE', #108, #502 ) ; +#468 = EDGE_CURVE ( 'NONE', #1088, #964, #841, .T. ) ; +#469 = PLANE ( 'NONE', #644 ) ; +#470 = LINE ( 'NONE', #318, #1210 ) ; +#471 = COLOUR_RGB ( '',0.8431372549019607900, 0.8156862745098039100, 0.7529411764705882200 ) ; +#472 = ORIENTED_EDGE ( 'NONE', *, *, #78, .T. ) ; +#473 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#474 = ORIENTED_EDGE ( 'NONE', *, *, #1072, .T. ) ; +#475 = EDGE_CURVE ( 'NONE', #3, #3, #1046, .T. ) ; +#476 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#477 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#478 = EDGE_LOOP ( 'NONE', ( #231, #573, #789 ) ) ; +#479 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04354999999999999800, 0.04753945729601884900 ) ) ; +#480 = CARTESIAN_POINT ( 'NONE', ( -0.03000386553242789800, 0.03200270587269951600, 0.06026647286169999100 ) ) ; +#481 = VERTEX_POINT ( 'NONE', #492 ) ; +#482 = FACE_OUTER_BOUND ( 'NONE', #1135, .T. ) ; +#483 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#484 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#485 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#486 = DIRECTION ( 'NONE', ( 1.333910153156357900E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#487 = AXIS2_PLACEMENT_3D ( 'NONE', #499, #951, #405 ) ; +#488 = VECTOR ( 'NONE', #103, 39.37007874015748100 ) ; +#489 = VERTEX_POINT ( 'NONE', #35 ) ; +#490 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#491 = ORIENTED_EDGE ( 'NONE', *, *, #736, .F. ) ; +#492 = CARTESIAN_POINT ( 'NONE', ( -0.07499999999999999700, 0.0000000000000000000, 0.01999999999999999700 ) ) ; +#493 = DIRECTION ( 'NONE', ( -1.333910153156357900E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#494 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#495 = EDGE_CURVE ( 'NONE', #1207, #571, #796, .T. ) ; +#496 =( BOUNDED_CURVE ( ) B_SPLINE_CURVE ( 3, ( #173, #193, #118, #822 ), + .UNSPECIFIED., .F., .T. ) + B_SPLINE_CURVE_WITH_KNOTS ( ( 4, 4 ), + ( 3.938043783434143700, 4.406908044491105500 ), + .UNSPECIFIED. ) + CURVE ( ) GEOMETRIC_REPRESENTATION_ITEM ( ) RATIONAL_B_SPLINE_CURVE ( ( 1.000000000000000000, 0.9817642726283539700, 0.9817642726283539700, 1.000000000000000000 ) ) + REPRESENTATION_ITEM ( '' ) ); +#497 = ORIENTED_EDGE ( 'NONE', *, *, #779, .F. ) ; +#498 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#499 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#500 = FACE_OUTER_BOUND ( 'NONE', #989, .T. ) ; +#501 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#502 = VECTOR ( 'NONE', #849, 39.37007874015748100 ) ; +#503 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#504 = LINE ( 'NONE', #628, #534 ) ; +#505 = EDGE_LOOP ( 'NONE', ( #755 ) ) ; +#506 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#507 = ORIENTED_EDGE ( 'NONE', *, *, #736, .T. ) ; +#508 = ORIENTED_EDGE ( 'NONE', *, *, #71, .F. ) ; +#509 = DIRECTION ( 'NONE', ( -1.333910153156357900E-016, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#511 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#510 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#512 = EDGE_CURVE ( 'NONE', #360, #54, #90, .T. ) ; +#513 = ORIENTED_EDGE ( 'NONE', *, *, #475, .T. ) ; +#514 = VERTEX_POINT ( 'NONE', #112 ) ; +#515 = VECTOR ( 'NONE', #969, 39.37007874015748100 ) ; +#516 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#517 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#518 = EDGE_CURVE ( 'NONE', #1088, #315, #59, .T. ) ; +#519 = FACE_OUTER_BOUND ( 'NONE', #966, .T. ) ; +#520 = DIRECTION ( 'NONE', ( 0.8192319205190402600, -0.5734623443633284400, 0.0000000000000000000 ) ) ; +#521 = AXIS2_PLACEMENT_3D ( 'NONE', #465, #1110, #560 ) ; +#522 = VERTEX_POINT ( 'NONE', #12 ) ; +#523 = EDGE_LOOP ( 'NONE', ( #450, #372 ) ) ; +#524 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#525 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#526 = ADVANCED_FACE ( 'NONE', ( #274 ), #31, .T. ) ; +#527 = ORIENTED_EDGE ( 'NONE', *, *, #1215, .T. ) ; +#528 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#529 = FACE_BOUND ( 'NONE', #648, .T. ) ; +#530 = FACE_OUTER_BOUND ( 'NONE', #650, .T. ) ; +#531 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#532 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#533 = ADVANCED_FACE ( 'NONE', ( #530 ), #1204, .T. ) ; +#534 = VECTOR ( 'NONE', #339, 39.37007874015748100 ) ; +#535 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #1047, 'distance_accuracy_value', 'NONE'); +#536 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04355000000000000500, -0.02815019043490161700 ) ) ; +#537 = ORIENTED_EDGE ( 'NONE', *, *, #1009, .F. ) ; +#538 = EDGE_CURVE ( 'NONE', #197, #848, #316, .T. ) ; +#539 = ORIENTED_EDGE ( 'NONE', *, *, #256, .F. ) ; +#540 = EDGE_LOOP ( 'NONE', ( #905, #497, #592, #208, #123 ) ) ; +#541 = ADVANCED_FACE ( 'NONE', ( #556 ), #291, .T. ) ; +#542 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#543 = ORIENTED_EDGE ( 'NONE', *, *, #135, .T. ) ; +#544 = EDGE_CURVE ( 'NONE', #848, #1022, #741, .T. ) ; +#545 = AXIS2_PLACEMENT_3D ( 'NONE', #1084, #895, #347 ) ; +#546 = VECTOR ( 'NONE', #414, 39.37007874015748100 ) ; +#547 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#548 = FACE_OUTER_BOUND ( 'NONE', #619, .T. ) ; +#549 = ADVANCED_BREP_SHAPE_REPRESENTATION ( '7379', ( #60, #557 ), #53 ) ; +#550 = VERTEX_POINT ( 'NONE', #824 ) ; +#551 = AXIS2_PLACEMENT_3D ( 'NONE', #1062, #511, #872 ) ; +#552 = ORIENTED_EDGE ( 'NONE', *, *, #276, .T. ) ; +#553 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000000, 0.02499999999999999800, 0.09853937007874022400 ) ) ; +#554 = FACE_BOUND ( 'NONE', #678, .T. ) ; +#555 = EDGE_CURVE ( 'NONE', #925, #1183, #983, .T. ) ; +#556 = FACE_OUTER_BOUND ( 'NONE', #1085, .T. ) ; +#557 = AXIS2_PLACEMENT_3D ( 'NONE', #1040, #1144, #593 ) ; +#558 = CIRCLE ( 'NONE', #420, 0.01999999999999999000 ) ; +#559 = VERTEX_POINT ( 'NONE', #104 ) ; +#560 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#561 = VERTEX_POINT ( 'NONE', #842 ) ; +#562 = ORIENTED_EDGE ( 'NONE', *, *, #115, .T. ) ; +#563 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, 0.06600000000000025300 ) ) ; +#564 = EDGE_LOOP ( 'NONE', ( #513 ) ) ; +#565 = FACE_OUTER_BOUND ( 'NONE', #259, .T. ) ; +#566 = LINE ( 'NONE', #786, #42 ) ; +#567 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#568 = EDGE_CURVE ( 'NONE', #374, #107, #418, .T. ) ; +#569 = PLANE ( 'NONE', #884 ) ; +#570 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04354999999999999800, 0.04753945729601884900 ) ) ; +#571 = VERTEX_POINT ( 'NONE', #386 ) ; +#572 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#573 = ORIENTED_EDGE ( 'NONE', *, *, #861, .T. ) ; +#574 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000000, 0.02499999999999999800, 0.09853937007874022400 ) ) ; +#575 = EDGE_CURVE ( 'NONE', #968, #968, #1209, .T. ) ; +#576 = AXIS2_PLACEMENT_3D ( 'NONE', #834, #651, #186 ) ; +#577 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, -0.04753945729601884900 ) ) ; +#578 = PLANE ( 'NONE', #649 ) ; +#579 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#580 = FACE_BOUND ( 'NONE', #400, .T. ) ; +#581 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02000000000000000000, -0.09850000000000006000 ) ) ; +#582 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, -0.06928145274709866800, -0.03222175085054622400 ) ) ; +#583 = VECTOR ( 'NONE', #207, 39.37007874015748100 ) ; +#584 = ORIENTED_EDGE ( 'NONE', *, *, #700, .F. ) ; +#585 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#586 = AXIS2_PLACEMENT_3D ( 'NONE', #1004, #169, #510 ) ; +#587 = FACE_OUTER_BOUND ( 'NONE', #758, .T. ) ; +#588 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#589 = VERTEX_POINT ( 'NONE', #1118 ) ; +#590 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#591 = EDGE_LOOP ( 'NONE', ( #862, #802, #1154, #491, #703, #998 ) ) ; +#592 = ORIENTED_EDGE ( 'NONE', *, *, #923, .F. ) ; +#593 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#594 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, 1.333910153156357900E-016 ) ) ; +#595 = PLANE ( 'NONE', #745 ) ; +#596 = EDGE_CURVE ( 'NONE', #50, #877, #712, .T. ) ; +#597 = ORIENTED_EDGE ( 'NONE', *, *, #263, .F. ) ; +#598 = LINE ( 'NONE', #1216, #837 ) ; +#599 = VECTOR ( 'NONE', #134, 39.37007874015748100 ) ; +#600 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649032600, 0.02000000000000000000, 0.05745333323392343200 ) ) ; +#601 = EDGE_CURVE ( 'NONE', #894, #402, #467, .T. ) ; +#602 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#603 = AXIS2_PLACEMENT_3D ( 'NONE', #899, #351, #996 ) ; +#604 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02000000000000000000, 0.06600000000000025300 ) ) ; +#605 = ORIENTED_EDGE ( 'NONE', *, *, #575, .T. ) ; +#606 = ORIENTED_EDGE ( 'NONE', *, *, #428, .T. ) ; +#607 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, 0.02815019043490162000 ) ) ; +#608 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, -0.07270794512114446600, 0.03234539696494966700 ) ) ; +#609 = AXIS2_PLACEMENT_3D ( 'NONE', #792, #1080, #531 ) ; +#610 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000000400, 0.8000000000000000400, 0.0000000000000000000 ) ) ; +#611 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#612 = LINE ( 'NONE', #542, #367 ) ; +#613 = ADVANCED_FACE ( 'NONE', ( #220 ), #670, .F. ) ; +#614 = ORIENTED_EDGE ( 'NONE', *, *, #945, .T. ) ; +#615 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#616 = EDGE_CURVE ( 'NONE', #559, #522, #438, .T. ) ; +#617 = LINE ( 'NONE', #608, #119 ) ; +#618 = PRODUCT_DEFINITION ( 'UNKNOWN', '', #680, #671 ) ; +#619 = EDGE_LOOP ( 'NONE', ( #584 ) ) ; +#620 = VERTEX_POINT ( 'NONE', #1038 ) ; +#621 = ADVANCED_FACE ( 'NONE', ( #1148, #188 ), #410, .T. ) ; +#622 = PLANE ( 'NONE', #791 ) ; +#623 = DIRECTION ( 'NONE', ( -1.333910153156357900E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#624 = EDGE_LOOP ( 'NONE', ( #72, #11, #267, #940, #859, #890, #888, #1212, #539, #1056 ) ) ; +#625 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#626 = AXIS2_PLACEMENT_3D ( 'NONE', #1147, #221, #869 ) ; +#627 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, -0.07215966241192899000, 0.04753945729601884900 ) ) ; +#628 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.8000000000000000400, 0.04753945729601884900 ) ) ; +#629 = ADVANCED_FACE ( 'NONE', ( #927 ), #764, .F. ) ; +#630 = CYLINDRICAL_SURFACE ( 'NONE', #576, 0.01999999999999999000 ) ; +#631 = VECTOR ( 'NONE', #768, 39.37007874015748100 ) ; +#632 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02000000000000000000, 0.03399999999999975300 ) ) ; +#633 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#634 = FACE_OUTER_BOUND ( 'NONE', #328, .T. ) ; +#635 = ADVANCED_FACE ( 'NONE', ( #685 ), #673, .T. ) ; +#636 = PRESENTATION_STYLE_ASSIGNMENT (( #664 ) ) ; +#637 = EDGE_CURVE ( 'NONE', #728, #728, #655, .T. ) ; +#638 = ORIENTED_EDGE ( 'NONE', *, *, #71, .T. ) ; +#639 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#640 = ORIENTED_EDGE ( 'NONE', *, *, #798, .T. ) ; +#641 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#642 = DIMENSIONAL_EXPONENTS ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ; +#643 = EDGE_CURVE ( 'NONE', #1053, #1052, #1115, .T. ) ; +#644 = AXIS2_PLACEMENT_3D ( 'NONE', #279, #656, #98 ) ; +#645 = CIRCLE ( 'NONE', #911, 0.01999999999999999700 ) ; +#646 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#647 = CIRCLE ( 'NONE', #1192, 0.01999999999999999700 ) ; +#648 = EDGE_LOOP ( 'NONE', ( #1096 ) ) ; +#649 = AXIS2_PLACEMENT_3D ( 'NONE', #202, #13, #672 ) ; +#650 = EDGE_LOOP ( 'NONE', ( #137, #68, #1176, #638, #1124, #1026, #732, #14 ) ) ; +#651 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#652 = ORIENTED_EDGE ( 'NONE', *, *, #1090, .F. ) ; +#653 = FACE_OUTER_BOUND ( 'NONE', #214, .T. ) ; +#654 = EDGE_CURVE ( 'NONE', #620, #360, #897, .T. ) ; +#655 = CIRCLE ( 'NONE', #248, 0.01999999999999999700 ) ; +#656 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#657 = LINE ( 'NONE', #223, #411 ) ; +#658 = FACE_OUTER_BOUND ( 'NONE', #695, .T. ) ; +#659 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#660 = ORIENTED_EDGE ( 'NONE', *, *, #798, .F. ) ; +#661 = EDGE_CURVE ( 'NONE', #1106, #116, #871, .T. ) ; +#662 = EDGE_LOOP ( 'NONE', ( #749, #363, #819, #407 ) ) ; +#663 = AXIS2_PLACEMENT_3D ( 'NONE', #711, #1177, #1181 ) ; +#664 = SURFACE_STYLE_USAGE ( .BOTH. , #381 ) ; +#665 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02000000000000000000, -0.03399999999999975300 ) ) ; +#666 = ORIENTED_EDGE ( 'NONE', *, *, #406, .F. ) ; +#667 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.6851803093024633200, 0.004996747797862659800 ) ) ; +#668 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#669 = FACE_OUTER_BOUND ( 'NONE', #1111, .T. ) ; +#670 = PLANE ( 'NONE', #1142 ) ; +#671 = PRODUCT_DEFINITION_CONTEXT ( 'detailed design', #683, 'design' ) ; +#672 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#673 = PLANE ( 'NONE', #313 ) ; +#674 = CYLINDRICAL_SURFACE ( 'NONE', #1002, 0.01999999999999999700 ) ; +#675 = ORIENTED_EDGE ( 'NONE', *, *, #454, .T. ) ; +#676 = CARTESIAN_POINT ( 'NONE', ( 0.07499999999999999700, 0.02000000000000000000, -0.01999999999999999700 ) ) ; +#677 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#678 = EDGE_LOOP ( 'NONE', ( #254 ) ) ; +#679 = EDGE_CURVE ( 'NONE', #54, #1020, #161, .T. ) ; +#680 = PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE ( 'ANY', '', #139, .NOT_KNOWN. ) ; +#681 = VERTEX_POINT ( 'NONE', #772 ) ; +#682 = CIRCLE ( 'NONE', #455, 0.01999999999999999700 ) ; +#683 = APPLICATION_CONTEXT ( 'automotive_design' ) ; +#684 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#685 = FACE_OUTER_BOUND ( 'NONE', #807, .T. ) ; +#686 = CARTESIAN_POINT ( 'NONE', ( -0.07499999999999999700, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#687 = CYLINDRICAL_SURFACE ( 'NONE', #917, 0.06650000000000004500 ) ; +#688 = LINE ( 'NONE', #56, #444 ) ; +#689 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, -0.06928145274709866800, -0.03222175085054622400 ) ) ; +#690 = EDGE_LOOP ( 'NONE', ( #184, #994, #963, #333, #919 ) ) ; +#691 = ORIENTED_EDGE ( 'NONE', *, *, #1050, .F. ) ; +#692 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#693 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#694 = EDGE_CURVE ( 'NONE', #374, #561, #1092, .T. ) ; +#695 = EDGE_LOOP ( 'NONE', ( #1187 ) ) ; +#696 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#697 = VERTEX_POINT ( 'NONE', #676 ) ; +#698 = LINE ( 'NONE', #705, #1196 ) ; +#699 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#700 = EDGE_CURVE ( 'NONE', #481, #481, #379, .T. ) ; +#701 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, -0.07270794512114446600, 0.03234539696494966700 ) ) ; +#702 = AXIS2_PLACEMENT_3D ( 'NONE', #1030, #476, #1122 ) ; +#703 = ORIENTED_EDGE ( 'NONE', *, *, #191, .F. ) ; +#704 = ORIENTED_EDGE ( 'NONE', *, *, #700, .T. ) ; +#705 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#706 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, 0.04355000000000000500, 0.04753945729601884900 ) ) ; +#707 = ADVANCED_FACE ( 'NONE', ( #1063 ), #957, .T. ) ; +#708 = EDGE_LOOP ( 'NONE', ( #153, #818, #718, #746, #562, #464, #876, #416 ) ) ; +#709 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#710 = LINE ( 'NONE', #1205, #19 ) ; +#711 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#712 = LINE ( 'NONE', #665, #1179 ) ; +#713 = ADVANCED_FACE ( 'NONE', ( #815 ), #595, .F. ) ; +#714 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#715 = EDGE_CURVE ( 'NONE', #402, #253, #324, .T. ) ; +#716 = VECTOR ( 'NONE', #623, 39.37007874015748100 ) ; +#717 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06454649486997729400 ) ) ; +#718 = ORIENTED_EDGE ( 'NONE', *, *, #760, .F. ) ; +#719 = ORIENTED_EDGE ( 'NONE', *, *, #284, .T. ) ; +#720 = PLANE ( 'NONE', #1168 ) ; +#721 = ORIENTED_EDGE ( 'NONE', *, *, #406, .T. ) ; +#722 = DIRECTION ( 'NONE', ( 1.333910153156357900E-016, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#723 = ADVANCED_FACE ( 'NONE', ( #75 ), #1149, .F. ) ; +#724 = EDGE_CURVE ( 'NONE', #315, #93, #1029, .T. ) ; +#725 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.9993495595725299100, -0.03606186049263662700 ) ) ; +#726 = ORIENTED_EDGE ( 'NONE', *, *, #694, .F. ) ; +#727 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#728 = VERTEX_POINT ( 'NONE', #1043 ) ; +#729 = LINE ( 'NONE', #701, #1001 ) ; +#730 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#731 = VECTOR ( 'NONE', #1123, 39.37007874015748100 ) ; +#732 = ORIENTED_EDGE ( 'NONE', *, *, #1003, .T. ) ; +#733 = DIRECTION ( 'NONE', ( 0.5734623443633285500, -0.8192319205190403700, 0.0000000000000000000 ) ) ; +#734 = ORIENTED_EDGE ( 'NONE', *, *, #241, .T. ) ; +#735 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02000000000000000000, 0.03399999999999975300 ) ) ; +#736 = EDGE_CURVE ( 'NONE', #1099, #1106, #353, .T. ) ; +#737 = EDGE_LOOP ( 'NONE', ( #950 ) ) ; +#738 = PRODUCT_RELATED_PRODUCT_CATEGORY ( 'part', '', ( #139 ) ) ; +#739 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#740 = FACE_OUTER_BOUND ( 'NONE', #744, .T. ) ; +#741 = LINE ( 'NONE', #382, #22 ) ; +#742 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000001800, 0.02499999999999999800, -0.02881957334074342400 ) ) ; +#743 = CIRCLE ( 'NONE', #551, 0.06650000000000010100 ) ; +#744 = EDGE_LOOP ( 'NONE', ( #460, #427, #726, #820 ) ) ; +#745 = AXIS2_PLACEMENT_3D ( 'NONE', #865, #1059, #509 ) ; +#746 = ORIENTED_EDGE ( 'NONE', *, *, #1072, .F. ) ; +#747 = CARTESIAN_POINT ( 'NONE', ( -0.008139410298048755500, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#748 = ORIENTED_EDGE ( 'NONE', *, *, #1150, .T. ) ; +#749 = ORIENTED_EDGE ( 'NONE', *, *, #981, .F. ) ; +#750 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#751 = SURFACE_STYLE_FILL_AREA ( #771 ) ; +#752 = EDGE_CURVE ( 'NONE', #589, #1211, #566, .T. ) ; +#753 = FACE_OUTER_BOUND ( 'NONE', #1006, .T. ) ; +#754 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#755 = ORIENTED_EDGE ( 'NONE', *, *, #823, .F. ) ; +#756 = CYLINDRICAL_SURFACE ( 'NONE', #1024, 0.01999999999999999700 ) ; +#757 = CARTESIAN_POINT ( 'NONE', ( 0.03777456465601761200, 0.03744219525921233000, 0.02837059259585595100 ) ) ; +#758 = EDGE_LOOP ( 'NONE', ( #605 ) ) ; +#759 = DIRECTION ( 'NONE', ( 0.5734623443633285500, -0.8192319205190403700, 0.0000000000000000000 ) ) ; +#760 = EDGE_CURVE ( 'NONE', #777, #315, #288, .T. ) ; +#761 = ORIENTED_EDGE ( 'NONE', *, *, #1033, .T. ) ; +#762 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649029800, 0.0000000000000000000, -0.07745333323392338000 ) ) ; +#763 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#764 = PLANE ( 'NONE', #185 ) ; +#765 = ORIENTED_EDGE ( 'NONE', *, *, #323, .F. ) ; +#766 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649032600, 0.0000000000000000000, 0.03745333323392343500 ) ) ; +#767 = FACE_BOUND ( 'NONE', #833, .T. ) ; +#768 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#769 = AXIS2_PLACEMENT_3D ( 'NONE', #292, #943, #390 ) ; +#770 = VECTOR ( 'NONE', #1, 39.37007874015748100 ) ; +#771 = FILL_AREA_STYLE ('',( #857 ) ) ; +#772 = CARTESIAN_POINT ( 'NONE', ( 0.04649999999999998600, 0.04354999999999997100, 0.02815019043490162000 ) ) ; +#773 = VECTOR ( 'NONE', #611, 39.37007874015748100 ) ; +#774 = ORIENTED_EDGE ( 'NONE', *, *, #939, .F. ) ; +#775 = CARTESIAN_POINT ( 'NONE', ( 0.07499999999999999700, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#776 = EDGE_LOOP ( 'NONE', ( #297, #252, #1021, #345, #244, #660 ) ) ; +#777 = VERTEX_POINT ( 'NONE', #230 ) ; +#778 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#779 = EDGE_CURVE ( 'NONE', #550, #877, #784, .T. ) ; +#780 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#781 = VERTEX_POINT ( 'NONE', #607 ) ; +#782 = LINE ( 'NONE', #757, #1061 ) ; +#783 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#784 = LINE ( 'NONE', #878, #515 ) ; +#785 = EDGE_CURVE ( 'NONE', #232, #232, #5, .T. ) ; +#786 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, 0.04753945729601884900 ) ) ; +#787 = AXIS2_PLACEMENT_3D ( 'NONE', #257, #906, #358 ) ; +#788 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.09853937007874022400 ) ) ; +#789 = ORIENTED_EDGE ( 'NONE', *, *, #1033, .F. ) ; +#790 = EDGE_LOOP ( 'NONE', ( #675 ) ) ; +#791 = AXIS2_PLACEMENT_3D ( 'NONE', #709, #320, #965 ) ; +#792 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#793 = ORIENTED_EDGE ( 'NONE', *, *, #679, .T. ) ; +#794 = LENGTH_MEASURE_WITH_UNIT ( LENGTH_MEASURE( 0.02539999999999999900 ), #311 ); +#795 = VECTOR ( 'NONE', #547, 39.37007874015748100 ) ; +#796 = LINE ( 'NONE', #30, #308 ) ; +#797 = ADVANCED_FACE ( 'NONE', ( #262 ), #322, .F. ) ; +#798 = EDGE_CURVE ( 'NONE', #781, #571, #729, .T. ) ; +#799 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#800 = VECTOR ( 'NONE', #21, 39.37007874015748100 ) ; +#801 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000001800, 0.02500000000000001200, 0.06342121096289482500 ) ) ; +#802 = ORIENTED_EDGE ( 'NONE', *, *, #354, .F. ) ; +#803 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#804 = EDGE_LOOP ( 'NONE', ( #793, #314, #1083, #65 ) ) ; +#805 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#806 = ADVANCED_FACE ( 'NONE', ( #970, #753 ), #142, .T. ) ; +#807 = EDGE_LOOP ( 'NONE', ( #1079 ) ) ; +#808 = CARTESIAN_POINT ( 'NONE', ( -0.03000386553242791200, 0.03200270587269953000, -0.06026647286169997000 ) ) ; +#809 = AXIS2_PLACEMENT_3D ( 'NONE', #1157, #602, #41 ) ; +#810 = PLANE ( 'NONE', #844 ) ; +#811 = ORIENTED_EDGE ( 'NONE', *, *, #724, .T. ) ; +#812 = ADVANCED_FACE ( 'NONE', ( #482 ), #424, .F. ) ; +#813 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#814 = VECTOR ( 'NONE', #937, 39.37007874015748100 ) ; +#815 = FACE_OUTER_BOUND ( 'NONE', #540, .T. ) ; +#816 = EDGE_CURVE ( 'NONE', #116, #620, #698, .T. ) ; +#817 = DIRECTION ( 'NONE', ( -0.8190565693624944900, 0.5733395985537465000, -0.02068914967730959800 ) ) ; +#818 = ORIENTED_EDGE ( 'NONE', *, *, #518, .T. ) ; +#819 = ORIENTED_EDGE ( 'NONE', *, *, #276, .F. ) ; +#820 = ORIENTED_EDGE ( 'NONE', *, *, #568, .T. ) ; +#821 = CARTESIAN_POINT ( 'NONE', ( -0.04649999999999999300, 0.8000000000000000400, 0.04753945729601883500 ) ) ; +#822 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000001800, 0.02500000000000001200, -0.06342121096289482500 ) ) ; +#823 = EDGE_CURVE ( 'NONE', #1193, #1193, #942, .T. ) ; +#824 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#825 = AXIS2_PLACEMENT_3D ( 'NONE', #1034, #484, #1126 ) ; +#826 = LINE ( 'NONE', #449, #114 ) ; +#827 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649032600, 0.0000000000000000000, 0.05745333323392339700 ) ) ; +#828 = LINE ( 'NONE', #955, #92 ) ; +#829 = AXIS2_PLACEMENT_3D ( 'NONE', #914, #298, #1018 ) ; +#830 = VERTEX_POINT ( 'NONE', #242 ) ; +#831 = CARTESIAN_POINT ( 'NONE', ( 0.04649999999999999300, 0.8000000000000000400, -0.04753945729601883500 ) ) ; +#832 = ORIENTED_EDGE ( 'NONE', *, *, #637, .F. ) ; +#833 = EDGE_LOOP ( 'NONE', ( #774 ) ) ; +#834 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649029800, 0.02000000000000000000, -0.05745333323392343200 ) ) ; +#835 = EDGE_CURVE ( 'NONE', #197, #991, #201, .T. ) ; +#836 = ORIENTED_EDGE ( 'NONE', *, *, #981, .T. ) ; +#837 = VECTOR ( 'NONE', #659, 39.37007874015748100 ) ; +#838 = VECTOR ( 'NONE', #590, 39.37007874015748100 ) ; +#839 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#840 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#841 = LINE ( 'NONE', #813, #583 ) ; +#842 = CARTESIAN_POINT ( 'NONE', ( 0.02000000000000000000, 0.02499999999999999800, 0.02881957334074344800 ) ) ; +#843 = EDGE_CURVE ( 'NONE', #93, #925, #234, .T. ) ; +#844 = AXIS2_PLACEMENT_3D ( 'NONE', #627, #441, #1091 ) ; +#845 = ORIENTED_EDGE ( 'NONE', *, *, #1175, .F. ) ; +#846 = PLANE ( 'NONE', #1203 ) ; +#847 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02000000000000000000, 0.03399999999999975300 ) ) ; +#848 = VERTEX_POINT ( 'NONE', #1173 ) ; +#849 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#850 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.03606186049263663400, -0.9993495595725300200 ) ) ; +#851 = AXIS2_PLACEMENT_3D ( 'NONE', #985, #435, #1086 ) ; +#852 = CIRCLE ( 'NONE', #787, 0.005000000000000008800 ) ; +#853 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#854 = CARTESIAN_POINT ( 'NONE', ( -0.07499999999999999700, 0.02000000000000000000, 0.0000000000000000000 ) ) ; +#855 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#856 = FACE_OUTER_BOUND ( 'NONE', #690, .T. ) ; +#857 = FILL_AREA_STYLE_COLOUR ( '', #113 ) ; +#858 = ORIENTED_EDGE ( 'NONE', *, *, #327, .T. ) ; +#859 = ORIENTED_EDGE ( 'NONE', *, *, #346, .T. ) ; +#860 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#861 = EDGE_CURVE ( 'NONE', #1183, #559, #1164, .T. ) ; +#862 = ORIENTED_EDGE ( 'NONE', *, *, #176, .T. ) ; +#863 = SURFACE_STYLE_USAGE ( .BOTH. , #215 ) ; +#864 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#865 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#866 = ORIENTED_EDGE ( 'NONE', *, *, #909, .F. ) ; +#867 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#868 = LINE ( 'NONE', #96, #378 ) ; +#869 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#870 = AXIS2_PLACEMENT_3D ( 'NONE', #36, #227, #873 ) ; +#871 = LINE ( 'NONE', #585, #133 ) ; +#872 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#873 = DIRECTION ( 'NONE', ( 0.8192319205190402600, -0.5734623443633284400, 0.0000000000000000000 ) ) ; +#874 = FACE_OUTER_BOUND ( 'NONE', #429, .T. ) ; +#875 = SURFACE_STYLE_FILL_AREA ( #934 ) ; +#876 = ORIENTED_EDGE ( 'NONE', *, *, #616, .F. ) ; +#877 = VERTEX_POINT ( 'NONE', #982 ) ; +#878 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#879 = EDGE_CURVE ( 'NONE', #1211, #1053, #1128, .T. ) ; +#880 = PLANE ( 'NONE', #235 ) ; +#881 = VECTOR ( 'NONE', #532, 39.37007874015748100 ) ; +#882 = EDGE_CURVE ( 'NONE', #1099, #1106, #453, .T. ) ; +#883 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#884 = AXIS2_PLACEMENT_3D ( 'NONE', #2, #105, #754 ) ; +#885 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06454649486997729400 ) ) ; +#886 = VECTOR ( 'NONE', #43, 39.37007874015748100 ) ; +#887 = ADVANCED_FACE ( 'NONE', ( #669 ), #63, .T. ) ; +#888 = ORIENTED_EDGE ( 'NONE', *, *, #835, .T. ) ; +#889 = ORIENTED_EDGE ( 'NONE', *, *, #518, .F. ) ; +#890 = ORIENTED_EDGE ( 'NONE', *, *, #538, .F. ) ; +#891 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#892 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#893 = ADVANCED_FACE ( 'NONE', ( #147 ), #987, .F. ) ; +#894 = VERTEX_POINT ( 'NONE', #69 ) ; +#895 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#896 = FACE_OUTER_BOUND ( 'NONE', #194, .T. ) ; +#897 = LINE ( 'NONE', #403, #631 ) ; +#898 = EDGE_CURVE ( 'NONE', #941, #941, #174, .T. ) ; +#899 = CARTESIAN_POINT ( 'NONE', ( -0.04820907072649029800, 0.02000000000000000000, -0.05745333323392339700 ) ) ; +#900 = ADVANCED_FACE ( 'NONE', ( #394 ), #810, .T. ) ; +#901 = LINE ( 'NONE', #632, #716 ) ; +#902 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#903 = VECTOR ( 'NONE', #393, 39.37007874015748100 ) ; +#904 = VERTEX_POINT ( 'NONE', #717 ) ; +#905 = ORIENTED_EDGE ( 'NONE', *, *, #596, .T. ) ; +#906 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#907 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#908 = VERTEX_POINT ( 'NONE', #633 ) ; +#909 = EDGE_CURVE ( 'NONE', #253, #1207, #852, .T. ) ; +#910 = DIMENSIONAL_EXPONENTS ( 1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ; +#911 = AXIS2_PLACEMENT_3D ( 'NONE', #77, #727, #171 ) ; +#912 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#913 = EDGE_LOOP ( 'NONE', ( #748, #719, #85, #858 ) ) ; +#914 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#915 = PLANE ( 'NONE', #7 ) ; +#916 = VECTOR ( 'NONE', #528, 39.37007874015748100 ) ; +#917 = AXIS2_PLACEMENT_3D ( 'NONE', #506, #409, #1058 ) ; +#918 = FACE_OUTER_BOUND ( 'NONE', #804, .T. ) ; +#919 = ORIENTED_EDGE ( 'NONE', *, *, #354, .T. ) ; +#920 = ORIENTED_EDGE ( 'NONE', *, *, #785, .F. ) ; +#921 = ORIENTED_EDGE ( 'NONE', *, *, #715, .F. ) ; +#922 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#923 = EDGE_CURVE ( 'NONE', #559, #550, #612, .T. ) ; +#924 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.09853937007874022400 ) ) ; +#925 = VERTEX_POINT ( 'NONE', #73 ) ; +#926 = VECTOR ( 'NONE', #463, 39.37007874015748100 ) ; +#927 = FACE_OUTER_BOUND ( 'NONE', #478, .T. ) ; +#928 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.03399999999999975300 ) ) ; +#929 = CIRCLE ( 'NONE', #851, 0.06650000000000010100 ) ; +#930 = AXIS2_PLACEMENT_3D ( 'NONE', #1066, #516, #1160 ) ; +#931 = ORIENTED_EDGE ( 'NONE', *, *, #643, .F. ) ; +#932 = LINE ( 'NONE', #301, #265 ) ; +#933 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000001400, 0.6851803093024633200, -0.004996747797862659800 ) ) ; +#934 = FILL_AREA_STYLE ('',( #283 ) ) ; +#935 = ORIENTED_EDGE ( 'NONE', *, *, #448, .F. ) ; +#936 = ORIENTED_EDGE ( 'NONE', *, *, #779, .T. ) ; +#937 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#938 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#939 = EDGE_CURVE ( 'NONE', #697, #697, #645, .T. ) ; +#940 = ORIENTED_EDGE ( 'NONE', *, *, #219, .T. ) ; +#941 = VERTEX_POINT ( 'NONE', #15 ) ; +#942 = CIRCLE ( 'NONE', #165, 0.09850000000000006000 ) ; +#943 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#944 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#945 = EDGE_CURVE ( 'NONE', #1022, #781, #826, .T. ) ; +#946 = FACE_OUTER_BOUND ( 'NONE', #737, .T. ) ; +#947 = EDGE_LOOP ( 'NONE', ( #312, #1152, #240, #458 ) ) ; +#948 = ORIENTED_EDGE ( 'NONE', *, *, #6, .T. ) ; +#949 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649032600, 0.02000000000000000000, 0.03745333323392343500 ) ) ; +#950 = ORIENTED_EDGE ( 'NONE', *, *, #939, .T. ) ; +#951 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#952 = ORIENTED_EDGE ( 'NONE', *, *, #879, .F. ) ; +#953 = LINE ( 'NONE', #87, #926 ) ; +#954 =( CONVERSION_BASED_UNIT ( 'INCH', #794 ) LENGTH_UNIT ( ) NAMED_UNIT ( #642 ) ); +#955 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, -0.07215966241192899000, 0.04753945729601884900 ) ) ; +#956 = VECTOR ( 'NONE', #750, 39.37007874015748100 ) ; +#957 = PLANE ( 'NONE', #626 ) ; +#958 = FACE_OUTER_BOUND ( 'NONE', #120, .T. ) ; +#959 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#960 = ORIENTED_EDGE ( 'NONE', *, *, #157, .F. ) ; +#961 = EDGE_LOOP ( 'NONE', ( #691, #99, #666, #921, #1186, #976 ) ) ; +#962 = EDGE_CURVE ( 'NONE', #360, #81, #1076, .T. ) ; +#963 = ORIENTED_EDGE ( 'NONE', *, *, #654, .F. ) ; +#964 = VERTEX_POINT ( 'NONE', #361 ) ; +#965 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#966 = EDGE_LOOP ( 'NONE', ( #606, #1068, #652, #508, #472 ) ) ; +#967 = EDGE_CURVE ( 'NONE', #281, #280, #1045, .T. ) ; +#968 = VERTEX_POINT ( 'NONE', #396 ) ; +#969 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#970 = FACE_BOUND ( 'NONE', #913, .T. ) ; +#971 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.9993495595725299100, 0.03606186049263662700 ) ) ; +#972 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#973 = LINE ( 'NONE', #287, #488 ) ; +#974 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#975 = EDGE_LOOP ( 'NONE', ( #1169, #1108, #342, #1198, #734, #948, #37, #138 ) ) ; +#976 = ORIENTED_EDGE ( 'NONE', *, *, #115, .F. ) ; +#977 = ADVANCED_FACE ( 'NONE', ( #88, #1103, #554, #580, #306, #57, #1015, #767, #529 ), #451, .T. ) ; +#978 = DIRECTION ( 'NONE', ( -0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#979 = ORIENTED_EDGE ( 'NONE', *, *, #1159, .F. ) ; +#980 = LINE ( 'NONE', #172, #273 ) ; +#981 = EDGE_CURVE ( 'NONE', #489, #50, #980, .T. ) ; +#982 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02000000000000000000, -0.03399999999999975300 ) ) ; +#983 = LINE ( 'NONE', #1027, #956 ) ; +#984 = ADVANCED_FACE ( 'NONE', ( #247 ), #915, .F. ) ; +#985 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#986 = FACE_OUTER_BOUND ( 'NONE', #1136, .T. ) ; +#987 = PLANE ( 'NONE', #663 ) ; +#988 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#989 = EDGE_LOOP ( 'NONE', ( #552, #49, #597, #936 ) ) ; +#990 = ORIENTED_EDGE ( 'NONE', *, *, #24, .T. ) ; +#991 = VERTEX_POINT ( 'NONE', #821 ) ; +#992 = ADVANCED_FACE ( 'NONE', ( #740 ), #82, .F. ) ; +#993 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, -0.04753945729601884900 ) ) ; +#994 = ORIENTED_EDGE ( 'NONE', *, *, #512, .F. ) ; +#995 = AXIS2_PLACEMENT_3D ( 'NONE', #329, #972, #421 ) ; +#996 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#997 = CARTESIAN_POINT ( 'NONE', ( 0.05000000000000000300, 0.6850000000000001600, 0.0000000000000000000 ) ) ; +#998 = ORIENTED_EDGE ( 'NONE', *, *, #967, .T. ) ; +#999 = ADVANCED_FACE ( 'NONE', ( #500 ), #366, .F. ) ; +#1000 = SHAPE_DEFINITION_REPRESENTATION ( #146, #549 ) ; +#1001 = VECTOR ( 'NONE', #145, 39.37007874015748100 ) ; +#1002 = AXIS2_PLACEMENT_3D ( 'NONE', #775, #684, #840 ) ; +#1003 = EDGE_CURVE ( 'NONE', #561, #1022, #710, .T. ) ; +#1004 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, 0.0000000000000000000 ) ) ; +#1005 = LINE ( 'NONE', #582, #731 ) ; +#1006 = EDGE_LOOP ( 'NONE', ( #373 ) ) ; +#1007 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1008 = EDGE_LOOP ( 'NONE', ( #832 ) ) ; +#1009 = EDGE_CURVE ( 'NONE', #452, #452, #1208, .T. ) ; +#1010 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #954, 'distance_accuracy_value', 'NONE'); +#1011 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1012 = CARTESIAN_POINT ( 'NONE', ( 0.09850000000000010100, -9.184850993604806100E-020, 0.0000000000000000000 ) ) ; +#1013 = CLOSED_SHELL ( 'NONE', ( #431, #533, #64, #251, #355, #1078, #1188, #443, #900, #707, #258, #806, #977, #155, #168, #1087, #55, #70, #621, #635, #348, #526, #541, #338, #1093, #434, #613, #992, #797, #723, #893, #629, #812, #984, #999, #713, #887, #246, #1180, #150, #162, #1174 ) ) ; +#1014 = CARTESIAN_POINT ( 'NONE', ( -0.04649999999999999300, 0.8000000000000000400, -0.04753945729601883500 ) ) ; +#1015 = FACE_BOUND ( 'NONE', #1008, .T. ) ; +#1016 = ORIENTED_EDGE ( 'NONE', *, *, #601, .F. ) ; +#1017 = VECTOR ( 'NONE', #1048, 39.37007874015748100 ) ; +#1018 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1019 = EDGE_LOOP ( 'NONE', ( #17, #614, #1055, #29 ) ) ; +#1020 = VERTEX_POINT ( 'NONE', #735 ) ; +#1021 = ORIENTED_EDGE ( 'NONE', *, *, #1200, .F. ) ; +#1022 = VERTEX_POINT ( 'NONE', #459 ) ; +#1023 = EDGE_CURVE ( 'NONE', #1039, #402, #953, .T. ) ; +#1024 = AXIS2_PLACEMENT_3D ( 'NONE', #854, #763, #206 ) ; +#1025 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1026 = ORIENTED_EDGE ( 'NONE', *, *, #694, .T. ) ; +#1027 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#1028 = FACE_OUTER_BOUND ( 'NONE', #776, .T. ) ; +#1029 = CIRCLE ( 'NONE', #809, 0.06650000000000010100 ) ; +#1030 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.8000000000000000400, 0.0000000000000000000 ) ) ; +#1031 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#1032 = CARTESIAN_POINT ( 'NONE', ( 0.03900127313790634200, 0.03830089119653443800, 0.05487422338129681300 ) ) ; +#1033 = EDGE_CURVE ( 'NONE', #925, #559, #1178, .T. ) ; +#1034 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#1035 =( NAMED_UNIT ( * ) PLANE_ANGLE_UNIT ( ) SI_UNIT ( $, .RADIAN. ) ); +#1036 = ORIENTED_EDGE ( 'NONE', *, *, #52, .T. ) ; +#1037 = ORIENTED_EDGE ( 'NONE', *, *, #752, .F. ) ; +#1038 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.06454649486997729400 ) ) ; +#1039 = VERTEX_POINT ( 'NONE', #370 ) ; +#1040 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1041 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1042 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1043 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649029800, 0.02000000000000000000, -0.03745333323392343500 ) ) ; +#1044 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000025000, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#1045 = LINE ( 'NONE', #261, #795 ) ; +#1046 = CIRCLE ( 'NONE', #269, 0.01999999999999999700 ) ; +#1047 =( CONVERSION_BASED_UNIT ( 'INCH', #1127 ) LENGTH_UNIT ( ) NAMED_UNIT ( #362 ) ); +#1048 = DIRECTION ( 'NONE', ( -1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1049 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.8000000000000000400, 0.0000000000000000000 ) ) ; +#1050 = EDGE_CURVE ( 'NONE', #589, #1081, #436, .T. ) ; +#1051 = LINE ( 'NONE', #864, #800 ) ; +#1052 = VERTEX_POINT ( 'NONE', #831 ) ; +#1053 = VERTEX_POINT ( 'NONE', #1014 ) ; +#1054 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#1055 = ORIENTED_EDGE ( 'NONE', *, *, #135, .F. ) ; +#1056 = ORIENTED_EDGE ( 'NONE', *, *, #568, .F. ) ; +#1057 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -0.0000000000000000000, -1.000000000000000000 ) ) ; +#1058 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1059 = DIRECTION ( 'NONE', ( 1.000000000000000000, 0.0000000000000000000, -1.333910153156357900E-016 ) ) ; +#1060 = EDGE_LOOP ( 'NONE', ( #1016, #95, #278, #1067 ) ) ; +#1061 = VECTOR ( 'NONE', #392, 39.37007874015748100 ) ; +#1062 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#1063 = FACE_OUTER_BOUND ( 'NONE', #975, .T. ) ; +#1064 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, 0.06600000000000025300 ) ) ; +#1065 = AXIS2_PLACEMENT_3D ( 'NONE', #48, #699, #144 ) ; +#1066 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#1067 = ORIENTED_EDGE ( 'NONE', *, *, #1023, .T. ) ; +#1068 = ORIENTED_EDGE ( 'NONE', *, *, #967, .F. ) ; +#1069 = ORIENTED_EDGE ( 'NONE', *, *, #1098, .T. ) ; +#1070 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, 0.0000000000000000000 ) ) ; +#1071 = DIRECTION ( 'NONE', ( -0.5734623443633285500, -0.8192319205190403700, 0.0000000000000000000 ) ) ; +#1072 = EDGE_CURVE ( 'NONE', #1081, #777, #1146, .T. ) ; +#1073 = PRESENTATION_STYLE_ASSIGNMENT (( #863 ) ) ; +#1074 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, -0.07215966241192899000, 0.04753945729601884900 ) ) ; +#1075 = AXIS2_PLACEMENT_3D ( 'NONE', #388, #572, #8 ) ; +#1076 = LINE ( 'NONE', #912, #335 ) ; +#1077 = FACE_OUTER_BOUND ( 'NONE', #708, .T. ) ; +#1078 = ADVANCED_FACE ( 'NONE', ( #653 ), #160, .F. ) ; +#1079 = ORIENTED_EDGE ( 'NONE', *, *, #1009, .T. ) ; +#1080 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1081 = VERTEX_POINT ( 'NONE', #742 ) ; +#1082 = AXIS2_PLACEMENT_3D ( 'NONE', #490, #1130, #579 ) ; +#1083 = ORIENTED_EDGE ( 'NONE', *, *, #962, .F. ) ; +#1084 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, 0.03399999999999975300 ) ) ; +#1085 = EDGE_LOOP ( 'NONE', ( #1217 ) ) ; +#1086 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1087 = ADVANCED_FACE ( 'NONE', ( #408, #896 ), #630, .T. ) ; +#1088 = VERTEX_POINT ( 'NONE', #928 ) ; +#1089 = LINE ( 'NONE', #839, #814 ) ; +#1090 = EDGE_CURVE ( 'NONE', #904, #281, #868, .T. ) ; +#1091 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1092 = LINE ( 'NONE', #218, #102 ) ; +#1093 = ADVANCED_FACE ( 'NONE', ( #466 ), #469, .T. ) ; +#1094 = CARTESIAN_POINT ( 'NONE', ( 0.05000000000000000300, 0.01999999999999999000, -0.02900000000000000100 ) ) ; +#1095 = CYLINDRICAL_SURFACE ( 'NONE', #86, 0.005000000000000008800 ) ; +#1096 = ORIENTED_EDGE ( 'NONE', *, *, #475, .F. ) ; +#1097 = ORIENTED_EDGE ( 'NONE', *, *, #448, .T. ) ; +#1098 = EDGE_CURVE ( 'NONE', #199, #199, #682, .T. ) ; +#1099 = VERTEX_POINT ( 'NONE', #282 ) ; +#1100 = AXIS2_PLACEMENT_3D ( 'NONE', #641, #80, #730 ) ; +#1101 = DIRECTION ( 'NONE', ( 1.000000000000000000, -0.0000000000000000000, -0.0000000000000000000 ) ) ; +#1102 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1103 = FACE_BOUND ( 'NONE', #662, .T. ) ; +#1104 = AXIS2_PLACEMENT_3D ( 'NONE', #111, #668, #89 ) ; +#1105 = VECTOR ( 'NONE', #1158, 39.37007874015748100 ) ; +#1106 = VERTEX_POINT ( 'NONE', #747 ) ; +#1107 = VERTEX_POINT ( 'NONE', #933 ) ; +#1108 = ORIENTED_EDGE ( 'NONE', *, *, #601, .T. ) ; +#1109 = EDGE_CURVE ( 'NONE', #1183, #50, #598, .T. ) ; +#1110 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1111 = EDGE_LOOP ( 'NONE', ( #285, #387, #845 ) ) ; +#1112 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04354999999999999800, 0.04753945729601890400 ) ) ; +#1113 = DIRECTION ( 'NONE', ( -1.333910153156357900E-016, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1114 = CIRCLE ( 'NONE', #702, 0.06649999999999999000 ) ; +#1115 = CIRCLE ( 'NONE', #375, 0.06649999999999999000 ) ; +#1116 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1117 = FACE_OUTER_BOUND ( 'NONE', #961, .T. ) ; +#1118 = CARTESIAN_POINT ( 'NONE', ( -0.04650000000000000000, 0.04355000000000000500, -0.02815019043490161000 ) ) ; +#1119 = ORIENTED_EDGE ( 'NONE', *, *, #661, .T. ) ; +#1120 = CYLINDRICAL_SURFACE ( 'NONE', #1194, 0.01999999999999999000 ) ; +#1121 = EDGE_LOOP ( 'NONE', ( #1214 ) ) ; +#1122 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1123 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.9993495595725299100, -0.03606186049263662700 ) ) ; +#1124 = ORIENTED_EDGE ( 'NONE', *, *, #383, .F. ) ; +#1125 = AXIS2_PLACEMENT_3D ( 'NONE', #867, #783, #229 ) ; +#1126 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1127 = LENGTH_MEASURE_WITH_UNIT ( LENGTH_MEASURE( 0.02539999999999999900 ), #401 ); +#1128 = LINE ( 'NONE', #341, #881 ) ; +#1129 = CARTESIAN_POINT ( 'NONE', ( 0.04820907072649029800, 0.0000000000000000000, -0.03745333323392343500 ) ) ; +#1130 = DIRECTION ( 'NONE', ( -0.0000000000000000000, -1.000000000000000000, -0.0000000000000000000 ) ) ; +#1131 = EDGE_CURVE ( 'NONE', #97, #54, #131, .T. ) ; +#1132 = AXIS2_PLACEMENT_3D ( 'NONE', #205, #853, #300 ) ; +#1133 = LINE ( 'NONE', #604, #886 ) ; +#1134 = CARTESIAN_POINT ( 'NONE', ( 0.07499999999999999700, 0.0000000000000000000, -0.01999999999999999700 ) ) ; +#1135 = EDGE_LOOP ( 'NONE', ( #836, #226, #192, #979, #124, #66 ) ) ; +#1136 = EDGE_LOOP ( 'NONE', ( #1069 ) ) ; +#1137 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1138 = EDGE_LOOP ( 'NONE', ( #109 ) ) ; +#1139 =( NAMED_UNIT ( * ) SI_UNIT ( $, .STERADIAN. ) SOLID_ANGLE_UNIT ( ) ); +#1140 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, 0.0000000000000000000 ) ) ; +#1141 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1142 = AXIS2_PLACEMENT_3D ( 'NONE', #574, #759, #203 ) ; +#1143 = ORIENTED_EDGE ( 'NONE', *, *, #823, .T. ) ; +#1144 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1145 = DIRECTION ( 'NONE', ( 0.0000000000000000000, -0.0000000000000000000, 1.000000000000000000 ) ) ; +#1146 = LINE ( 'NONE', #924, #217 ) ; +#1147 = CARTESIAN_POINT ( 'NONE', ( 0.04650000000000000000, -0.07215966241192899000, 0.04753945729601884900 ) ) ; +#1148 = FACE_OUTER_BOUND ( 'NONE', #1189, .T. ) ; +#1149 = PLANE ( 'NONE', #870 ) ; +#1150 = EDGE_CURVE ( 'NONE', #830, #991, #1114, .T. ) ; +#1151 = VECTOR ( 'NONE', #156, 39.37007874015748100 ) ; +#1152 = ORIENTED_EDGE ( 'NONE', *, *, #176, .F. ) ; +#1153 = LINE ( 'NONE', #1172, #903 ) ; +#1154 = ORIENTED_EDGE ( 'NONE', *, *, #661, .F. ) ; +#1155 = EDGE_LOOP ( 'NONE', ( #920 ) ) ; +#1156 = ORIENTED_EDGE ( 'NONE', *, *, #760, .T. ) ; +#1157 = CARTESIAN_POINT ( 'NONE', ( 0.0000000000000000000, 0.02500000000000001200, 0.0000000000000000000 ) ) ; +#1158 = DIRECTION ( 'NONE', ( -1.000000000000000000, 0.0000000000000000000, 0.0000000000000000000 ) ) ; +#1159 = EDGE_CURVE ( 'NONE', #93, #925, #1089, .T. ) ; +#1160 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1161 = ORIENTED_EDGE ( 'NONE', *, *, #468, .T. ) ; +#1162 = VERTEX_POINT ( 'NONE', #212 ) ; +#1163 = PLANE ( 'NONE', #364 ) ; +#1164 = LINE ( 'NONE', #892, #422 ) ; +#1165 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1166 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02000000000000000000, 0.06600000000000025300 ) ) ; +#1167 = VECTOR ( 'NONE', #692, 39.37007874015748100 ) ; +#1168 = AXIS2_PLACEMENT_3D ( 'NONE', #163, #255, #902 ) ; +#1169 = ORIENTED_EDGE ( 'NONE', *, *, #391, .F. ) ; +#1170 = ORIENTED_EDGE ( 'NONE', *, *, #219, .F. ) ; +#1171 = ORIENTED_EDGE ( 'NONE', *, *, #52, .F. ) ; +#1172 = CARTESIAN_POINT ( 'NONE', ( -0.01600000000000025400, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#1173 = CARTESIAN_POINT ( 'NONE', ( -0.02000000000000000700, 0.02499999999999999100, 0.06342121096289478300 ) ) ; +#1174 = ADVANCED_FACE ( 'NONE', ( #565 ), #569, .T. ) ; +#1175 = EDGE_CURVE ( 'NONE', #904, #1099, #321, .T. ) ; +#1176 = ORIENTED_EDGE ( 'NONE', *, *, #962, .T. ) ; +#1177 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 1.000000000000000000, -0.0000000000000000000 ) ) ; +#1178 = CIRCLE ( 'NONE', #330, 0.06650000000000010100 ) ; +#1179 = VECTOR ( 'NONE', #486, 39.37007874015748100 ) ; +#1180 = ADVANCED_FACE ( 'NONE', ( #100 ), #196, .F. ) ; +#1181 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, 1.000000000000000000 ) ) ; +#1182 = LINE ( 'NONE', #132, #440 ) ; +#1183 = VERTEX_POINT ( 'NONE', #290 ) ; +#1184 = VECTOR ( 'NONE', #334, 39.37007874015748100 ) ; +#1185 = AXIS2_PLACEMENT_3D ( 'NONE', #788, #1071, #520 ) ; +#1186 = ORIENTED_EDGE ( 'NONE', *, *, #1023, .F. ) ; +#1187 = ORIENTED_EDGE ( 'NONE', *, *, #454, .F. ) ; +#1188 = ADVANCED_FACE ( 'NONE', ( #1028 ), #846, .F. ) ; +#1189 = EDGE_LOOP ( 'NONE', ( #343 ) ) ; +#1190 = PLANE ( 'NONE', #148 ) ; +#1191 = ORIENTED_EDGE ( 'NONE', *, *, #616, .T. ) ; +#1192 = AXIS2_PLACEMENT_3D ( 'NONE', #419, #1070, #517 ) ; +#1193 = VERTEX_POINT ( 'NONE', #581 ) ; +#1194 = AXIS2_PLACEMENT_3D ( 'NONE', #296, #907, #1041 ) ; +#1195 = UNCERTAINTY_MEASURE_WITH_UNIT (LENGTH_MEASURE( 1.000000000000000100E-005 ), #389, 'distance_accuracy_value', 'NONE'); +#1196 = VECTOR ( 'NONE', #149, 39.37007874015748100 ) ; +#1197 = LINE ( 'NONE', #39, #1167 ) ; +#1198 = ORIENTED_EDGE ( 'NONE', *, *, #909, .T. ) ; +#1199 = ORIENTED_EDGE ( 'NONE', *, *, #835, .F. ) ; +#1200 = EDGE_CURVE ( 'NONE', #681, #561, #782, .T. ) ; +#1201 = ORIENTED_EDGE ( 'NONE', *, *, #816, .T. ) ; +#1202 = DIRECTION ( 'NONE', ( 0.0000000000000000000, 0.0000000000000000000, -1.000000000000000000 ) ) ; +#1203 = AXIS2_PLACEMENT_3D ( 'NONE', #110, #850, #295 ) ; +#1204 = PLANE ( 'NONE', #586 ) ; +#1205 = CARTESIAN_POINT ( 'NONE', ( 0.06650000000000010100, 0.02500000000000000500, 0.02881957334074344200 ) ) ; +#1206 = CARTESIAN_POINT ( 'NONE', ( 0.02931080172556826600, -0.009517561207897810800, -0.03006515099162839300 ) ) ; +#1207 = VERTEX_POINT ( 'NONE', #667 ) ; +#1208 = CIRCLE ( 'NONE', #200, 0.01999999999999999000 ) ; +#1209 = CIRCLE ( 'NONE', #769, 0.09850000000000000400 ) ; +#1210 = VECTOR ( 'NONE', #959, 39.37007874015748100 ) ; +#1211 = VERTEX_POINT ( 'NONE', #577 ) ; +#1212 = ORIENTED_EDGE ( 'NONE', *, *, #1150, .F. ) ; +#1213 = ORIENTED_EDGE ( 'NONE', *, *, #879, .T. ) ; +#1214 = ORIENTED_EDGE ( 'NONE', *, *, #898, .T. ) ; +#1215 = EDGE_CURVE ( 'NONE', #1162, #1162, #74, .T. ) ; +#1216 = CARTESIAN_POINT ( 'NONE', ( 0.01600000000000024700, 0.02499999999999999800, -0.06600000000000025300 ) ) ; +#1217 = ORIENTED_EDGE ( 'NONE', *, *, #198, .T. ) ; +ENDSEC; +END-ISO-10303-21; diff --git a/pcb/lib/USB_Micro_B_Wuerth_629105150521.stp b/pcb/lib/USB_Micro_B_Wuerth_629105150521.stp new file mode 100644 index 0000000..be0a682 --- /dev/null +++ b/pcb/lib/USB_Micro_B_Wuerth_629105150521.stp @@ -0,0 +1,18522 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ +/* OPTION: strings as raw bytes, not using required /X/ escapes */ + +FILE_DESCRIPTION( +/* description */ ('Unknown'), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ '629105150521', +/* time_stamp */ '2022-01-12T14:53:05+01:00', +/* author */ ('Unknown'), +/* organization */ ('Unknown'), +/* preprocessor_version */ 'ST-DEVELOPER v16.7', +/* originating_system */ 'Solid Edge', +/* authorisation */ 'Unknown'); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); +ENDSEC; + +DATA; +#10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); +#11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); +#12=REPRESENTATION('',(#16),#18127); +#13=REPRESENTATION('',(#17),#18127); +#14=PROPERTY_DEFINITION('pmi validation property','',#18142); +#15=PROPERTY_DEFINITION('pmi validation property','',#18142); +#16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); +#17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); +#18=TOROIDAL_SURFACE('',#11592,0.33,0.25); +#19=TOROIDAL_SURFACE('',#11594,0.33,0.25); +#20=TOROIDAL_SURFACE('',#11600,0.33,0.25); +#21=TOROIDAL_SURFACE('',#11602,0.33,0.25); +#22=TOROIDAL_SURFACE('',#11700,0.33,0.25); +#23=TOROIDAL_SURFACE('',#11707,0.33,0.25); +#24=TOROIDAL_SURFACE('',#11709,0.33,0.25); +#25=TOROIDAL_SURFACE('',#11715,0.33,0.25); +#26=DEGENERATE_TOROIDAL_SURFACE('',#11576,0.08,0.25,.T.); +#27=DEGENERATE_TOROIDAL_SURFACE('',#11578,0.08,0.25,.T.); +#28=DEGENERATE_TOROIDAL_SURFACE('',#11584,0.08,0.25,.T.); +#29=DEGENERATE_TOROIDAL_SURFACE('',#11586,0.08,0.25,.T.); +#30=DEGENERATE_TOROIDAL_SURFACE('',#11684,0.08,0.25,.T.); +#31=DEGENERATE_TOROIDAL_SURFACE('',#11691,0.08,0.25,.T.); +#32=DEGENERATE_TOROIDAL_SURFACE('',#11693,0.08,0.25,.T.); +#33=DEGENERATE_TOROIDAL_SURFACE('',#11699,0.08,0.25,.T.); +#34=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17347,#17348,#17349,#17350,#17351, +#17352),(#17353,#17354,#17355,#17356,#17357,#17358)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(1.5707963267949,2.35619449019234,3.14159265358979), + .UNSPECIFIED.); +#35=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17367,#17368,#17369,#17370,#17371, +#17372),(#17373,#17374,#17375,#17376,#17377,#17378)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.785398163397448,1.5707963267949), + .UNSPECIFIED.); +#36=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17398,#17399,#17400,#17401,#17402, +#17403),(#17404,#17405,#17406,#17407,#17408,#17409)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.5,1.),.UNSPECIFIED.); +#37=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17427,#17428,#17429,#17430,#17431, +#17432),(#17433,#17434,#17435,#17436,#17437,#17438)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(3.14159265358979,3.92699081698724,4.71238898038469), + .UNSPECIFIED.); +#38=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17447,#17448,#17449,#17450,#17451, +#17452),(#17453,#17454,#17455,#17456,#17457,#17458)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(3.14159265358979,3.92699081698725,4.7123889803847), + .UNSPECIFIED.); +#39=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17476,#17477,#17478,#17479,#17480, +#17481),(#17482,#17483,#17484,#17485,#17486,#17487)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.5,1.),.UNSPECIFIED.); +#40=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17710,#17711,#17712,#17713,#17714, +#17715),(#17716,#17717,#17718,#17719,#17720,#17721)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.785398163397448,1.5707963267949), + .UNSPECIFIED.); +#41=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17730,#17731,#17732,#17733,#17734, +#17735),(#17736,#17737,#17738,#17739,#17740,#17741)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(1.5707963267949,2.35619449019234,3.14159265358979), + .UNSPECIFIED.); +#42=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17752,#17753,#17754,#17755,#17756, +#17757),(#17758,#17759,#17760,#17761,#17762,#17763)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(3.14159265358979,3.92699081698724,4.71238898038469), + .UNSPECIFIED.); +#43=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17775,#17776,#17777,#17778,#17779, +#17780),(#17781,#17782,#17783,#17784,#17785,#17786)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(3.14159265358979,3.92699081698724,4.71238898038468), + .UNSPECIFIED.); +#44=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17804,#17805,#17806,#17807,#17808, +#17809),(#17810,#17811,#17812,#17813,#17814,#17815)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.5,1.),.UNSPECIFIED.); +#45=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#17833,#17834,#17835,#17836,#17837, +#17838),(#17839,#17840,#17841,#17842,#17843,#17844)),.RULED_SURF.,.F.,.F., + .F.,(2,2),(4,2,4),(0.,1.),(0.,0.5,1.),.UNSPECIFIED.); +#46=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#18003,#18004,#18005,#18006),(#18007, +#18008,#18009,#18010)),.RULED_SURF.,.F.,.F.,.F.,(2,2),(4,4),(0.,1.),(3.14159265358979, +3.66519142918809),.PIECEWISE_BEZIER_KNOTS.); +#47=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#18103,#18104,#18105,#18106),(#18107, +#18108,#18109,#18110)),.RULED_SURF.,.F.,.F.,.F.,(2,2),(4,4),(0.,1.),(5.75958653158129, +6.28318530717959),.PIECEWISE_BEZIER_KNOTS.); +#48=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#64,#18144); +#49=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#65,#18146); +#50=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#66,#18147); +#51=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#67,#18148); +#52=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#68,#18149); +#53=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#69,#18151); +#54=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#70,#18153); +#55=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#71,#18155); +#56=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Housing', +'629105150521_Housing','629105150521_Housing',#18156,#18157,''); +#57=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Pin1', +'629105150521_Pin1','629105150521_Pin1',#18156,#18158,''); +#58=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Pin1', +'629105150521_Pin1','629105150521_Pin1',#18156,#18158,''); +#59=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Pin1', +'629105150521_Pin1','629105150521_Pin1',#18156,#18158,''); +#60=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Pin1', +'629105150521_Pin1','629105150521_Pin1',#18156,#18158,''); +#61=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Pin2', +'629105150521_Pin2','629105150521_Pin2',#18156,#18159,''); +#62=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Shielding2', +'629105150521_Shielding2','629105150521_Shielding2',#18156,#18160,''); +#63=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629105150521_Shielding', +'629105150521_Shielding','629105150521_Shielding',#18156,#18161,''); +#64=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10868,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#72) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#65=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10870,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#73) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#66=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10870,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#74) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#67=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10870,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#75) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#68=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10870,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#76) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#69=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10871,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#77) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#70=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10872,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#78) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#71=( +REPRESENTATION_RELATIONSHIP(' ',' ',#10873,#10869) +REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#79) +SHAPE_REPRESENTATION_RELATIONSHIP() +); +#72=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11109); +#73=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11137); +#74=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11138); +#75=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11139); +#76=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11140); +#77=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11168); +#78=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11347); +#79=ITEM_DEFINED_TRANSFORMATION(' ',' ',#10874,#11758); +#80=SHAPE_REPRESENTATION_RELATIONSHIP('','',#10868,#85); +#81=SHAPE_REPRESENTATION_RELATIONSHIP('','',#10870,#86); +#82=SHAPE_REPRESENTATION_RELATIONSHIP('','',#10871,#87); +#83=SHAPE_REPRESENTATION_RELATIONSHIP('','',#10872,#88); +#84=SHAPE_REPRESENTATION_RELATIONSHIP('','',#10873,#89); +#85=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#10857),#18128); +#86=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#10858),#18129); +#87=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#10859),#18130); +#88=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#10860),#18131); +#89=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#10861),#18132); +#90=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15824,#15825,#15826,#15827), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.0842021724855E-19,0.000181564011352428), + .UNSPECIFIED.); +#91=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15832,#15833,#15834,#15835), + .UNSPECIFIED.,.F.,.F.,(4,4),(2.71050543121376E-20,0.000181564011352428), + .UNSPECIFIED.); +#92=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15839,#15840,#15841,#15842), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000181564011352428),.UNSPECIFIED.); +#93=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15843,#15844,#15845,#15846), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000181564011352427),.UNSPECIFIED.); +#94=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15848,#15849,#15850,#15851), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000181564011352427),.UNSPECIFIED.); +#95=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15852,#15853,#15854,#15855), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000181564011352427),.UNSPECIFIED.); +#96=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15859,#15860,#15861,#15862), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.000181564011352428),.UNSPECIFIED.); +#97=B_SPLINE_CURVE_WITH_KNOTS('',3,(#15863,#15864,#15865,#15866), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.0842021724855E-19,0.000181564011352428), + .UNSPECIFIED.); +#98=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17359,#17360,#17361,#17362), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.5707963267949,3.14159265358979), + .UNSPECIFIED.); +#99=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17363,#17364,#17365,#17366), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.5707963267949,3.14159265358979), + .UNSPECIFIED.); +#100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17379,#17380,#17381,#17382), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.5707963267949),.UNSPECIFIED.); +#101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17383,#17384,#17385,#17386), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.5707963267949),.UNSPECIFIED.); +#102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17410,#17411,#17412,#17413), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17417,#17418,#17419,#17420), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17439,#17440,#17441,#17442), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038469), + .UNSPECIFIED.); +#105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17443,#17444,#17445,#17446), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038469), + .UNSPECIFIED.); +#106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17462,#17463,#17464,#17465), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.7123889803847), + .UNSPECIFIED.); +#107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17466,#17467,#17468,#17469), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.7123889803847), + .UNSPECIFIED.); +#108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17488,#17489,#17490,#17491), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17492,#17493,#17494,#17495), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17722,#17723,#17724,#17725), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.5707963267949),.UNSPECIFIED.); +#111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17726,#17727,#17728,#17729), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.5707963267949),.UNSPECIFIED.); +#112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17742,#17743,#17744,#17745), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.5707963267949,3.14159265358979), + .UNSPECIFIED.); +#113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17746,#17747,#17748,#17749), + .UNSPECIFIED.,.F.,.F.,(4,4),(1.5707963267949,3.14159265358979), + .UNSPECIFIED.); +#114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17767,#17768,#17769,#17770), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038469), + .UNSPECIFIED.); +#115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17771,#17772,#17773,#17774), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038469), + .UNSPECIFIED.); +#116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17787,#17788,#17789,#17790), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038468), + .UNSPECIFIED.); +#117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17794,#17795,#17796,#17797), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,4.71238898038468), + .UNSPECIFIED.); +#118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17816,#17817,#17818,#17819), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17823,#17824,#17825,#17826), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17845,#17846,#17847,#17848), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#17852,#17853,#17854,#17855), + .UNSPECIFIED.,.F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); +#122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18011,#18012,#18013,#18014), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,3.66519142918809), + .UNSPECIFIED.); +#123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18018,#18019,#18020,#18021), + .UNSPECIFIED.,.F.,.F.,(4,4),(3.14159265358979,3.66519142918809), + .UNSPECIFIED.); +#124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18111,#18112,#18113,#18114), + .UNSPECIFIED.,.F.,.F.,(4,4),(5.75958653158129,6.28318530717959), + .UNSPECIFIED.); +#125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#18115,#18116,#18117,#18118), + .UNSPECIFIED.,.F.,.F.,(4,4),(5.75958653158129,6.28318530717959), + .UNSPECIFIED.); +#126=ELLIPSE('',#11084,0.141421356237309,0.1); +#127=ELLIPSE('',#11086,0.14142135623731,0.1); +#128=ELLIPSE('',#11091,0.14142135623731,0.1); +#129=ELLIPSE('',#11092,0.14142135623731,0.1); +#130=ELLIPSE('',#11094,0.14142135623731,0.1); +#131=ELLIPSE('',#11096,0.14142135623731,0.1); +#132=ELLIPSE('',#11280,0.353553390593274,0.25); +#133=ELLIPSE('',#11282,0.353553390593274,0.25); +#134=ELLIPSE('',#11285,0.353553390593274,0.25); +#135=ELLIPSE('',#11287,0.353553390593274,0.25); +#136=ELLIPSE('',#11316,0.353553390593274,0.25); +#137=ELLIPSE('',#11318,0.353553390593274,0.25); +#138=ELLIPSE('',#11321,0.353553390593274,0.25); +#139=ELLIPSE('',#11323,0.353553390593274,0.25); +#140=CONICAL_SURFACE('',#10982,0.3,0.785398163397449); +#141=CONICAL_SURFACE('',#10999,0.3,0.785398163397449); +#142=CYLINDRICAL_SURFACE('',#10978,0.3); +#143=CYLINDRICAL_SURFACE('',#10995,0.3); +#144=CYLINDRICAL_SURFACE('',#11006,0.00999999999999999); +#145=CYLINDRICAL_SURFACE('',#11007,0.31); +#146=CYLINDRICAL_SURFACE('',#11021,0.00999999999999999); +#147=CYLINDRICAL_SURFACE('',#11022,0.31); +#148=CYLINDRICAL_SURFACE('',#11036,0.00999999999999999); +#149=CYLINDRICAL_SURFACE('',#11037,0.31); +#150=CYLINDRICAL_SURFACE('',#11051,0.00999999999999999); +#151=CYLINDRICAL_SURFACE('',#11052,0.31); +#152=CYLINDRICAL_SURFACE('',#11071,0.00999999999999963); +#153=CYLINDRICAL_SURFACE('',#11072,0.31); +#154=CYLINDRICAL_SURFACE('',#11087,0.1); +#155=CYLINDRICAL_SURFACE('',#11088,0.1); +#156=CYLINDRICAL_SURFACE('',#11089,0.1); +#157=CYLINDRICAL_SURFACE('',#11090,0.1); +#158=CYLINDRICAL_SURFACE('',#11093,0.1); +#159=CYLINDRICAL_SURFACE('',#11095,0.1); +#160=CYLINDRICAL_SURFACE('',#11097,0.1); +#161=CYLINDRICAL_SURFACE('',#11098,0.1); +#162=CYLINDRICAL_SURFACE('',#11099,0.1); +#163=CYLINDRICAL_SURFACE('',#11100,0.1); +#164=CYLINDRICAL_SURFACE('',#11101,0.1); +#165=CYLINDRICAL_SURFACE('',#11102,0.1); +#166=CYLINDRICAL_SURFACE('',#11103,0.1); +#167=CYLINDRICAL_SURFACE('',#11104,0.1); +#168=CYLINDRICAL_SURFACE('',#11105,0.2); +#169=CYLINDRICAL_SURFACE('',#11106,0.2); +#170=CYLINDRICAL_SURFACE('',#11107,0.2); +#171=CYLINDRICAL_SURFACE('',#11108,0.2); +#172=CYLINDRICAL_SURFACE('',#11112,0.31); +#173=CYLINDRICAL_SURFACE('',#11116,0.01); +#174=CYLINDRICAL_SURFACE('',#11122,0.31); +#175=CYLINDRICAL_SURFACE('',#11126,0.01); +#176=CYLINDRICAL_SURFACE('',#11143,0.31); +#177=CYLINDRICAL_SURFACE('',#11147,0.01); +#178=CYLINDRICAL_SURFACE('',#11153,0.31); +#179=CYLINDRICAL_SURFACE('',#11157,0.01); +#180=CYLINDRICAL_SURFACE('',#11231,0.25); +#181=CYLINDRICAL_SURFACE('',#11232,0.25); +#182=CYLINDRICAL_SURFACE('',#11251,0.5); +#183=CYLINDRICAL_SURFACE('',#11252,0.5); +#184=CYLINDRICAL_SURFACE('',#11279,0.25); +#185=CYLINDRICAL_SURFACE('',#11281,0.25); +#186=CYLINDRICAL_SURFACE('',#11283,0.25); +#187=CYLINDRICAL_SURFACE('',#11284,0.25); +#188=CYLINDRICAL_SURFACE('',#11286,0.25); +#189=CYLINDRICAL_SURFACE('',#11288,0.25); +#190=CYLINDRICAL_SURFACE('',#11289,0.3); +#191=CYLINDRICAL_SURFACE('',#11290,0.3); +#192=CYLINDRICAL_SURFACE('',#11291,0.3); +#193=CYLINDRICAL_SURFACE('',#11292,0.3); +#194=CYLINDRICAL_SURFACE('',#11293,0.3); +#195=CYLINDRICAL_SURFACE('',#11294,0.3); +#196=CYLINDRICAL_SURFACE('',#11295,0.3); +#197=CYLINDRICAL_SURFACE('',#11296,0.3); +#198=CYLINDRICAL_SURFACE('',#11297,0.3); +#199=CYLINDRICAL_SURFACE('',#11315,0.25); +#200=CYLINDRICAL_SURFACE('',#11317,0.25); +#201=CYLINDRICAL_SURFACE('',#11319,0.25); +#202=CYLINDRICAL_SURFACE('',#11320,0.25); +#203=CYLINDRICAL_SURFACE('',#11322,0.25); +#204=CYLINDRICAL_SURFACE('',#11324,0.25); +#205=CYLINDRICAL_SURFACE('',#11325,0.3); +#206=CYLINDRICAL_SURFACE('',#11326,0.3); +#207=CYLINDRICAL_SURFACE('',#11327,0.3); +#208=CYLINDRICAL_SURFACE('',#11328,0.3); +#209=CYLINDRICAL_SURFACE('',#11329,0.3); +#210=CYLINDRICAL_SURFACE('',#11330,0.3); +#211=CYLINDRICAL_SURFACE('',#11331,0.3); +#212=CYLINDRICAL_SURFACE('',#11332,0.3); +#213=CYLINDRICAL_SURFACE('',#11333,0.3); +#214=CYLINDRICAL_SURFACE('',#11337,0.2); +#215=CYLINDRICAL_SURFACE('',#11338,0.2); +#216=CYLINDRICAL_SURFACE('',#11339,0.2); +#217=CYLINDRICAL_SURFACE('',#11340,0.2); +#218=CYLINDRICAL_SURFACE('',#11341,0.2); +#219=CYLINDRICAL_SURFACE('',#11342,0.2); +#220=CYLINDRICAL_SURFACE('',#11343,0.2); +#221=CYLINDRICAL_SURFACE('',#11344,0.2); +#222=CYLINDRICAL_SURFACE('',#11345,0.2); +#223=CYLINDRICAL_SURFACE('',#11346,0.2); +#224=CYLINDRICAL_SURFACE('',#11349,0.1); +#225=CYLINDRICAL_SURFACE('',#11418,0.1); +#226=CYLINDRICAL_SURFACE('',#11422,0.26); +#227=CYLINDRICAL_SURFACE('',#11425,0.26); +#228=CYLINDRICAL_SURFACE('',#11431,0.01); +#229=CYLINDRICAL_SURFACE('',#11434,0.01); +#230=CYLINDRICAL_SURFACE('',#11439,0.1); +#231=CYLINDRICAL_SURFACE('',#11444,0.26); +#232=CYLINDRICAL_SURFACE('',#11447,0.26); +#233=CYLINDRICAL_SURFACE('',#11453,0.01); +#234=CYLINDRICAL_SURFACE('',#11456,0.01); +#235=CYLINDRICAL_SURFACE('',#11466,0.26); +#236=CYLINDRICAL_SURFACE('',#11469,0.26); +#237=CYLINDRICAL_SURFACE('',#11474,0.01); +#238=CYLINDRICAL_SURFACE('',#11477,0.01); +#239=CYLINDRICAL_SURFACE('',#11486,0.26); +#240=CYLINDRICAL_SURFACE('',#11487,0.26); +#241=CYLINDRICAL_SURFACE('',#11488,0.01); +#242=CYLINDRICAL_SURFACE('',#11489,0.01); +#243=CYLINDRICAL_SURFACE('',#11494,0.35); +#244=CYLINDRICAL_SURFACE('',#11506,0.1); +#245=CYLINDRICAL_SURFACE('',#11507,0.1); +#246=CYLINDRICAL_SURFACE('',#11508,0.1); +#247=CYLINDRICAL_SURFACE('',#11509,0.1); +#248=CYLINDRICAL_SURFACE('',#11510,0.1); +#249=CYLINDRICAL_SURFACE('',#11511,0.1); +#250=CYLINDRICAL_SURFACE('',#11512,0.1); +#251=CYLINDRICAL_SURFACE('',#11513,0.1); +#252=CYLINDRICAL_SURFACE('',#11517,0.1); +#253=CYLINDRICAL_SURFACE('',#11528,1.4); +#254=CYLINDRICAL_SURFACE('',#11529,1.15); +#255=CYLINDRICAL_SURFACE('',#11537,0.497903225806451); +#256=CYLINDRICAL_SURFACE('',#11538,0.247903225806451); +#257=CYLINDRICAL_SURFACE('',#11545,0.08); +#258=CYLINDRICAL_SURFACE('',#11548,0.08); +#259=CYLINDRICAL_SURFACE('',#11551,0.08); +#260=CYLINDRICAL_SURFACE('',#11554,0.08); +#261=CYLINDRICAL_SURFACE('',#11557,0.33); +#262=CYLINDRICAL_SURFACE('',#11560,0.33); +#263=CYLINDRICAL_SURFACE('',#11564,0.33); +#264=CYLINDRICAL_SURFACE('',#11566,0.33); +#265=CYLINDRICAL_SURFACE('',#11573,0.25); +#266=CYLINDRICAL_SURFACE('',#11580,0.25); +#267=CYLINDRICAL_SURFACE('',#11582,0.25); +#268=CYLINDRICAL_SURFACE('',#11588,0.25); +#269=CYLINDRICAL_SURFACE('',#11589,0.25); +#270=CYLINDRICAL_SURFACE('',#11596,0.25); +#271=CYLINDRICAL_SURFACE('',#11598,0.25); +#272=CYLINDRICAL_SURFACE('',#11604,0.25); +#273=CYLINDRICAL_SURFACE('',#11605,0.35); +#274=CYLINDRICAL_SURFACE('',#11617,0.1); +#275=CYLINDRICAL_SURFACE('',#11618,0.1); +#276=CYLINDRICAL_SURFACE('',#11619,0.1); +#277=CYLINDRICAL_SURFACE('',#11620,0.1); +#278=CYLINDRICAL_SURFACE('',#11621,0.1); +#279=CYLINDRICAL_SURFACE('',#11622,0.1); +#280=CYLINDRICAL_SURFACE('',#11623,0.1); +#281=CYLINDRICAL_SURFACE('',#11624,0.1); +#282=CYLINDRICAL_SURFACE('',#11628,0.1); +#283=CYLINDRICAL_SURFACE('',#11639,1.4); +#284=CYLINDRICAL_SURFACE('',#11640,1.15); +#285=CYLINDRICAL_SURFACE('',#11648,0.49790322580645); +#286=CYLINDRICAL_SURFACE('',#11649,0.247903225806451); +#287=CYLINDRICAL_SURFACE('',#11655,0.08); +#288=CYLINDRICAL_SURFACE('',#11658,0.08); +#289=CYLINDRICAL_SURFACE('',#11661,0.08); +#290=CYLINDRICAL_SURFACE('',#11664,0.08); +#291=CYLINDRICAL_SURFACE('',#11667,0.33); +#292=CYLINDRICAL_SURFACE('',#11670,0.33); +#293=CYLINDRICAL_SURFACE('',#11673,0.33); +#294=CYLINDRICAL_SURFACE('',#11675,0.33); +#295=CYLINDRICAL_SURFACE('',#11687,0.25); +#296=CYLINDRICAL_SURFACE('',#11689,0.25); +#297=CYLINDRICAL_SURFACE('',#11695,0.25); +#298=CYLINDRICAL_SURFACE('',#11697,0.25); +#299=CYLINDRICAL_SURFACE('',#11703,0.25); +#300=CYLINDRICAL_SURFACE('',#11705,0.25); +#301=CYLINDRICAL_SURFACE('',#11711,0.25); +#302=CYLINDRICAL_SURFACE('',#11713,0.25); +#303=CYLINDRICAL_SURFACE('',#11721,0.1); +#304=CYLINDRICAL_SURFACE('',#11728,0.26); +#305=CYLINDRICAL_SURFACE('',#11729,0.01); +#306=CYLINDRICAL_SURFACE('',#11730,0.1); +#307=CYLINDRICAL_SURFACE('',#11733,0.1); +#308=CYLINDRICAL_SURFACE('',#11737,0.1); +#309=CYLINDRICAL_SURFACE('',#11740,0.1); +#310=CYLINDRICAL_SURFACE('',#11752,0.26); +#311=CYLINDRICAL_SURFACE('',#11753,0.01); +#312=CYLINDRICAL_SURFACE('',#11754,0.2); +#313=CYLINDRICAL_SURFACE('',#11755,0.2); +#314=CYLINDRICAL_SURFACE('',#11756,0.2); +#315=CYLINDRICAL_SURFACE('',#11757,0.2); +#316=CIRCLE('',#10883,0.1); +#317=CIRCLE('',#10884,0.1); +#318=CIRCLE('',#10886,0.1); +#319=CIRCLE('',#10887,0.1); +#320=CIRCLE('',#10892,0.00999999999999999); +#321=CIRCLE('',#10893,0.31); +#322=CIRCLE('',#10895,0.31); +#323=CIRCLE('',#10896,0.00999999999999999); +#324=CIRCLE('',#10899,0.00999999999999999); +#325=CIRCLE('',#10900,0.31); +#326=CIRCLE('',#10902,0.31); +#327=CIRCLE('',#10903,0.00999999999999999); +#328=CIRCLE('',#10906,0.00999999999999999); +#329=CIRCLE('',#10907,0.31); +#330=CIRCLE('',#10909,0.31); +#331=CIRCLE('',#10910,0.00999999999999999); +#332=CIRCLE('',#10913,0.00999999999999999); +#333=CIRCLE('',#10914,0.31); +#334=CIRCLE('',#10916,0.31); +#335=CIRCLE('',#10917,0.00999999999999999); +#336=CIRCLE('',#10926,0.1); +#337=CIRCLE('',#10927,0.1); +#338=CIRCLE('',#10928,0.1); +#339=CIRCLE('',#10929,0.1); +#340=CIRCLE('',#10930,0.2); +#341=CIRCLE('',#10931,0.2); +#342=CIRCLE('',#10932,0.2); +#343=CIRCLE('',#10933,0.2); +#344=CIRCLE('',#10934,0.1); +#345=CIRCLE('',#10935,0.1); +#346=CIRCLE('',#10946,0.3); +#347=CIRCLE('',#10947,0.3); +#348=CIRCLE('',#10956,0.1); +#349=CIRCLE('',#10957,0.1); +#350=CIRCLE('',#10958,0.1); +#351=CIRCLE('',#10963,0.1); +#352=CIRCLE('',#10964,0.1); +#353=CIRCLE('',#10965,0.1); +#354=CIRCLE('',#10974,0.1); +#355=CIRCLE('',#10979,0.3); +#356=CIRCLE('',#10981,0.15); +#357=CIRCLE('',#10991,0.1); +#358=CIRCLE('',#10996,0.3); +#359=CIRCLE('',#10998,0.15); +#360=CIRCLE('',#11066,0.00999999999999963); +#361=CIRCLE('',#11067,0.31); +#362=CIRCLE('',#11069,0.31); +#363=CIRCLE('',#11070,0.00999999999999963); +#364=CIRCLE('',#11113,0.31); +#365=CIRCLE('',#11114,0.31); +#366=CIRCLE('',#11117,0.01); +#367=CIRCLE('',#11118,0.01); +#368=CIRCLE('',#11123,0.31); +#369=CIRCLE('',#11124,0.31); +#370=CIRCLE('',#11127,0.01); +#371=CIRCLE('',#11128,0.01); +#372=CIRCLE('',#11144,0.31); +#373=CIRCLE('',#11145,0.31); +#374=CIRCLE('',#11148,0.01); +#375=CIRCLE('',#11149,0.01); +#376=CIRCLE('',#11154,0.31); +#377=CIRCLE('',#11155,0.31); +#378=CIRCLE('',#11158,0.01); +#379=CIRCLE('',#11159,0.01); +#380=CIRCLE('',#11170,0.2); +#381=CIRCLE('',#11171,0.2); +#382=CIRCLE('',#11172,0.2); +#383=CIRCLE('',#11173,0.2); +#384=CIRCLE('',#11174,0.2); +#385=CIRCLE('',#11175,0.3); +#386=CIRCLE('',#11176,0.3); +#387=CIRCLE('',#11177,0.2); +#388=CIRCLE('',#11178,0.2); +#389=CIRCLE('',#11179,0.3); +#390=CIRCLE('',#11180,0.3); +#391=CIRCLE('',#11181,0.2); +#392=CIRCLE('',#11183,0.2); +#393=CIRCLE('',#11184,0.2); +#394=CIRCLE('',#11185,0.3); +#395=CIRCLE('',#11186,0.3); +#396=CIRCLE('',#11187,0.2); +#397=CIRCLE('',#11188,0.2); +#398=CIRCLE('',#11189,0.3); +#399=CIRCLE('',#11190,0.3); +#400=CIRCLE('',#11191,0.2); +#401=CIRCLE('',#11192,0.2); +#402=CIRCLE('',#11193,0.2); +#403=CIRCLE('',#11194,0.2); +#404=CIRCLE('',#11196,0.5); +#405=CIRCLE('',#11197,0.25); +#406=CIRCLE('',#11199,0.25); +#407=CIRCLE('',#11200,0.5); +#408=CIRCLE('',#11204,0.5); +#409=CIRCLE('',#11205,0.25); +#410=CIRCLE('',#11206,0.25); +#411=CIRCLE('',#11207,0.25); +#412=CIRCLE('',#11208,0.25); +#413=CIRCLE('',#11209,0.25); +#414=CIRCLE('',#11214,0.25); +#415=CIRCLE('',#11215,0.25); +#416=CIRCLE('',#11216,0.5); +#417=CIRCLE('',#11217,0.25); +#418=CIRCLE('',#11218,0.25); +#419=CIRCLE('',#11219,0.25); +#420=CIRCLE('',#11223,0.2); +#421=CIRCLE('',#11224,0.3); +#422=CIRCLE('',#11225,0.3); +#423=CIRCLE('',#11226,0.3); +#424=CIRCLE('',#11227,0.3); +#425=CIRCLE('',#11228,0.3); +#426=CIRCLE('',#11229,0.3); +#427=CIRCLE('',#11230,0.3); +#428=CIRCLE('',#11234,0.2); +#429=CIRCLE('',#11235,0.3); +#430=CIRCLE('',#11236,0.3); +#431=CIRCLE('',#11237,0.3); +#432=CIRCLE('',#11238,0.3); +#433=CIRCLE('',#11239,0.3); +#434=CIRCLE('',#11240,0.3); +#435=CIRCLE('',#11241,0.3); +#436=CIRCLE('',#11243,0.2); +#437=CIRCLE('',#11244,0.3); +#438=CIRCLE('',#11245,0.3); +#439=CIRCLE('',#11246,0.3); +#440=CIRCLE('',#11247,0.3); +#441=CIRCLE('',#11248,0.3); +#442=CIRCLE('',#11249,0.3); +#443=CIRCLE('',#11250,0.3); +#444=CIRCLE('',#11254,0.2); +#445=CIRCLE('',#11255,0.3); +#446=CIRCLE('',#11256,0.3); +#447=CIRCLE('',#11257,0.3); +#448=CIRCLE('',#11258,0.3); +#449=CIRCLE('',#11259,0.3); +#450=CIRCLE('',#11260,0.3); +#451=CIRCLE('',#11261,0.3); +#452=CIRCLE('',#11350,0.1); +#453=CIRCLE('',#11351,0.1); +#454=CIRCLE('',#11353,0.33); +#455=CIRCLE('',#11354,0.33); +#456=CIRCLE('',#11355,0.33); +#457=CIRCLE('',#11356,0.33); +#458=CIRCLE('',#11357,0.1); +#459=CIRCLE('',#11358,0.1); +#460=CIRCLE('',#11359,0.1); +#461=CIRCLE('',#11360,0.1); +#462=CIRCLE('',#11361,0.1); +#463=CIRCLE('',#11362,0.1); +#464=CIRCLE('',#11363,0.1); +#465=CIRCLE('',#11364,0.1); +#466=CIRCLE('',#11365,0.33); +#467=CIRCLE('',#11366,0.33); +#468=CIRCLE('',#11367,0.33); +#469=CIRCLE('',#11368,0.33); +#470=CIRCLE('',#11369,0.1); +#471=CIRCLE('',#11370,0.1); +#472=CIRCLE('',#11371,0.1); +#473=CIRCLE('',#11372,0.1); +#474=CIRCLE('',#11373,0.1); +#475=CIRCLE('',#11374,0.1); +#476=CIRCLE('',#11375,0.1); +#477=CIRCLE('',#11376,0.1); +#478=CIRCLE('',#11377,0.2); +#479=CIRCLE('',#11378,0.2); +#480=CIRCLE('',#11379,0.2); +#481=CIRCLE('',#11380,0.1); +#482=CIRCLE('',#11381,0.2); +#483=CIRCLE('',#11383,0.1); +#484=CIRCLE('',#11384,0.1); +#485=CIRCLE('',#11385,0.1); +#486=CIRCLE('',#11386,0.1); +#487=CIRCLE('',#11387,0.1); +#488=CIRCLE('',#11388,0.1); +#489=CIRCLE('',#11389,0.1); +#490=CIRCLE('',#11390,0.1); +#491=CIRCLE('',#11391,0.33); +#492=CIRCLE('',#11392,0.33); +#493=CIRCLE('',#11393,0.33); +#494=CIRCLE('',#11394,0.33); +#495=CIRCLE('',#11395,0.1); +#496=CIRCLE('',#11396,0.1); +#497=CIRCLE('',#11397,0.1); +#498=CIRCLE('',#11398,0.1); +#499=CIRCLE('',#11399,0.1); +#500=CIRCLE('',#11400,0.1); +#501=CIRCLE('',#11401,0.1); +#502=CIRCLE('',#11402,0.1); +#503=CIRCLE('',#11403,0.33); +#504=CIRCLE('',#11404,0.33); +#505=CIRCLE('',#11405,0.33); +#506=CIRCLE('',#11406,0.33); +#507=CIRCLE('',#11407,0.2); +#508=CIRCLE('',#11408,0.2); +#509=CIRCLE('',#11409,0.1); +#510=CIRCLE('',#11410,0.2); +#511=CIRCLE('',#11411,0.2); +#512=CIRCLE('',#11416,0.01); +#513=CIRCLE('',#11417,0.26); +#514=CIRCLE('',#11423,0.26); +#515=CIRCLE('',#11424,0.26); +#516=CIRCLE('',#11426,0.26); +#517=CIRCLE('',#11427,0.26); +#518=CIRCLE('',#11429,0.35); +#519=CIRCLE('',#11432,0.01); +#520=CIRCLE('',#11433,0.01); +#521=CIRCLE('',#11435,0.01); +#522=CIRCLE('',#11436,0.01); +#523=CIRCLE('',#11438,0.35); +#524=CIRCLE('',#11443,0.35); +#525=CIRCLE('',#11445,0.26); +#526=CIRCLE('',#11446,0.26); +#527=CIRCLE('',#11448,0.26); +#528=CIRCLE('',#11449,0.26); +#529=CIRCLE('',#11452,0.35); +#530=CIRCLE('',#11454,0.01); +#531=CIRCLE('',#11455,0.01); +#532=CIRCLE('',#11457,0.01); +#533=CIRCLE('',#11458,0.01); +#534=CIRCLE('',#11461,0.26); +#535=CIRCLE('',#11462,0.01); +#536=CIRCLE('',#11467,0.26); +#537=CIRCLE('',#11468,0.26); +#538=CIRCLE('',#11470,0.26); +#539=CIRCLE('',#11471,0.26); +#540=CIRCLE('',#11475,0.01); +#541=CIRCLE('',#11476,0.01); +#542=CIRCLE('',#11478,0.01); +#543=CIRCLE('',#11479,0.01); +#544=CIRCLE('',#11482,0.01); +#545=CIRCLE('',#11483,0.26); +#546=CIRCLE('',#11484,0.26); +#547=CIRCLE('',#11485,0.01); +#548=CIRCLE('',#11514,0.1); +#549=CIRCLE('',#11515,0.1); +#550=CIRCLE('',#11518,0.1); +#551=CIRCLE('',#11519,0.1); +#552=CIRCLE('',#11521,1.15); +#553=CIRCLE('',#11522,1.4); +#554=CIRCLE('',#11525,1.4); +#555=CIRCLE('',#11526,1.15); +#556=CIRCLE('',#11532,0.497903225806451); +#557=CIRCLE('',#11533,0.247903225806451); +#558=CIRCLE('',#11535,0.497903225806451); +#559=CIRCLE('',#11536,0.247903225806451); +#560=CIRCLE('',#11540,0.08); +#561=CIRCLE('',#11541,0.08); +#562=CIRCLE('',#11542,0.08); +#563=CIRCLE('',#11543,0.08); +#564=CIRCLE('',#11546,0.08); +#565=CIRCLE('',#11549,0.08); +#566=CIRCLE('',#11552,0.08); +#567=CIRCLE('',#11555,0.08); +#568=CIRCLE('',#11558,0.33); +#569=CIRCLE('',#11561,0.33); +#570=CIRCLE('',#11565,0.33); +#571=CIRCLE('',#11567,0.33); +#572=CIRCLE('',#11569,0.08); +#573=CIRCLE('',#11570,0.08); +#574=CIRCLE('',#11571,0.08); +#575=CIRCLE('',#11572,0.08); +#576=CIRCLE('',#11574,0.25); +#577=CIRCLE('',#11575,0.25); +#578=CIRCLE('',#11577,0.25); +#579=CIRCLE('',#11579,0.25); +#580=CIRCLE('',#11581,0.25); +#581=CIRCLE('',#11583,0.25); +#582=CIRCLE('',#11585,0.25); +#583=CIRCLE('',#11587,0.25); +#584=CIRCLE('',#11590,0.25); +#585=CIRCLE('',#11591,0.25); +#586=CIRCLE('',#11593,0.25); +#587=CIRCLE('',#11595,0.25); +#588=CIRCLE('',#11597,0.25); +#589=CIRCLE('',#11599,0.25); +#590=CIRCLE('',#11601,0.25); +#591=CIRCLE('',#11603,0.25); +#592=CIRCLE('',#11625,0.1); +#593=CIRCLE('',#11626,0.1); +#594=CIRCLE('',#11629,0.1); +#595=CIRCLE('',#11630,0.1); +#596=CIRCLE('',#11633,1.4); +#597=CIRCLE('',#11634,1.15); +#598=CIRCLE('',#11637,1.15); +#599=CIRCLE('',#11638,1.4); +#600=CIRCLE('',#11642,0.247903225806451); +#601=CIRCLE('',#11643,0.49790322580645); +#602=CIRCLE('',#11646,0.247903225806451); +#603=CIRCLE('',#11647,0.49790322580645); +#604=CIRCLE('',#11651,0.08); +#605=CIRCLE('',#11652,0.08); +#606=CIRCLE('',#11653,0.08); +#607=CIRCLE('',#11654,0.08); +#608=CIRCLE('',#11656,0.08); +#609=CIRCLE('',#11659,0.08); +#610=CIRCLE('',#11662,0.08); +#611=CIRCLE('',#11665,0.08); +#612=CIRCLE('',#11668,0.33); +#613=CIRCLE('',#11671,0.33); +#614=CIRCLE('',#11674,0.33); +#615=CIRCLE('',#11676,0.33); +#616=CIRCLE('',#11680,0.08); +#617=CIRCLE('',#11681,0.08); +#618=CIRCLE('',#11682,0.08); +#619=CIRCLE('',#11683,0.08); +#620=CIRCLE('',#11685,0.25); +#621=CIRCLE('',#11686,0.25); +#622=CIRCLE('',#11688,0.25); +#623=CIRCLE('',#11690,0.25); +#624=CIRCLE('',#11692,0.25); +#625=CIRCLE('',#11694,0.25); +#626=CIRCLE('',#11696,0.25); +#627=CIRCLE('',#11698,0.25); +#628=CIRCLE('',#11701,0.25); +#629=CIRCLE('',#11702,0.25); +#630=CIRCLE('',#11704,0.25); +#631=CIRCLE('',#11706,0.25); +#632=CIRCLE('',#11708,0.25); +#633=CIRCLE('',#11710,0.25); +#634=CIRCLE('',#11712,0.25); +#635=CIRCLE('',#11714,0.25); +#636=CIRCLE('',#11723,0.01); +#637=CIRCLE('',#11724,0.26); +#638=CIRCLE('',#11726,0.26); +#639=CIRCLE('',#11727,0.01); +#640=CIRCLE('',#11731,0.1); +#641=CIRCLE('',#11732,0.1); +#642=CIRCLE('',#11734,0.1); +#643=CIRCLE('',#11735,0.1); +#644=CIRCLE('',#11738,0.1); +#645=CIRCLE('',#11739,0.1); +#646=CIRCLE('',#11741,0.1); +#647=CIRCLE('',#11742,0.1); +#648=CIRCLE('',#11747,0.01); +#649=CIRCLE('',#11748,0.26); +#650=CIRCLE('',#11750,0.26); +#651=CIRCLE('',#11751,0.01); +#652=ORIENTED_EDGE('',*,*,#3804,.F.); +#653=ORIENTED_EDGE('',*,*,#3805,.T.); +#654=ORIENTED_EDGE('',*,*,#3806,.T.); +#655=ORIENTED_EDGE('',*,*,#3807,.T.); +#656=ORIENTED_EDGE('',*,*,#3808,.F.); +#657=ORIENTED_EDGE('',*,*,#3809,.F.); +#658=ORIENTED_EDGE('',*,*,#3810,.T.); +#659=ORIENTED_EDGE('',*,*,#3811,.T.); +#660=ORIENTED_EDGE('',*,*,#3812,.F.); +#661=ORIENTED_EDGE('',*,*,#3813,.F.); +#662=ORIENTED_EDGE('',*,*,#3814,.T.); +#663=ORIENTED_EDGE('',*,*,#3815,.T.); +#664=ORIENTED_EDGE('',*,*,#3816,.T.); +#665=ORIENTED_EDGE('',*,*,#3817,.T.); +#666=ORIENTED_EDGE('',*,*,#3818,.F.); +#667=ORIENTED_EDGE('',*,*,#3819,.T.); +#668=ORIENTED_EDGE('',*,*,#3820,.T.); +#669=ORIENTED_EDGE('',*,*,#3821,.F.); +#670=ORIENTED_EDGE('',*,*,#3822,.T.); +#671=ORIENTED_EDGE('',*,*,#3823,.T.); +#672=ORIENTED_EDGE('',*,*,#3824,.F.); +#673=ORIENTED_EDGE('',*,*,#3825,.T.); +#674=ORIENTED_EDGE('',*,*,#3826,.T.); +#675=ORIENTED_EDGE('',*,*,#3827,.T.); +#676=ORIENTED_EDGE('',*,*,#3817,.F.); +#677=ORIENTED_EDGE('',*,*,#3828,.T.); +#678=ORIENTED_EDGE('',*,*,#3829,.T.); +#679=ORIENTED_EDGE('',*,*,#3830,.T.); +#680=ORIENTED_EDGE('',*,*,#3820,.F.); +#681=ORIENTED_EDGE('',*,*,#3831,.F.); +#682=ORIENTED_EDGE('',*,*,#3832,.F.); +#683=ORIENTED_EDGE('',*,*,#3833,.T.); +#684=ORIENTED_EDGE('',*,*,#3834,.F.); +#685=ORIENTED_EDGE('',*,*,#3835,.F.); +#686=ORIENTED_EDGE('',*,*,#3836,.F.); +#687=ORIENTED_EDGE('',*,*,#3837,.T.); +#688=ORIENTED_EDGE('',*,*,#3838,.F.); +#689=ORIENTED_EDGE('',*,*,#3839,.T.); +#690=ORIENTED_EDGE('',*,*,#3840,.F.); +#691=ORIENTED_EDGE('',*,*,#3841,.F.); +#692=ORIENTED_EDGE('',*,*,#3842,.F.); +#693=ORIENTED_EDGE('',*,*,#3843,.F.); +#694=ORIENTED_EDGE('',*,*,#3844,.F.); +#695=ORIENTED_EDGE('',*,*,#3845,.T.); +#696=ORIENTED_EDGE('',*,*,#3846,.F.); +#697=ORIENTED_EDGE('',*,*,#3847,.F.); +#698=ORIENTED_EDGE('',*,*,#3848,.F.); +#699=ORIENTED_EDGE('',*,*,#3849,.T.); +#700=ORIENTED_EDGE('',*,*,#3850,.T.); +#701=ORIENTED_EDGE('',*,*,#3834,.T.); +#702=ORIENTED_EDGE('',*,*,#3851,.F.); +#703=ORIENTED_EDGE('',*,*,#3852,.T.); +#704=ORIENTED_EDGE('',*,*,#3853,.T.); +#705=ORIENTED_EDGE('',*,*,#3838,.T.); +#706=ORIENTED_EDGE('',*,*,#3854,.F.); +#707=ORIENTED_EDGE('',*,*,#3855,.T.); +#708=ORIENTED_EDGE('',*,*,#3856,.F.); +#709=ORIENTED_EDGE('',*,*,#3857,.T.); +#710=ORIENTED_EDGE('',*,*,#3858,.T.); +#711=ORIENTED_EDGE('',*,*,#3859,.T.); +#712=ORIENTED_EDGE('',*,*,#3860,.T.); +#713=ORIENTED_EDGE('',*,*,#3861,.T.); +#714=ORIENTED_EDGE('',*,*,#3862,.F.); +#715=ORIENTED_EDGE('',*,*,#3863,.T.); +#716=ORIENTED_EDGE('',*,*,#3864,.T.); +#717=ORIENTED_EDGE('',*,*,#3865,.T.); +#718=ORIENTED_EDGE('',*,*,#3866,.T.); +#719=ORIENTED_EDGE('',*,*,#3867,.T.); +#720=ORIENTED_EDGE('',*,*,#3868,.F.); +#721=ORIENTED_EDGE('',*,*,#3869,.F.); +#722=ORIENTED_EDGE('',*,*,#3870,.T.); +#723=ORIENTED_EDGE('',*,*,#3871,.F.); +#724=ORIENTED_EDGE('',*,*,#3872,.T.); +#725=ORIENTED_EDGE('',*,*,#3846,.T.); +#726=ORIENTED_EDGE('',*,*,#3873,.F.); +#727=ORIENTED_EDGE('',*,*,#3874,.T.); +#728=ORIENTED_EDGE('',*,*,#3875,.T.); +#729=ORIENTED_EDGE('',*,*,#3842,.T.); +#730=ORIENTED_EDGE('',*,*,#3876,.T.); +#731=ORIENTED_EDGE('',*,*,#3877,.T.); +#732=ORIENTED_EDGE('',*,*,#3878,.T.); +#733=ORIENTED_EDGE('',*,*,#3840,.T.); +#734=ORIENTED_EDGE('',*,*,#3879,.T.); +#735=ORIENTED_EDGE('',*,*,#3880,.T.); +#736=ORIENTED_EDGE('',*,*,#3881,.T.); +#737=ORIENTED_EDGE('',*,*,#3843,.T.); +#738=ORIENTED_EDGE('',*,*,#3875,.F.); +#739=ORIENTED_EDGE('',*,*,#3882,.F.); +#740=ORIENTED_EDGE('',*,*,#3883,.F.); +#741=ORIENTED_EDGE('',*,*,#3884,.F.); +#742=ORIENTED_EDGE('',*,*,#3885,.T.); +#743=ORIENTED_EDGE('',*,*,#3815,.F.); +#744=ORIENTED_EDGE('',*,*,#3886,.F.); +#745=ORIENTED_EDGE('',*,*,#3887,.F.); +#746=ORIENTED_EDGE('',*,*,#3888,.F.); +#747=ORIENTED_EDGE('',*,*,#3889,.F.); +#748=ORIENTED_EDGE('',*,*,#3845,.F.); +#749=ORIENTED_EDGE('',*,*,#3890,.F.); +#750=ORIENTED_EDGE('',*,*,#3891,.T.); +#751=ORIENTED_EDGE('',*,*,#3892,.T.); +#752=ORIENTED_EDGE('',*,*,#3893,.T.); +#753=ORIENTED_EDGE('',*,*,#3894,.T.); +#754=ORIENTED_EDGE('',*,*,#3813,.T.); +#755=ORIENTED_EDGE('',*,*,#3895,.F.); +#756=ORIENTED_EDGE('',*,*,#3896,.T.); +#757=ORIENTED_EDGE('',*,*,#3897,.T.); +#758=ORIENTED_EDGE('',*,*,#3898,.T.); +#759=ORIENTED_EDGE('',*,*,#3873,.T.); +#760=ORIENTED_EDGE('',*,*,#3890,.T.); +#761=ORIENTED_EDGE('',*,*,#3844,.T.); +#762=ORIENTED_EDGE('',*,*,#3881,.F.); +#763=ORIENTED_EDGE('',*,*,#3899,.F.); +#764=ORIENTED_EDGE('',*,*,#3900,.T.); +#765=ORIENTED_EDGE('',*,*,#3847,.T.); +#766=ORIENTED_EDGE('',*,*,#3872,.F.); +#767=ORIENTED_EDGE('',*,*,#3901,.F.); +#768=ORIENTED_EDGE('',*,*,#3902,.F.); +#769=ORIENTED_EDGE('',*,*,#3903,.F.); +#770=ORIENTED_EDGE('',*,*,#3904,.T.); +#771=ORIENTED_EDGE('',*,*,#3811,.F.); +#772=ORIENTED_EDGE('',*,*,#3905,.F.); +#773=ORIENTED_EDGE('',*,*,#3906,.F.); +#774=ORIENTED_EDGE('',*,*,#3907,.F.); +#775=ORIENTED_EDGE('',*,*,#3908,.F.); +#776=ORIENTED_EDGE('',*,*,#3849,.F.); +#777=ORIENTED_EDGE('',*,*,#3909,.F.); +#778=ORIENTED_EDGE('',*,*,#3910,.T.); +#779=ORIENTED_EDGE('',*,*,#3911,.T.); +#780=ORIENTED_EDGE('',*,*,#3912,.T.); +#781=ORIENTED_EDGE('',*,*,#3913,.T.); +#782=ORIENTED_EDGE('',*,*,#3809,.T.); +#783=ORIENTED_EDGE('',*,*,#3914,.F.); +#784=ORIENTED_EDGE('',*,*,#3915,.T.); +#785=ORIENTED_EDGE('',*,*,#3916,.T.); +#786=ORIENTED_EDGE('',*,*,#3917,.T.); +#787=ORIENTED_EDGE('',*,*,#3818,.T.); +#788=ORIENTED_EDGE('',*,*,#3909,.T.); +#789=ORIENTED_EDGE('',*,*,#3848,.T.); +#790=ORIENTED_EDGE('',*,*,#3900,.F.); +#791=ORIENTED_EDGE('',*,*,#3918,.F.); +#792=ORIENTED_EDGE('',*,*,#3919,.T.); +#793=ORIENTED_EDGE('',*,*,#3831,.T.); +#794=ORIENTED_EDGE('',*,*,#3823,.F.); +#795=ORIENTED_EDGE('',*,*,#3920,.F.); +#796=ORIENTED_EDGE('',*,*,#3921,.F.); +#797=ORIENTED_EDGE('',*,*,#3922,.F.); +#798=ORIENTED_EDGE('',*,*,#3923,.T.); +#799=ORIENTED_EDGE('',*,*,#3924,.F.); +#800=ORIENTED_EDGE('',*,*,#3925,.F.); +#801=ORIENTED_EDGE('',*,*,#3926,.F.); +#802=ORIENTED_EDGE('',*,*,#3927,.F.); +#803=ORIENTED_EDGE('',*,*,#3928,.F.); +#804=ORIENTED_EDGE('',*,*,#3833,.F.); +#805=ORIENTED_EDGE('',*,*,#3929,.F.); +#806=ORIENTED_EDGE('',*,*,#3930,.T.); +#807=ORIENTED_EDGE('',*,*,#3931,.T.); +#808=ORIENTED_EDGE('',*,*,#3932,.T.); +#809=ORIENTED_EDGE('',*,*,#3933,.T.); +#810=ORIENTED_EDGE('',*,*,#3934,.T.); +#811=ORIENTED_EDGE('',*,*,#3935,.F.); +#812=ORIENTED_EDGE('',*,*,#3936,.T.); +#813=ORIENTED_EDGE('',*,*,#3937,.T.); +#814=ORIENTED_EDGE('',*,*,#3938,.T.); +#815=ORIENTED_EDGE('',*,*,#3851,.T.); +#816=ORIENTED_EDGE('',*,*,#3929,.T.); +#817=ORIENTED_EDGE('',*,*,#3832,.T.); +#818=ORIENTED_EDGE('',*,*,#3919,.F.); +#819=ORIENTED_EDGE('',*,*,#3939,.F.); +#820=ORIENTED_EDGE('',*,*,#3940,.T.); +#821=ORIENTED_EDGE('',*,*,#3835,.T.); +#822=ORIENTED_EDGE('',*,*,#3850,.F.); +#823=ORIENTED_EDGE('',*,*,#3941,.F.); +#824=ORIENTED_EDGE('',*,*,#3942,.F.); +#825=ORIENTED_EDGE('',*,*,#3943,.F.); +#826=ORIENTED_EDGE('',*,*,#3944,.T.); +#827=ORIENTED_EDGE('',*,*,#3945,.F.); +#828=ORIENTED_EDGE('',*,*,#3946,.F.); +#829=ORIENTED_EDGE('',*,*,#3947,.F.); +#830=ORIENTED_EDGE('',*,*,#3948,.F.); +#831=ORIENTED_EDGE('',*,*,#3949,.F.); +#832=ORIENTED_EDGE('',*,*,#3837,.F.); +#833=ORIENTED_EDGE('',*,*,#3950,.F.); +#834=ORIENTED_EDGE('',*,*,#3951,.T.); +#835=ORIENTED_EDGE('',*,*,#3952,.T.); +#836=ORIENTED_EDGE('',*,*,#3953,.T.); +#837=ORIENTED_EDGE('',*,*,#3954,.T.); +#838=ORIENTED_EDGE('',*,*,#3955,.T.); +#839=ORIENTED_EDGE('',*,*,#3956,.F.); +#840=ORIENTED_EDGE('',*,*,#3957,.T.); +#841=ORIENTED_EDGE('',*,*,#3958,.T.); +#842=ORIENTED_EDGE('',*,*,#3959,.T.); +#843=ORIENTED_EDGE('',*,*,#3854,.T.); +#844=ORIENTED_EDGE('',*,*,#3950,.T.); +#845=ORIENTED_EDGE('',*,*,#3836,.T.); +#846=ORIENTED_EDGE('',*,*,#3940,.F.); +#847=ORIENTED_EDGE('',*,*,#3960,.F.); +#848=ORIENTED_EDGE('',*,*,#3961,.T.); +#849=ORIENTED_EDGE('',*,*,#3962,.T.); +#850=ORIENTED_EDGE('',*,*,#3963,.T.); +#851=ORIENTED_EDGE('',*,*,#3964,.T.); +#852=ORIENTED_EDGE('',*,*,#3965,.T.); +#853=ORIENTED_EDGE('',*,*,#3966,.T.); +#854=ORIENTED_EDGE('',*,*,#3967,.T.); +#855=ORIENTED_EDGE('',*,*,#3968,.T.); +#856=ORIENTED_EDGE('',*,*,#3969,.T.); +#857=ORIENTED_EDGE('',*,*,#3970,.T.); +#858=ORIENTED_EDGE('',*,*,#3971,.T.); +#859=ORIENTED_EDGE('',*,*,#3972,.T.); +#860=ORIENTED_EDGE('',*,*,#3973,.T.); +#861=ORIENTED_EDGE('',*,*,#3974,.T.); +#862=ORIENTED_EDGE('',*,*,#3975,.T.); +#863=ORIENTED_EDGE('',*,*,#3976,.T.); +#864=ORIENTED_EDGE('',*,*,#3977,.T.); +#865=ORIENTED_EDGE('',*,*,#3978,.T.); +#866=ORIENTED_EDGE('',*,*,#3979,.T.); +#867=ORIENTED_EDGE('',*,*,#3980,.T.); +#868=ORIENTED_EDGE('',*,*,#3981,.T.); +#869=ORIENTED_EDGE('',*,*,#3982,.T.); +#870=ORIENTED_EDGE('',*,*,#3983,.T.); +#871=ORIENTED_EDGE('',*,*,#3984,.T.); +#872=ORIENTED_EDGE('',*,*,#3985,.T.); +#873=ORIENTED_EDGE('',*,*,#3986,.T.); +#874=ORIENTED_EDGE('',*,*,#3987,.T.); +#875=ORIENTED_EDGE('',*,*,#3988,.T.); +#876=ORIENTED_EDGE('',*,*,#3989,.T.); +#877=ORIENTED_EDGE('',*,*,#3990,.T.); +#878=ORIENTED_EDGE('',*,*,#3991,.T.); +#879=ORIENTED_EDGE('',*,*,#3992,.T.); +#880=ORIENTED_EDGE('',*,*,#3993,.T.); +#881=ORIENTED_EDGE('',*,*,#3994,.T.); +#882=ORIENTED_EDGE('',*,*,#3995,.T.); +#883=ORIENTED_EDGE('',*,*,#3996,.T.); +#884=ORIENTED_EDGE('',*,*,#3997,.T.); +#885=ORIENTED_EDGE('',*,*,#3998,.T.); +#886=ORIENTED_EDGE('',*,*,#3999,.T.); +#887=ORIENTED_EDGE('',*,*,#4000,.T.); +#888=ORIENTED_EDGE('',*,*,#4001,.T.); +#889=ORIENTED_EDGE('',*,*,#4002,.T.); +#890=ORIENTED_EDGE('',*,*,#4003,.T.); +#891=ORIENTED_EDGE('',*,*,#4004,.T.); +#892=ORIENTED_EDGE('',*,*,#4005,.T.); +#893=ORIENTED_EDGE('',*,*,#4006,.T.); +#894=ORIENTED_EDGE('',*,*,#4007,.T.); +#895=ORIENTED_EDGE('',*,*,#4008,.T.); +#896=ORIENTED_EDGE('',*,*,#4009,.T.); +#897=ORIENTED_EDGE('',*,*,#4010,.T.); +#898=ORIENTED_EDGE('',*,*,#4011,.T.); +#899=ORIENTED_EDGE('',*,*,#4012,.T.); +#900=ORIENTED_EDGE('',*,*,#4013,.F.); +#901=ORIENTED_EDGE('',*,*,#4014,.T.); +#902=ORIENTED_EDGE('',*,*,#4015,.T.); +#903=ORIENTED_EDGE('',*,*,#4016,.T.); +#904=ORIENTED_EDGE('',*,*,#4017,.T.); +#905=ORIENTED_EDGE('',*,*,#4018,.T.); +#906=ORIENTED_EDGE('',*,*,#4019,.F.); +#907=ORIENTED_EDGE('',*,*,#4020,.F.); +#908=ORIENTED_EDGE('',*,*,#4021,.F.); +#909=ORIENTED_EDGE('',*,*,#4022,.T.); +#910=ORIENTED_EDGE('',*,*,#4023,.T.); +#911=ORIENTED_EDGE('',*,*,#4024,.T.); +#912=ORIENTED_EDGE('',*,*,#4025,.T.); +#913=ORIENTED_EDGE('',*,*,#4026,.F.); +#914=ORIENTED_EDGE('',*,*,#4027,.F.); +#915=ORIENTED_EDGE('',*,*,#4028,.T.); +#916=ORIENTED_EDGE('',*,*,#4029,.T.); +#917=ORIENTED_EDGE('',*,*,#4030,.T.); +#918=ORIENTED_EDGE('',*,*,#4031,.T.); +#919=ORIENTED_EDGE('',*,*,#4032,.T.); +#920=ORIENTED_EDGE('',*,*,#4033,.T.); +#921=ORIENTED_EDGE('',*,*,#4034,.T.); +#922=ORIENTED_EDGE('',*,*,#4035,.T.); +#923=ORIENTED_EDGE('',*,*,#4026,.T.); +#924=ORIENTED_EDGE('',*,*,#4036,.T.); +#925=ORIENTED_EDGE('',*,*,#4037,.T.); +#926=ORIENTED_EDGE('',*,*,#4038,.T.); +#927=ORIENTED_EDGE('',*,*,#4039,.T.); +#928=ORIENTED_EDGE('',*,*,#4040,.T.); +#929=ORIENTED_EDGE('',*,*,#4041,.T.); +#930=ORIENTED_EDGE('',*,*,#4042,.T.); +#931=ORIENTED_EDGE('',*,*,#4043,.T.); +#932=ORIENTED_EDGE('',*,*,#4044,.T.); +#933=ORIENTED_EDGE('',*,*,#4045,.T.); +#934=ORIENTED_EDGE('',*,*,#4046,.T.); +#935=ORIENTED_EDGE('',*,*,#4047,.T.); +#936=ORIENTED_EDGE('',*,*,#4048,.T.); +#937=ORIENTED_EDGE('',*,*,#4049,.T.); +#938=ORIENTED_EDGE('',*,*,#4050,.T.); +#939=ORIENTED_EDGE('',*,*,#4051,.T.); +#940=ORIENTED_EDGE('',*,*,#4028,.F.); +#941=ORIENTED_EDGE('',*,*,#4052,.T.); +#942=ORIENTED_EDGE('',*,*,#4053,.T.); +#943=ORIENTED_EDGE('',*,*,#4054,.T.); +#944=ORIENTED_EDGE('',*,*,#4024,.F.); +#945=ORIENTED_EDGE('',*,*,#4055,.T.); +#946=ORIENTED_EDGE('',*,*,#4056,.F.); +#947=ORIENTED_EDGE('',*,*,#4057,.F.); +#948=ORIENTED_EDGE('',*,*,#4058,.T.); +#949=ORIENTED_EDGE('',*,*,#4059,.T.); +#950=ORIENTED_EDGE('',*,*,#4060,.T.); +#951=ORIENTED_EDGE('',*,*,#4061,.T.); +#952=ORIENTED_EDGE('',*,*,#4062,.T.); +#953=ORIENTED_EDGE('',*,*,#4063,.T.); +#954=ORIENTED_EDGE('',*,*,#4064,.F.); +#955=ORIENTED_EDGE('',*,*,#4065,.T.); +#956=ORIENTED_EDGE('',*,*,#4066,.T.); +#957=ORIENTED_EDGE('',*,*,#4067,.F.); +#958=ORIENTED_EDGE('',*,*,#3983,.F.); +#959=ORIENTED_EDGE('',*,*,#4068,.T.); +#960=ORIENTED_EDGE('',*,*,#4069,.T.); +#961=ORIENTED_EDGE('',*,*,#4070,.T.); +#962=ORIENTED_EDGE('',*,*,#3804,.T.); +#963=ORIENTED_EDGE('',*,*,#3885,.F.); +#964=ORIENTED_EDGE('',*,*,#4071,.F.); +#965=ORIENTED_EDGE('',*,*,#3895,.T.); +#966=ORIENTED_EDGE('',*,*,#3812,.T.); +#967=ORIENTED_EDGE('',*,*,#3904,.F.); +#968=ORIENTED_EDGE('',*,*,#4072,.F.); +#969=ORIENTED_EDGE('',*,*,#3914,.T.); +#970=ORIENTED_EDGE('',*,*,#3808,.T.); +#971=ORIENTED_EDGE('',*,*,#4073,.F.); +#972=ORIENTED_EDGE('',*,*,#4074,.F.); +#973=ORIENTED_EDGE('',*,*,#4075,.T.); +#974=ORIENTED_EDGE('',*,*,#4076,.T.); +#975=ORIENTED_EDGE('',*,*,#3923,.F.); +#976=ORIENTED_EDGE('',*,*,#4077,.F.); +#977=ORIENTED_EDGE('',*,*,#3935,.T.); +#978=ORIENTED_EDGE('',*,*,#4078,.T.); +#979=ORIENTED_EDGE('',*,*,#3944,.F.); +#980=ORIENTED_EDGE('',*,*,#4079,.F.); +#981=ORIENTED_EDGE('',*,*,#3956,.T.); +#982=ORIENTED_EDGE('',*,*,#4080,.T.); +#983=ORIENTED_EDGE('',*,*,#4081,.T.); +#984=ORIENTED_EDGE('',*,*,#4082,.T.); +#985=ORIENTED_EDGE('',*,*,#4083,.T.); +#986=ORIENTED_EDGE('',*,*,#3991,.F.); +#987=ORIENTED_EDGE('',*,*,#4084,.T.); +#988=ORIENTED_EDGE('',*,*,#4085,.T.); +#989=ORIENTED_EDGE('',*,*,#4086,.T.); +#990=ORIENTED_EDGE('',*,*,#4087,.T.); +#991=ORIENTED_EDGE('',*,*,#4088,.T.); +#992=ORIENTED_EDGE('',*,*,#4089,.T.); +#993=ORIENTED_EDGE('',*,*,#4090,.T.); +#994=ORIENTED_EDGE('',*,*,#4080,.F.); +#995=ORIENTED_EDGE('',*,*,#3955,.F.); +#996=ORIENTED_EDGE('',*,*,#4091,.T.); +#997=ORIENTED_EDGE('',*,*,#3945,.T.); +#998=ORIENTED_EDGE('',*,*,#4078,.F.); +#999=ORIENTED_EDGE('',*,*,#3934,.F.); +#1000=ORIENTED_EDGE('',*,*,#4092,.T.); +#1001=ORIENTED_EDGE('',*,*,#3924,.T.); +#1002=ORIENTED_EDGE('',*,*,#4076,.F.); +#1003=ORIENTED_EDGE('',*,*,#4093,.F.); +#1004=ORIENTED_EDGE('',*,*,#3951,.F.); +#1005=ORIENTED_EDGE('',*,*,#3960,.T.); +#1006=ORIENTED_EDGE('',*,*,#3949,.T.); +#1007=ORIENTED_EDGE('',*,*,#4094,.T.); +#1008=ORIENTED_EDGE('',*,*,#4095,.T.); +#1009=ORIENTED_EDGE('',*,*,#4096,.T.); +#1010=ORIENTED_EDGE('',*,*,#4097,.T.); +#1011=ORIENTED_EDGE('',*,*,#4098,.T.); +#1012=ORIENTED_EDGE('',*,*,#3953,.F.); +#1013=ORIENTED_EDGE('',*,*,#4099,.F.); +#1014=ORIENTED_EDGE('',*,*,#3947,.T.); +#1015=ORIENTED_EDGE('',*,*,#4100,.T.); +#1016=ORIENTED_EDGE('',*,*,#4101,.F.); +#1017=ORIENTED_EDGE('',*,*,#4051,.F.); +#1018=ORIENTED_EDGE('',*,*,#4102,.T.); +#1019=ORIENTED_EDGE('',*,*,#4103,.T.); +#1020=ORIENTED_EDGE('',*,*,#4104,.T.); +#1021=ORIENTED_EDGE('',*,*,#4105,.T.); +#1022=ORIENTED_EDGE('',*,*,#4106,.T.); +#1023=ORIENTED_EDGE('',*,*,#4107,.T.); +#1024=ORIENTED_EDGE('',*,*,#3930,.F.); +#1025=ORIENTED_EDGE('',*,*,#3939,.T.); +#1026=ORIENTED_EDGE('',*,*,#3928,.T.); +#1027=ORIENTED_EDGE('',*,*,#4108,.T.); +#1028=ORIENTED_EDGE('',*,*,#4109,.T.); +#1029=ORIENTED_EDGE('',*,*,#4110,.T.); +#1030=ORIENTED_EDGE('',*,*,#4111,.T.); +#1031=ORIENTED_EDGE('',*,*,#4112,.T.); +#1032=ORIENTED_EDGE('',*,*,#3932,.F.); +#1033=ORIENTED_EDGE('',*,*,#4113,.F.); +#1034=ORIENTED_EDGE('',*,*,#3926,.T.); +#1035=ORIENTED_EDGE('',*,*,#4114,.T.); +#1036=ORIENTED_EDGE('',*,*,#4115,.F.); +#1037=ORIENTED_EDGE('',*,*,#4032,.F.); +#1038=ORIENTED_EDGE('',*,*,#4116,.T.); +#1039=ORIENTED_EDGE('',*,*,#4117,.T.); +#1040=ORIENTED_EDGE('',*,*,#4118,.T.); +#1041=ORIENTED_EDGE('',*,*,#4119,.T.); +#1042=ORIENTED_EDGE('',*,*,#4120,.T.); +#1043=ORIENTED_EDGE('',*,*,#4121,.T.); +#1044=ORIENTED_EDGE('',*,*,#3910,.F.); +#1045=ORIENTED_EDGE('',*,*,#3918,.T.); +#1046=ORIENTED_EDGE('',*,*,#3908,.T.); +#1047=ORIENTED_EDGE('',*,*,#4122,.T.); +#1048=ORIENTED_EDGE('',*,*,#4123,.T.); +#1049=ORIENTED_EDGE('',*,*,#4124,.T.); +#1050=ORIENTED_EDGE('',*,*,#4125,.T.); +#1051=ORIENTED_EDGE('',*,*,#4126,.T.); +#1052=ORIENTED_EDGE('',*,*,#3912,.F.); +#1053=ORIENTED_EDGE('',*,*,#4127,.F.); +#1054=ORIENTED_EDGE('',*,*,#3906,.T.); +#1055=ORIENTED_EDGE('',*,*,#4128,.T.); +#1056=ORIENTED_EDGE('',*,*,#4129,.F.); +#1057=ORIENTED_EDGE('',*,*,#4039,.F.); +#1058=ORIENTED_EDGE('',*,*,#4130,.T.); +#1059=ORIENTED_EDGE('',*,*,#4131,.T.); +#1060=ORIENTED_EDGE('',*,*,#4132,.T.); +#1061=ORIENTED_EDGE('',*,*,#4133,.T.); +#1062=ORIENTED_EDGE('',*,*,#4134,.T.); +#1063=ORIENTED_EDGE('',*,*,#4135,.T.); +#1064=ORIENTED_EDGE('',*,*,#3891,.F.); +#1065=ORIENTED_EDGE('',*,*,#3899,.T.); +#1066=ORIENTED_EDGE('',*,*,#3889,.T.); +#1067=ORIENTED_EDGE('',*,*,#4136,.T.); +#1068=ORIENTED_EDGE('',*,*,#4137,.T.); +#1069=ORIENTED_EDGE('',*,*,#4138,.T.); +#1070=ORIENTED_EDGE('',*,*,#4139,.T.); +#1071=ORIENTED_EDGE('',*,*,#4140,.T.); +#1072=ORIENTED_EDGE('',*,*,#3893,.F.); +#1073=ORIENTED_EDGE('',*,*,#4141,.F.); +#1074=ORIENTED_EDGE('',*,*,#3887,.T.); +#1075=ORIENTED_EDGE('',*,*,#4142,.T.); +#1076=ORIENTED_EDGE('',*,*,#4143,.F.); +#1077=ORIENTED_EDGE('',*,*,#4043,.F.); +#1078=ORIENTED_EDGE('',*,*,#4144,.T.); +#1079=ORIENTED_EDGE('',*,*,#4145,.T.); +#1080=ORIENTED_EDGE('',*,*,#4146,.T.); +#1081=ORIENTED_EDGE('',*,*,#4147,.T.); +#1082=ORIENTED_EDGE('',*,*,#4148,.T.); +#1083=ORIENTED_EDGE('',*,*,#4149,.T.); +#1084=ORIENTED_EDGE('',*,*,#4150,.T.); +#1085=ORIENTED_EDGE('',*,*,#4151,.T.); +#1086=ORIENTED_EDGE('',*,*,#4152,.T.); +#1087=ORIENTED_EDGE('',*,*,#4153,.T.); +#1088=ORIENTED_EDGE('',*,*,#4154,.F.); +#1089=ORIENTED_EDGE('',*,*,#4155,.T.); +#1090=ORIENTED_EDGE('',*,*,#4156,.T.); +#1091=ORIENTED_EDGE('',*,*,#4157,.T.); +#1092=ORIENTED_EDGE('',*,*,#4158,.T.); +#1093=ORIENTED_EDGE('',*,*,#3864,.F.); +#1094=ORIENTED_EDGE('',*,*,#4159,.F.); +#1095=ORIENTED_EDGE('',*,*,#4160,.F.); +#1096=ORIENTED_EDGE('',*,*,#4161,.F.); +#1097=ORIENTED_EDGE('',*,*,#3858,.F.); +#1098=ORIENTED_EDGE('',*,*,#4162,.T.); +#1099=ORIENTED_EDGE('',*,*,#4163,.T.); +#1100=ORIENTED_EDGE('',*,*,#4164,.F.); +#1101=ORIENTED_EDGE('',*,*,#4165,.T.); +#1102=ORIENTED_EDGE('',*,*,#4166,.T.); +#1103=ORIENTED_EDGE('',*,*,#4167,.T.); +#1104=ORIENTED_EDGE('',*,*,#4168,.T.); +#1105=ORIENTED_EDGE('',*,*,#4169,.T.); +#1106=ORIENTED_EDGE('',*,*,#4170,.T.); +#1107=ORIENTED_EDGE('',*,*,#4171,.T.); +#1108=ORIENTED_EDGE('',*,*,#4172,.T.); +#1109=ORIENTED_EDGE('',*,*,#4173,.T.); +#1110=ORIENTED_EDGE('',*,*,#4174,.F.); +#1111=ORIENTED_EDGE('',*,*,#4175,.T.); +#1112=ORIENTED_EDGE('',*,*,#4087,.F.); +#1113=ORIENTED_EDGE('',*,*,#4176,.T.); +#1114=ORIENTED_EDGE('',*,*,#3866,.F.); +#1115=ORIENTED_EDGE('',*,*,#4177,.T.); +#1116=ORIENTED_EDGE('',*,*,#4178,.T.); +#1117=ORIENTED_EDGE('',*,*,#4179,.T.); +#1118=ORIENTED_EDGE('',*,*,#4180,.T.); +#1119=ORIENTED_EDGE('',*,*,#4181,.T.); +#1120=ORIENTED_EDGE('',*,*,#3856,.T.); +#1121=ORIENTED_EDGE('',*,*,#4182,.T.); +#1122=ORIENTED_EDGE('',*,*,#4064,.T.); +#1123=ORIENTED_EDGE('',*,*,#4183,.T.); +#1124=ORIENTED_EDGE('',*,*,#4184,.T.); +#1125=ORIENTED_EDGE('',*,*,#4185,.T.); +#1126=ORIENTED_EDGE('',*,*,#4186,.T.); +#1127=ORIENTED_EDGE('',*,*,#4187,.T.); +#1128=ORIENTED_EDGE('',*,*,#4066,.F.); +#1129=ORIENTED_EDGE('',*,*,#4188,.T.); +#1130=ORIENTED_EDGE('',*,*,#3862,.T.); +#1131=ORIENTED_EDGE('',*,*,#4189,.T.); +#1132=ORIENTED_EDGE('',*,*,#4190,.T.); +#1133=ORIENTED_EDGE('',*,*,#4191,.T.); +#1134=ORIENTED_EDGE('',*,*,#4192,.F.); +#1135=ORIENTED_EDGE('',*,*,#4193,.T.); +#1136=ORIENTED_EDGE('',*,*,#4194,.T.); +#1137=ORIENTED_EDGE('',*,*,#4195,.T.); +#1138=ORIENTED_EDGE('',*,*,#3868,.T.); +#1139=ORIENTED_EDGE('',*,*,#4196,.T.); +#1140=ORIENTED_EDGE('',*,*,#4085,.F.); +#1141=ORIENTED_EDGE('',*,*,#4197,.F.); +#1142=ORIENTED_EDGE('',*,*,#4198,.F.); +#1143=ORIENTED_EDGE('',*,*,#4199,.F.); +#1144=ORIENTED_EDGE('',*,*,#4200,.T.); +#1145=ORIENTED_EDGE('',*,*,#4201,.F.); +#1146=ORIENTED_EDGE('',*,*,#4202,.F.); +#1147=ORIENTED_EDGE('',*,*,#4203,.F.); +#1148=ORIENTED_EDGE('',*,*,#3871,.T.); +#1149=ORIENTED_EDGE('',*,*,#4204,.T.); +#1150=ORIENTED_EDGE('',*,*,#4205,.T.); +#1151=ORIENTED_EDGE('',*,*,#4206,.F.); +#1152=ORIENTED_EDGE('',*,*,#3879,.F.); +#1153=ORIENTED_EDGE('',*,*,#3839,.F.); +#1154=ORIENTED_EDGE('',*,*,#3853,.F.); +#1155=ORIENTED_EDGE('',*,*,#4207,.T.); +#1156=ORIENTED_EDGE('',*,*,#4159,.T.); +#1157=ORIENTED_EDGE('',*,*,#4192,.T.); +#1158=ORIENTED_EDGE('',*,*,#4208,.F.); +#1159=ORIENTED_EDGE('',*,*,#3880,.F.); +#1160=ORIENTED_EDGE('',*,*,#4206,.T.); +#1161=ORIENTED_EDGE('',*,*,#4208,.T.); +#1162=ORIENTED_EDGE('',*,*,#4209,.F.); +#1163=ORIENTED_EDGE('',*,*,#4210,.T.); +#1164=ORIENTED_EDGE('',*,*,#3859,.F.); +#1165=ORIENTED_EDGE('',*,*,#4161,.T.); +#1166=ORIENTED_EDGE('',*,*,#4211,.F.); +#1167=ORIENTED_EDGE('',*,*,#3876,.F.); +#1168=ORIENTED_EDGE('',*,*,#3841,.T.); +#1169=ORIENTED_EDGE('',*,*,#3878,.F.); +#1170=ORIENTED_EDGE('',*,*,#4212,.T.); +#1171=ORIENTED_EDGE('',*,*,#3819,.F.); +#1172=ORIENTED_EDGE('',*,*,#3917,.F.); +#1173=ORIENTED_EDGE('',*,*,#4213,.F.); +#1174=ORIENTED_EDGE('',*,*,#3901,.T.); +#1175=ORIENTED_EDGE('',*,*,#3874,.F.); +#1176=ORIENTED_EDGE('',*,*,#3898,.F.); +#1177=ORIENTED_EDGE('',*,*,#4214,.F.); +#1178=ORIENTED_EDGE('',*,*,#3882,.T.); +#1179=ORIENTED_EDGE('',*,*,#3877,.F.); +#1180=ORIENTED_EDGE('',*,*,#4211,.T.); +#1181=ORIENTED_EDGE('',*,*,#4160,.T.); +#1182=ORIENTED_EDGE('',*,*,#4207,.F.); +#1183=ORIENTED_EDGE('',*,*,#3855,.F.); +#1184=ORIENTED_EDGE('',*,*,#3959,.F.); +#1185=ORIENTED_EDGE('',*,*,#4215,.F.); +#1186=ORIENTED_EDGE('',*,*,#3941,.T.); +#1187=ORIENTED_EDGE('',*,*,#3852,.F.); +#1188=ORIENTED_EDGE('',*,*,#3938,.F.); +#1189=ORIENTED_EDGE('',*,*,#4216,.F.); +#1190=ORIENTED_EDGE('',*,*,#3920,.T.); +#1191=ORIENTED_EDGE('',*,*,#3822,.F.); +#1192=ORIENTED_EDGE('',*,*,#4217,.F.); +#1193=ORIENTED_EDGE('',*,*,#4218,.F.); +#1194=ORIENTED_EDGE('',*,*,#4168,.F.); +#1195=ORIENTED_EDGE('',*,*,#4219,.T.); +#1196=ORIENTED_EDGE('',*,*,#4184,.F.); +#1197=ORIENTED_EDGE('',*,*,#4220,.T.); +#1198=ORIENTED_EDGE('',*,*,#4221,.T.); +#1199=ORIENTED_EDGE('',*,*,#4222,.T.); +#1200=ORIENTED_EDGE('',*,*,#4223,.T.); +#1201=ORIENTED_EDGE('',*,*,#4224,.T.); +#1202=ORIENTED_EDGE('',*,*,#4169,.F.); +#1203=ORIENTED_EDGE('',*,*,#4224,.F.); +#1204=ORIENTED_EDGE('',*,*,#4225,.T.); +#1205=ORIENTED_EDGE('',*,*,#4226,.T.); +#1206=ORIENTED_EDGE('',*,*,#4170,.F.); +#1207=ORIENTED_EDGE('',*,*,#4226,.F.); +#1208=ORIENTED_EDGE('',*,*,#4227,.T.); +#1209=ORIENTED_EDGE('',*,*,#4228,.T.); +#1210=ORIENTED_EDGE('',*,*,#4171,.F.); +#1211=ORIENTED_EDGE('',*,*,#4228,.F.); +#1212=ORIENTED_EDGE('',*,*,#4229,.T.); +#1213=ORIENTED_EDGE('',*,*,#4230,.T.); +#1214=ORIENTED_EDGE('',*,*,#4174,.T.); +#1215=ORIENTED_EDGE('',*,*,#4231,.T.); +#1216=ORIENTED_EDGE('',*,*,#4152,.F.); +#1217=ORIENTED_EDGE('',*,*,#4230,.F.); +#1218=ORIENTED_EDGE('',*,*,#4232,.T.); +#1219=ORIENTED_EDGE('',*,*,#4233,.T.); +#1220=ORIENTED_EDGE('',*,*,#4234,.T.); +#1221=ORIENTED_EDGE('',*,*,#4235,.T.); +#1222=ORIENTED_EDGE('',*,*,#4060,.F.); +#1223=ORIENTED_EDGE('',*,*,#4236,.T.); +#1224=ORIENTED_EDGE('',*,*,#4232,.F.); +#1225=ORIENTED_EDGE('',*,*,#4229,.F.); +#1226=ORIENTED_EDGE('',*,*,#4227,.F.); +#1227=ORIENTED_EDGE('',*,*,#4225,.F.); +#1228=ORIENTED_EDGE('',*,*,#4223,.F.); +#1229=ORIENTED_EDGE('',*,*,#4237,.T.); +#1230=ORIENTED_EDGE('',*,*,#4203,.T.); +#1231=ORIENTED_EDGE('',*,*,#4238,.F.); +#1232=ORIENTED_EDGE('',*,*,#3984,.F.); +#1233=ORIENTED_EDGE('',*,*,#4067,.T.); +#1234=ORIENTED_EDGE('',*,*,#4202,.T.); +#1235=ORIENTED_EDGE('',*,*,#4239,.F.); +#1236=ORIENTED_EDGE('',*,*,#3985,.F.); +#1237=ORIENTED_EDGE('',*,*,#4238,.T.); +#1238=ORIENTED_EDGE('',*,*,#4201,.T.); +#1239=ORIENTED_EDGE('',*,*,#4240,.T.); +#1240=ORIENTED_EDGE('',*,*,#3986,.F.); +#1241=ORIENTED_EDGE('',*,*,#4239,.T.); +#1242=ORIENTED_EDGE('',*,*,#4241,.T.); +#1243=ORIENTED_EDGE('',*,*,#4242,.T.); +#1244=ORIENTED_EDGE('',*,*,#4189,.F.); +#1245=ORIENTED_EDGE('',*,*,#3861,.F.); +#1246=ORIENTED_EDGE('',*,*,#4191,.F.); +#1247=ORIENTED_EDGE('',*,*,#4243,.T.); +#1248=ORIENTED_EDGE('',*,*,#4244,.T.); +#1249=ORIENTED_EDGE('',*,*,#4209,.T.); +#1250=ORIENTED_EDGE('',*,*,#4244,.F.); +#1251=ORIENTED_EDGE('',*,*,#4245,.T.); +#1252=ORIENTED_EDGE('',*,*,#4241,.F.); +#1253=ORIENTED_EDGE('',*,*,#3860,.F.); +#1254=ORIENTED_EDGE('',*,*,#4210,.F.); +#1255=ORIENTED_EDGE('',*,*,#4186,.F.); +#1256=ORIENTED_EDGE('',*,*,#4246,.T.); +#1257=ORIENTED_EDGE('',*,*,#4166,.F.); +#1258=ORIENTED_EDGE('',*,*,#4247,.T.); +#1259=ORIENTED_EDGE('',*,*,#4248,.T.); +#1260=ORIENTED_EDGE('',*,*,#4187,.F.); +#1261=ORIENTED_EDGE('',*,*,#4248,.F.); +#1262=ORIENTED_EDGE('',*,*,#4249,.T.); +#1263=ORIENTED_EDGE('',*,*,#4250,.T.); +#1264=ORIENTED_EDGE('',*,*,#4251,.T.); +#1265=ORIENTED_EDGE('',*,*,#4164,.T.); +#1266=ORIENTED_EDGE('',*,*,#4252,.T.); +#1267=ORIENTED_EDGE('',*,*,#4250,.F.); +#1268=ORIENTED_EDGE('',*,*,#4253,.T.); +#1269=ORIENTED_EDGE('',*,*,#4165,.F.); +#1270=ORIENTED_EDGE('',*,*,#4253,.F.); +#1271=ORIENTED_EDGE('',*,*,#4249,.F.); +#1272=ORIENTED_EDGE('',*,*,#4247,.F.); +#1273=ORIENTED_EDGE('',*,*,#4254,.T.); +#1274=ORIENTED_EDGE('',*,*,#4151,.F.); +#1275=ORIENTED_EDGE('',*,*,#4255,.T.); +#1276=ORIENTED_EDGE('',*,*,#4255,.F.); +#1277=ORIENTED_EDGE('',*,*,#4254,.F.); +#1278=ORIENTED_EDGE('',*,*,#4062,.F.); +#1279=ORIENTED_EDGE('',*,*,#4256,.T.); +#1280=ORIENTED_EDGE('',*,*,#4221,.F.); +#1281=ORIENTED_EDGE('',*,*,#4257,.T.); +#1282=ORIENTED_EDGE('',*,*,#4197,.T.); +#1283=ORIENTED_EDGE('',*,*,#4084,.F.); +#1284=ORIENTED_EDGE('',*,*,#3990,.F.); +#1285=ORIENTED_EDGE('',*,*,#4258,.T.); +#1286=ORIENTED_EDGE('',*,*,#3988,.F.); +#1287=ORIENTED_EDGE('',*,*,#4259,.T.); +#1288=ORIENTED_EDGE('',*,*,#4199,.T.); +#1289=ORIENTED_EDGE('',*,*,#4260,.F.); +#1290=ORIENTED_EDGE('',*,*,#4198,.T.); +#1291=ORIENTED_EDGE('',*,*,#4258,.F.); +#1292=ORIENTED_EDGE('',*,*,#3989,.F.); +#1293=ORIENTED_EDGE('',*,*,#4260,.T.); +#1294=ORIENTED_EDGE('',*,*,#4261,.T.); +#1295=ORIENTED_EDGE('',*,*,#4262,.T.); +#1296=ORIENTED_EDGE('',*,*,#4193,.F.); +#1297=ORIENTED_EDGE('',*,*,#4205,.F.); +#1298=ORIENTED_EDGE('',*,*,#4195,.F.); +#1299=ORIENTED_EDGE('',*,*,#4263,.T.); +#1300=ORIENTED_EDGE('',*,*,#4264,.T.); +#1301=ORIENTED_EDGE('',*,*,#3869,.T.); +#1302=ORIENTED_EDGE('',*,*,#4264,.F.); +#1303=ORIENTED_EDGE('',*,*,#4265,.T.); +#1304=ORIENTED_EDGE('',*,*,#4261,.F.); +#1305=ORIENTED_EDGE('',*,*,#4204,.F.); +#1306=ORIENTED_EDGE('',*,*,#3870,.F.); +#1307=ORIENTED_EDGE('',*,*,#4154,.T.); +#1308=ORIENTED_EDGE('',*,*,#4266,.T.); +#1309=ORIENTED_EDGE('',*,*,#4172,.F.); +#1310=ORIENTED_EDGE('',*,*,#4267,.F.); +#1311=ORIENTED_EDGE('',*,*,#4268,.T.); +#1312=ORIENTED_EDGE('',*,*,#4269,.T.); +#1313=ORIENTED_EDGE('',*,*,#4270,.T.); +#1314=ORIENTED_EDGE('',*,*,#4156,.F.); +#1315=ORIENTED_EDGE('',*,*,#4271,.T.); +#1316=ORIENTED_EDGE('',*,*,#4179,.F.); +#1317=ORIENTED_EDGE('',*,*,#4272,.T.); +#1318=ORIENTED_EDGE('',*,*,#4269,.F.); +#1319=ORIENTED_EDGE('',*,*,#4273,.T.); +#1320=ORIENTED_EDGE('',*,*,#4267,.T.); +#1321=ORIENTED_EDGE('',*,*,#4268,.F.); +#1322=ORIENTED_EDGE('',*,*,#4273,.F.); +#1323=ORIENTED_EDGE('',*,*,#4271,.F.); +#1324=ORIENTED_EDGE('',*,*,#4155,.F.); +#1325=ORIENTED_EDGE('',*,*,#4274,.T.); +#1326=ORIENTED_EDGE('',*,*,#4150,.F.); +#1327=ORIENTED_EDGE('',*,*,#4275,.T.); +#1328=ORIENTED_EDGE('',*,*,#4275,.F.); +#1329=ORIENTED_EDGE('',*,*,#4274,.F.); +#1330=ORIENTED_EDGE('',*,*,#4234,.F.); +#1331=ORIENTED_EDGE('',*,*,#4276,.T.); +#1332=ORIENTED_EDGE('',*,*,#4058,.F.); +#1333=ORIENTED_EDGE('',*,*,#4277,.T.); +#1334=ORIENTED_EDGE('',*,*,#4240,.F.); +#1335=ORIENTED_EDGE('',*,*,#4200,.F.); +#1336=ORIENTED_EDGE('',*,*,#4259,.F.); +#1337=ORIENTED_EDGE('',*,*,#3987,.F.); +#1338=ORIENTED_EDGE('',*,*,#4082,.F.); +#1339=ORIENTED_EDGE('',*,*,#4278,.T.); +#1340=ORIENTED_EDGE('',*,*,#4279,.T.); +#1341=ORIENTED_EDGE('',*,*,#4280,.T.); +#1342=ORIENTED_EDGE('',*,*,#4069,.F.); +#1343=ORIENTED_EDGE('',*,*,#4281,.T.); +#1344=ORIENTED_EDGE('',*,*,#4282,.T.); +#1345=ORIENTED_EDGE('',*,*,#4283,.T.); +#1346=ORIENTED_EDGE('',*,*,#4071,.T.); +#1347=ORIENTED_EDGE('',*,*,#3884,.T.); +#1348=ORIENTED_EDGE('',*,*,#4284,.T.); +#1349=ORIENTED_EDGE('',*,*,#3896,.F.); +#1350=ORIENTED_EDGE('',*,*,#4285,.F.); +#1351=ORIENTED_EDGE('',*,*,#4142,.F.); +#1352=ORIENTED_EDGE('',*,*,#3886,.T.); +#1353=ORIENTED_EDGE('',*,*,#3814,.F.); +#1354=ORIENTED_EDGE('',*,*,#3894,.F.); +#1355=ORIENTED_EDGE('',*,*,#4145,.F.); +#1356=ORIENTED_EDGE('',*,*,#4286,.F.); +#1357=ORIENTED_EDGE('',*,*,#4287,.F.); +#1358=ORIENTED_EDGE('',*,*,#3897,.F.); +#1359=ORIENTED_EDGE('',*,*,#4284,.F.); +#1360=ORIENTED_EDGE('',*,*,#3883,.T.); +#1361=ORIENTED_EDGE('',*,*,#4214,.T.); +#1362=ORIENTED_EDGE('',*,*,#3892,.F.); +#1363=ORIENTED_EDGE('',*,*,#4136,.F.); +#1364=ORIENTED_EDGE('',*,*,#3888,.T.); +#1365=ORIENTED_EDGE('',*,*,#4141,.T.); +#1366=ORIENTED_EDGE('',*,*,#4285,.T.); +#1367=ORIENTED_EDGE('',*,*,#4288,.F.); +#1368=ORIENTED_EDGE('',*,*,#4044,.F.); +#1369=ORIENTED_EDGE('',*,*,#4143,.T.); +#1370=ORIENTED_EDGE('',*,*,#4287,.T.); +#1371=ORIENTED_EDGE('',*,*,#4289,.F.); +#1372=ORIENTED_EDGE('',*,*,#4290,.F.); +#1373=ORIENTED_EDGE('',*,*,#4288,.T.); +#1374=ORIENTED_EDGE('',*,*,#4286,.T.); +#1375=ORIENTED_EDGE('',*,*,#4144,.F.); +#1376=ORIENTED_EDGE('',*,*,#4042,.F.); +#1377=ORIENTED_EDGE('',*,*,#4289,.T.); +#1378=ORIENTED_EDGE('',*,*,#4291,.T.); +#1379=ORIENTED_EDGE('',*,*,#3978,.F.); +#1380=ORIENTED_EDGE('',*,*,#4292,.F.); +#1381=ORIENTED_EDGE('',*,*,#4138,.F.); +#1382=ORIENTED_EDGE('',*,*,#4293,.T.); +#1383=ORIENTED_EDGE('',*,*,#3979,.F.); +#1384=ORIENTED_EDGE('',*,*,#4291,.F.); +#1385=ORIENTED_EDGE('',*,*,#4137,.F.); +#1386=ORIENTED_EDGE('',*,*,#4294,.T.); +#1387=ORIENTED_EDGE('',*,*,#3980,.F.); +#1388=ORIENTED_EDGE('',*,*,#4293,.F.); +#1389=ORIENTED_EDGE('',*,*,#4140,.F.); +#1390=ORIENTED_EDGE('',*,*,#4292,.T.); +#1391=ORIENTED_EDGE('',*,*,#3977,.F.); +#1392=ORIENTED_EDGE('',*,*,#4294,.F.); +#1393=ORIENTED_EDGE('',*,*,#4139,.F.); +#1394=ORIENTED_EDGE('',*,*,#4295,.T.); +#1395=ORIENTED_EDGE('',*,*,#3994,.F.); +#1396=ORIENTED_EDGE('',*,*,#4296,.F.); +#1397=ORIENTED_EDGE('',*,*,#4147,.F.); +#1398=ORIENTED_EDGE('',*,*,#4297,.T.); +#1399=ORIENTED_EDGE('',*,*,#3995,.F.); +#1400=ORIENTED_EDGE('',*,*,#4295,.F.); +#1401=ORIENTED_EDGE('',*,*,#4146,.F.); +#1402=ORIENTED_EDGE('',*,*,#4298,.T.); +#1403=ORIENTED_EDGE('',*,*,#3996,.F.); +#1404=ORIENTED_EDGE('',*,*,#4297,.F.); +#1405=ORIENTED_EDGE('',*,*,#4149,.F.); +#1406=ORIENTED_EDGE('',*,*,#4296,.T.); +#1407=ORIENTED_EDGE('',*,*,#3993,.F.); +#1408=ORIENTED_EDGE('',*,*,#4298,.F.); +#1409=ORIENTED_EDGE('',*,*,#4148,.F.); +#1410=ORIENTED_EDGE('',*,*,#4072,.T.); +#1411=ORIENTED_EDGE('',*,*,#3903,.T.); +#1412=ORIENTED_EDGE('',*,*,#4299,.T.); +#1413=ORIENTED_EDGE('',*,*,#3915,.F.); +#1414=ORIENTED_EDGE('',*,*,#4300,.F.); +#1415=ORIENTED_EDGE('',*,*,#4128,.F.); +#1416=ORIENTED_EDGE('',*,*,#3905,.T.); +#1417=ORIENTED_EDGE('',*,*,#3810,.F.); +#1418=ORIENTED_EDGE('',*,*,#3913,.F.); +#1419=ORIENTED_EDGE('',*,*,#4131,.F.); +#1420=ORIENTED_EDGE('',*,*,#4301,.F.); +#1421=ORIENTED_EDGE('',*,*,#4302,.F.); +#1422=ORIENTED_EDGE('',*,*,#3916,.F.); +#1423=ORIENTED_EDGE('',*,*,#4299,.F.); +#1424=ORIENTED_EDGE('',*,*,#3902,.T.); +#1425=ORIENTED_EDGE('',*,*,#4213,.T.); +#1426=ORIENTED_EDGE('',*,*,#3911,.F.); +#1427=ORIENTED_EDGE('',*,*,#4122,.F.); +#1428=ORIENTED_EDGE('',*,*,#3907,.T.); +#1429=ORIENTED_EDGE('',*,*,#4127,.T.); +#1430=ORIENTED_EDGE('',*,*,#4300,.T.); +#1431=ORIENTED_EDGE('',*,*,#4303,.F.); +#1432=ORIENTED_EDGE('',*,*,#4040,.F.); +#1433=ORIENTED_EDGE('',*,*,#4129,.T.); +#1434=ORIENTED_EDGE('',*,*,#4302,.T.); +#1435=ORIENTED_EDGE('',*,*,#4304,.F.); +#1436=ORIENTED_EDGE('',*,*,#4305,.F.); +#1437=ORIENTED_EDGE('',*,*,#4303,.T.); +#1438=ORIENTED_EDGE('',*,*,#4301,.T.); +#1439=ORIENTED_EDGE('',*,*,#4130,.F.); +#1440=ORIENTED_EDGE('',*,*,#4038,.F.); +#1441=ORIENTED_EDGE('',*,*,#4304,.T.); +#1442=ORIENTED_EDGE('',*,*,#4306,.T.); +#1443=ORIENTED_EDGE('',*,*,#3974,.F.); +#1444=ORIENTED_EDGE('',*,*,#4307,.F.); +#1445=ORIENTED_EDGE('',*,*,#4124,.F.); +#1446=ORIENTED_EDGE('',*,*,#4308,.T.); +#1447=ORIENTED_EDGE('',*,*,#3975,.F.); +#1448=ORIENTED_EDGE('',*,*,#4306,.F.); +#1449=ORIENTED_EDGE('',*,*,#4123,.F.); +#1450=ORIENTED_EDGE('',*,*,#4309,.T.); +#1451=ORIENTED_EDGE('',*,*,#3976,.F.); +#1452=ORIENTED_EDGE('',*,*,#4308,.F.); +#1453=ORIENTED_EDGE('',*,*,#4126,.F.); +#1454=ORIENTED_EDGE('',*,*,#4307,.T.); +#1455=ORIENTED_EDGE('',*,*,#3973,.F.); +#1456=ORIENTED_EDGE('',*,*,#4309,.F.); +#1457=ORIENTED_EDGE('',*,*,#4125,.F.); +#1458=ORIENTED_EDGE('',*,*,#4310,.T.); +#1459=ORIENTED_EDGE('',*,*,#3998,.F.); +#1460=ORIENTED_EDGE('',*,*,#4311,.F.); +#1461=ORIENTED_EDGE('',*,*,#4133,.F.); +#1462=ORIENTED_EDGE('',*,*,#4312,.T.); +#1463=ORIENTED_EDGE('',*,*,#3999,.F.); +#1464=ORIENTED_EDGE('',*,*,#4310,.F.); +#1465=ORIENTED_EDGE('',*,*,#4132,.F.); +#1466=ORIENTED_EDGE('',*,*,#4313,.T.); +#1467=ORIENTED_EDGE('',*,*,#4000,.F.); +#1468=ORIENTED_EDGE('',*,*,#4312,.F.); +#1469=ORIENTED_EDGE('',*,*,#4135,.F.); +#1470=ORIENTED_EDGE('',*,*,#4311,.T.); +#1471=ORIENTED_EDGE('',*,*,#3997,.F.); +#1472=ORIENTED_EDGE('',*,*,#4313,.F.); +#1473=ORIENTED_EDGE('',*,*,#4134,.F.); +#1474=ORIENTED_EDGE('',*,*,#4077,.T.); +#1475=ORIENTED_EDGE('',*,*,#3922,.T.); +#1476=ORIENTED_EDGE('',*,*,#4314,.T.); +#1477=ORIENTED_EDGE('',*,*,#3936,.F.); +#1478=ORIENTED_EDGE('',*,*,#4315,.F.); +#1479=ORIENTED_EDGE('',*,*,#4114,.F.); +#1480=ORIENTED_EDGE('',*,*,#3925,.T.); +#1481=ORIENTED_EDGE('',*,*,#4092,.F.); +#1482=ORIENTED_EDGE('',*,*,#3933,.F.); +#1483=ORIENTED_EDGE('',*,*,#4117,.F.); +#1484=ORIENTED_EDGE('',*,*,#4316,.F.); +#1485=ORIENTED_EDGE('',*,*,#4317,.F.); +#1486=ORIENTED_EDGE('',*,*,#3937,.F.); +#1487=ORIENTED_EDGE('',*,*,#4314,.F.); +#1488=ORIENTED_EDGE('',*,*,#3921,.T.); +#1489=ORIENTED_EDGE('',*,*,#4216,.T.); +#1490=ORIENTED_EDGE('',*,*,#3931,.F.); +#1491=ORIENTED_EDGE('',*,*,#4108,.F.); +#1492=ORIENTED_EDGE('',*,*,#3927,.T.); +#1493=ORIENTED_EDGE('',*,*,#4113,.T.); +#1494=ORIENTED_EDGE('',*,*,#4315,.T.); +#1495=ORIENTED_EDGE('',*,*,#4318,.F.); +#1496=ORIENTED_EDGE('',*,*,#4033,.F.); +#1497=ORIENTED_EDGE('',*,*,#4115,.T.); +#1498=ORIENTED_EDGE('',*,*,#4317,.T.); +#1499=ORIENTED_EDGE('',*,*,#4319,.F.); +#1500=ORIENTED_EDGE('',*,*,#4320,.F.); +#1501=ORIENTED_EDGE('',*,*,#4318,.T.); +#1502=ORIENTED_EDGE('',*,*,#4316,.T.); +#1503=ORIENTED_EDGE('',*,*,#4116,.F.); +#1504=ORIENTED_EDGE('',*,*,#4031,.F.); +#1505=ORIENTED_EDGE('',*,*,#4319,.T.); +#1506=ORIENTED_EDGE('',*,*,#4321,.T.); +#1507=ORIENTED_EDGE('',*,*,#3970,.F.); +#1508=ORIENTED_EDGE('',*,*,#4322,.F.); +#1509=ORIENTED_EDGE('',*,*,#4110,.F.); +#1510=ORIENTED_EDGE('',*,*,#4323,.T.); +#1511=ORIENTED_EDGE('',*,*,#3971,.F.); +#1512=ORIENTED_EDGE('',*,*,#4321,.F.); +#1513=ORIENTED_EDGE('',*,*,#4109,.F.); +#1514=ORIENTED_EDGE('',*,*,#4324,.T.); +#1515=ORIENTED_EDGE('',*,*,#3972,.F.); +#1516=ORIENTED_EDGE('',*,*,#4323,.F.); +#1517=ORIENTED_EDGE('',*,*,#4112,.F.); +#1518=ORIENTED_EDGE('',*,*,#4322,.T.); +#1519=ORIENTED_EDGE('',*,*,#3969,.F.); +#1520=ORIENTED_EDGE('',*,*,#4324,.F.); +#1521=ORIENTED_EDGE('',*,*,#4111,.F.); +#1522=ORIENTED_EDGE('',*,*,#4325,.T.); +#1523=ORIENTED_EDGE('',*,*,#4002,.F.); +#1524=ORIENTED_EDGE('',*,*,#4326,.F.); +#1525=ORIENTED_EDGE('',*,*,#4119,.F.); +#1526=ORIENTED_EDGE('',*,*,#4327,.T.); +#1527=ORIENTED_EDGE('',*,*,#4003,.F.); +#1528=ORIENTED_EDGE('',*,*,#4325,.F.); +#1529=ORIENTED_EDGE('',*,*,#4118,.F.); +#1530=ORIENTED_EDGE('',*,*,#4328,.T.); +#1531=ORIENTED_EDGE('',*,*,#4004,.F.); +#1532=ORIENTED_EDGE('',*,*,#4327,.F.); +#1533=ORIENTED_EDGE('',*,*,#4121,.F.); +#1534=ORIENTED_EDGE('',*,*,#4326,.T.); +#1535=ORIENTED_EDGE('',*,*,#4001,.F.); +#1536=ORIENTED_EDGE('',*,*,#4328,.F.); +#1537=ORIENTED_EDGE('',*,*,#4120,.F.); +#1538=ORIENTED_EDGE('',*,*,#4079,.T.); +#1539=ORIENTED_EDGE('',*,*,#3943,.T.); +#1540=ORIENTED_EDGE('',*,*,#4329,.T.); +#1541=ORIENTED_EDGE('',*,*,#3957,.F.); +#1542=ORIENTED_EDGE('',*,*,#4330,.F.); +#1543=ORIENTED_EDGE('',*,*,#4100,.F.); +#1544=ORIENTED_EDGE('',*,*,#3946,.T.); +#1545=ORIENTED_EDGE('',*,*,#4091,.F.); +#1546=ORIENTED_EDGE('',*,*,#3954,.F.); +#1547=ORIENTED_EDGE('',*,*,#4103,.F.); +#1548=ORIENTED_EDGE('',*,*,#4331,.F.); +#1549=ORIENTED_EDGE('',*,*,#4332,.F.); +#1550=ORIENTED_EDGE('',*,*,#3958,.F.); +#1551=ORIENTED_EDGE('',*,*,#4329,.F.); +#1552=ORIENTED_EDGE('',*,*,#3942,.T.); +#1553=ORIENTED_EDGE('',*,*,#4215,.T.); +#1554=ORIENTED_EDGE('',*,*,#3952,.F.); +#1555=ORIENTED_EDGE('',*,*,#4094,.F.); +#1556=ORIENTED_EDGE('',*,*,#3948,.T.); +#1557=ORIENTED_EDGE('',*,*,#4099,.T.); +#1558=ORIENTED_EDGE('',*,*,#4330,.T.); +#1559=ORIENTED_EDGE('',*,*,#4333,.F.); +#1560=ORIENTED_EDGE('',*,*,#4029,.F.); +#1561=ORIENTED_EDGE('',*,*,#4101,.T.); +#1562=ORIENTED_EDGE('',*,*,#4332,.T.); +#1563=ORIENTED_EDGE('',*,*,#4334,.F.); +#1564=ORIENTED_EDGE('',*,*,#4335,.F.); +#1565=ORIENTED_EDGE('',*,*,#4333,.T.); +#1566=ORIENTED_EDGE('',*,*,#4331,.T.); +#1567=ORIENTED_EDGE('',*,*,#4102,.F.); +#1568=ORIENTED_EDGE('',*,*,#4050,.F.); +#1569=ORIENTED_EDGE('',*,*,#4334,.T.); +#1570=ORIENTED_EDGE('',*,*,#4336,.T.); +#1571=ORIENTED_EDGE('',*,*,#3966,.F.); +#1572=ORIENTED_EDGE('',*,*,#4337,.F.); +#1573=ORIENTED_EDGE('',*,*,#4096,.F.); +#1574=ORIENTED_EDGE('',*,*,#4338,.T.); +#1575=ORIENTED_EDGE('',*,*,#3967,.F.); +#1576=ORIENTED_EDGE('',*,*,#4336,.F.); +#1577=ORIENTED_EDGE('',*,*,#4095,.F.); +#1578=ORIENTED_EDGE('',*,*,#4339,.T.); +#1579=ORIENTED_EDGE('',*,*,#3968,.F.); +#1580=ORIENTED_EDGE('',*,*,#4338,.F.); +#1581=ORIENTED_EDGE('',*,*,#4098,.F.); +#1582=ORIENTED_EDGE('',*,*,#4337,.T.); +#1583=ORIENTED_EDGE('',*,*,#3965,.F.); +#1584=ORIENTED_EDGE('',*,*,#4339,.F.); +#1585=ORIENTED_EDGE('',*,*,#4097,.F.); +#1586=ORIENTED_EDGE('',*,*,#4340,.T.); +#1587=ORIENTED_EDGE('',*,*,#4006,.F.); +#1588=ORIENTED_EDGE('',*,*,#4341,.F.); +#1589=ORIENTED_EDGE('',*,*,#4105,.F.); +#1590=ORIENTED_EDGE('',*,*,#4342,.T.); +#1591=ORIENTED_EDGE('',*,*,#4007,.F.); +#1592=ORIENTED_EDGE('',*,*,#4340,.F.); +#1593=ORIENTED_EDGE('',*,*,#4104,.F.); +#1594=ORIENTED_EDGE('',*,*,#4343,.T.); +#1595=ORIENTED_EDGE('',*,*,#4008,.F.); +#1596=ORIENTED_EDGE('',*,*,#4342,.F.); +#1597=ORIENTED_EDGE('',*,*,#4107,.F.); +#1598=ORIENTED_EDGE('',*,*,#4341,.T.); +#1599=ORIENTED_EDGE('',*,*,#4005,.F.); +#1600=ORIENTED_EDGE('',*,*,#4343,.F.); +#1601=ORIENTED_EDGE('',*,*,#4106,.F.); +#1602=ORIENTED_EDGE('',*,*,#4074,.T.); +#1603=ORIENTED_EDGE('',*,*,#4344,.T.); +#1604=ORIENTED_EDGE('',*,*,#4345,.T.); +#1605=ORIENTED_EDGE('',*,*,#4346,.F.); +#1606=ORIENTED_EDGE('',*,*,#3816,.F.); +#1607=ORIENTED_EDGE('',*,*,#4212,.F.); +#1608=ORIENTED_EDGE('',*,*,#4347,.F.); +#1609=ORIENTED_EDGE('',*,*,#4344,.F.); +#1610=ORIENTED_EDGE('',*,*,#4073,.T.); +#1611=ORIENTED_EDGE('',*,*,#3807,.F.); +#1612=ORIENTED_EDGE('',*,*,#4055,.F.); +#1613=ORIENTED_EDGE('',*,*,#4023,.F.); +#1614=ORIENTED_EDGE('',*,*,#4348,.T.); +#1615=ORIENTED_EDGE('',*,*,#3962,.F.); +#1616=ORIENTED_EDGE('',*,*,#4349,.F.); +#1617=ORIENTED_EDGE('',*,*,#4015,.F.); +#1618=ORIENTED_EDGE('',*,*,#4350,.T.); +#1619=ORIENTED_EDGE('',*,*,#4010,.F.); +#1620=ORIENTED_EDGE('',*,*,#4351,.F.); +#1621=ORIENTED_EDGE('',*,*,#4017,.F.); +#1622=ORIENTED_EDGE('',*,*,#4352,.F.); +#1623=ORIENTED_EDGE('',*,*,#3826,.F.); +#1624=ORIENTED_EDGE('',*,*,#4353,.T.); +#1625=ORIENTED_EDGE('',*,*,#3828,.F.); +#1626=ORIENTED_EDGE('',*,*,#3821,.T.); +#1627=ORIENTED_EDGE('',*,*,#3830,.F.); +#1628=ORIENTED_EDGE('',*,*,#4354,.F.); +#1629=ORIENTED_EDGE('',*,*,#3824,.T.); +#1630=ORIENTED_EDGE('',*,*,#4355,.T.); +#1631=ORIENTED_EDGE('',*,*,#4019,.T.); +#1632=ORIENTED_EDGE('',*,*,#4356,.T.); +#1633=ORIENTED_EDGE('',*,*,#4012,.F.); +#1634=ORIENTED_EDGE('',*,*,#4357,.F.); +#1635=ORIENTED_EDGE('',*,*,#4013,.T.); +#1636=ORIENTED_EDGE('',*,*,#4358,.T.); +#1637=ORIENTED_EDGE('',*,*,#3964,.F.); +#1638=ORIENTED_EDGE('',*,*,#4359,.F.); +#1639=ORIENTED_EDGE('',*,*,#4021,.T.); +#1640=ORIENTED_EDGE('',*,*,#4057,.T.); +#1641=ORIENTED_EDGE('',*,*,#4093,.T.); +#1642=ORIENTED_EDGE('',*,*,#4075,.F.); +#1643=ORIENTED_EDGE('',*,*,#4346,.T.); +#1644=ORIENTED_EDGE('',*,*,#4360,.T.); +#1645=ORIENTED_EDGE('',*,*,#4217,.T.); +#1646=ORIENTED_EDGE('',*,*,#4360,.F.); +#1647=ORIENTED_EDGE('',*,*,#4345,.F.); +#1648=ORIENTED_EDGE('',*,*,#4347,.T.); +#1649=ORIENTED_EDGE('',*,*,#4218,.T.); +#1650=ORIENTED_EDGE('',*,*,#4020,.T.); +#1651=ORIENTED_EDGE('',*,*,#4355,.F.); +#1652=ORIENTED_EDGE('',*,*,#3827,.F.); +#1653=ORIENTED_EDGE('',*,*,#4352,.T.); +#1654=ORIENTED_EDGE('',*,*,#4361,.T.); +#1655=ORIENTED_EDGE('',*,*,#4362,.F.); +#1656=ORIENTED_EDGE('',*,*,#4363,.F.); +#1657=ORIENTED_EDGE('',*,*,#4053,.F.); +#1658=ORIENTED_EDGE('',*,*,#4027,.T.); +#1659=ORIENTED_EDGE('',*,*,#4035,.F.); +#1660=ORIENTED_EDGE('',*,*,#4361,.F.); +#1661=ORIENTED_EDGE('',*,*,#4052,.F.); +#1662=ORIENTED_EDGE('',*,*,#4363,.T.); +#1663=ORIENTED_EDGE('',*,*,#4036,.F.); +#1664=ORIENTED_EDGE('',*,*,#4025,.F.); +#1665=ORIENTED_EDGE('',*,*,#4054,.F.); +#1666=ORIENTED_EDGE('',*,*,#4359,.T.); +#1667=ORIENTED_EDGE('',*,*,#3963,.F.); +#1668=ORIENTED_EDGE('',*,*,#4348,.F.); +#1669=ORIENTED_EDGE('',*,*,#4022,.F.); +#1670=ORIENTED_EDGE('',*,*,#4349,.T.); +#1671=ORIENTED_EDGE('',*,*,#3961,.F.); +#1672=ORIENTED_EDGE('',*,*,#4358,.F.); +#1673=ORIENTED_EDGE('',*,*,#4016,.F.); +#1674=ORIENTED_EDGE('',*,*,#4357,.T.); +#1675=ORIENTED_EDGE('',*,*,#4011,.F.); +#1676=ORIENTED_EDGE('',*,*,#4350,.F.); +#1677=ORIENTED_EDGE('',*,*,#4014,.F.); +#1678=ORIENTED_EDGE('',*,*,#4351,.T.); +#1679=ORIENTED_EDGE('',*,*,#4009,.F.); +#1680=ORIENTED_EDGE('',*,*,#4356,.F.); +#1681=ORIENTED_EDGE('',*,*,#4018,.F.); +#1682=ORIENTED_EDGE('',*,*,#4354,.T.); +#1683=ORIENTED_EDGE('',*,*,#3829,.F.); +#1684=ORIENTED_EDGE('',*,*,#4353,.F.); +#1685=ORIENTED_EDGE('',*,*,#3825,.F.); +#1686=ORIENTED_EDGE('',*,*,#4245,.F.); +#1687=ORIENTED_EDGE('',*,*,#4243,.F.); +#1688=ORIENTED_EDGE('',*,*,#4190,.F.); +#1689=ORIENTED_EDGE('',*,*,#4242,.F.); +#1690=ORIENTED_EDGE('',*,*,#4265,.F.); +#1691=ORIENTED_EDGE('',*,*,#4263,.F.); +#1692=ORIENTED_EDGE('',*,*,#4194,.F.); +#1693=ORIENTED_EDGE('',*,*,#4262,.F.); +#1694=ORIENTED_EDGE('',*,*,#4178,.F.); +#1695=ORIENTED_EDGE('',*,*,#4364,.T.); +#1696=ORIENTED_EDGE('',*,*,#4157,.F.); +#1697=ORIENTED_EDGE('',*,*,#4270,.F.); +#1698=ORIENTED_EDGE('',*,*,#4272,.F.); +#1699=ORIENTED_EDGE('',*,*,#4163,.F.); +#1700=ORIENTED_EDGE('',*,*,#4365,.T.); +#1701=ORIENTED_EDGE('',*,*,#4180,.F.); +#1702=ORIENTED_EDGE('',*,*,#4251,.F.); +#1703=ORIENTED_EDGE('',*,*,#4252,.F.); +#1704=ORIENTED_EDGE('',*,*,#4219,.F.); +#1705=ORIENTED_EDGE('',*,*,#4167,.F.); +#1706=ORIENTED_EDGE('',*,*,#4246,.F.); +#1707=ORIENTED_EDGE('',*,*,#4185,.F.); +#1708=ORIENTED_EDGE('',*,*,#4063,.F.); +#1709=ORIENTED_EDGE('',*,*,#4257,.F.); +#1710=ORIENTED_EDGE('',*,*,#4220,.F.); +#1711=ORIENTED_EDGE('',*,*,#4183,.F.); +#1712=ORIENTED_EDGE('',*,*,#4222,.F.); +#1713=ORIENTED_EDGE('',*,*,#4256,.F.); +#1714=ORIENTED_EDGE('',*,*,#4061,.F.); +#1715=ORIENTED_EDGE('',*,*,#4237,.F.); +#1716=ORIENTED_EDGE('',*,*,#4282,.F.); +#1717=ORIENTED_EDGE('',*,*,#4366,.T.); +#1718=ORIENTED_EDGE('',*,*,#4367,.F.); +#1719=ORIENTED_EDGE('',*,*,#4046,.F.); +#1720=ORIENTED_EDGE('',*,*,#4368,.F.); +#1721=ORIENTED_EDGE('',*,*,#4369,.T.); +#1722=ORIENTED_EDGE('',*,*,#4370,.F.); +#1723=ORIENTED_EDGE('',*,*,#4371,.T.); +#1724=ORIENTED_EDGE('',*,*,#4089,.F.); +#1725=ORIENTED_EDGE('',*,*,#4056,.T.); +#1726=ORIENTED_EDGE('',*,*,#3806,.F.); +#1727=ORIENTED_EDGE('',*,*,#4372,.T.); +#1728=ORIENTED_EDGE('',*,*,#4368,.T.); +#1729=ORIENTED_EDGE('',*,*,#4045,.F.); +#1730=ORIENTED_EDGE('',*,*,#4290,.T.); +#1731=ORIENTED_EDGE('',*,*,#4041,.F.); +#1732=ORIENTED_EDGE('',*,*,#4305,.T.); +#1733=ORIENTED_EDGE('',*,*,#4037,.F.); +#1734=ORIENTED_EDGE('',*,*,#4362,.T.); +#1735=ORIENTED_EDGE('',*,*,#4034,.F.); +#1736=ORIENTED_EDGE('',*,*,#4320,.T.); +#1737=ORIENTED_EDGE('',*,*,#4030,.F.); +#1738=ORIENTED_EDGE('',*,*,#4335,.T.); +#1739=ORIENTED_EDGE('',*,*,#4049,.F.); +#1740=ORIENTED_EDGE('',*,*,#4367,.T.); +#1741=ORIENTED_EDGE('',*,*,#4373,.T.); +#1742=ORIENTED_EDGE('',*,*,#3981,.F.); +#1743=ORIENTED_EDGE('',*,*,#4374,.T.); +#1744=ORIENTED_EDGE('',*,*,#4375,.F.); +#1745=ORIENTED_EDGE('',*,*,#4047,.F.); +#1746=ORIENTED_EDGE('',*,*,#4279,.F.); +#1747=ORIENTED_EDGE('',*,*,#4376,.T.); +#1748=ORIENTED_EDGE('',*,*,#4370,.T.); +#1749=ORIENTED_EDGE('',*,*,#4048,.F.); +#1750=ORIENTED_EDGE('',*,*,#4375,.T.); +#1751=ORIENTED_EDGE('',*,*,#4377,.T.); +#1752=ORIENTED_EDGE('',*,*,#3867,.F.); +#1753=ORIENTED_EDGE('',*,*,#4176,.F.); +#1754=ORIENTED_EDGE('',*,*,#4086,.F.); +#1755=ORIENTED_EDGE('',*,*,#4196,.F.); +#1756=ORIENTED_EDGE('',*,*,#4364,.F.); +#1757=ORIENTED_EDGE('',*,*,#4177,.F.); +#1758=ORIENTED_EDGE('',*,*,#3865,.F.); +#1759=ORIENTED_EDGE('',*,*,#4158,.F.); +#1760=ORIENTED_EDGE('',*,*,#3863,.F.); +#1761=ORIENTED_EDGE('',*,*,#4188,.F.); +#1762=ORIENTED_EDGE('',*,*,#4065,.F.); +#1763=ORIENTED_EDGE('',*,*,#4182,.F.); +#1764=ORIENTED_EDGE('',*,*,#4365,.F.); +#1765=ORIENTED_EDGE('',*,*,#4162,.F.); +#1766=ORIENTED_EDGE('',*,*,#3857,.F.); +#1767=ORIENTED_EDGE('',*,*,#4181,.F.); +#1768=ORIENTED_EDGE('',*,*,#4235,.F.); +#1769=ORIENTED_EDGE('',*,*,#4277,.F.); +#1770=ORIENTED_EDGE('',*,*,#4088,.F.); +#1771=ORIENTED_EDGE('',*,*,#4175,.F.); +#1772=ORIENTED_EDGE('',*,*,#4231,.F.); +#1773=ORIENTED_EDGE('',*,*,#4173,.F.); +#1774=ORIENTED_EDGE('',*,*,#4266,.F.); +#1775=ORIENTED_EDGE('',*,*,#4153,.F.); +#1776=ORIENTED_EDGE('',*,*,#4059,.F.); +#1777=ORIENTED_EDGE('',*,*,#4276,.F.); +#1778=ORIENTED_EDGE('',*,*,#4233,.F.); +#1779=ORIENTED_EDGE('',*,*,#4236,.F.); +#1780=ORIENTED_EDGE('',*,*,#4374,.F.); +#1781=ORIENTED_EDGE('',*,*,#3992,.F.); +#1782=ORIENTED_EDGE('',*,*,#4083,.F.); +#1783=ORIENTED_EDGE('',*,*,#4280,.F.); +#1784=ORIENTED_EDGE('',*,*,#4377,.F.); +#1785=ORIENTED_EDGE('',*,*,#4372,.F.); +#1786=ORIENTED_EDGE('',*,*,#3805,.F.); +#1787=ORIENTED_EDGE('',*,*,#4070,.F.); +#1788=ORIENTED_EDGE('',*,*,#4283,.F.); +#1789=ORIENTED_EDGE('',*,*,#4369,.F.); +#1790=ORIENTED_EDGE('',*,*,#4366,.F.); +#1791=ORIENTED_EDGE('',*,*,#4281,.F.); +#1792=ORIENTED_EDGE('',*,*,#4068,.F.); +#1793=ORIENTED_EDGE('',*,*,#3982,.F.); +#1794=ORIENTED_EDGE('',*,*,#4373,.F.); +#1795=ORIENTED_EDGE('',*,*,#4376,.F.); +#1796=ORIENTED_EDGE('',*,*,#4278,.F.); +#1797=ORIENTED_EDGE('',*,*,#4081,.F.); +#1798=ORIENTED_EDGE('',*,*,#4090,.F.); +#1799=ORIENTED_EDGE('',*,*,#4371,.F.); +#1800=ORIENTED_EDGE('',*,*,#4378,.F.); +#1801=ORIENTED_EDGE('',*,*,#4379,.T.); +#1802=ORIENTED_EDGE('',*,*,#4380,.T.); +#1803=ORIENTED_EDGE('',*,*,#4381,.T.); +#1804=ORIENTED_EDGE('',*,*,#4382,.F.); +#1805=ORIENTED_EDGE('',*,*,#4383,.F.); +#1806=ORIENTED_EDGE('',*,*,#4384,.F.); +#1807=ORIENTED_EDGE('',*,*,#4385,.T.); +#1808=ORIENTED_EDGE('',*,*,#4386,.F.); +#1809=ORIENTED_EDGE('',*,*,#4385,.F.); +#1810=ORIENTED_EDGE('',*,*,#4387,.F.); +#1811=ORIENTED_EDGE('',*,*,#4388,.F.); +#1812=ORIENTED_EDGE('',*,*,#4389,.T.); +#1813=ORIENTED_EDGE('',*,*,#4381,.F.); +#1814=ORIENTED_EDGE('',*,*,#4390,.T.); +#1815=ORIENTED_EDGE('',*,*,#4391,.T.); +#1816=ORIENTED_EDGE('',*,*,#4392,.F.); +#1817=ORIENTED_EDGE('',*,*,#4391,.F.); +#1818=ORIENTED_EDGE('',*,*,#4393,.T.); +#1819=ORIENTED_EDGE('',*,*,#4394,.T.); +#1820=ORIENTED_EDGE('',*,*,#4395,.F.); +#1821=ORIENTED_EDGE('',*,*,#4394,.F.); +#1822=ORIENTED_EDGE('',*,*,#4396,.T.); +#1823=ORIENTED_EDGE('',*,*,#4397,.T.); +#1824=ORIENTED_EDGE('',*,*,#4398,.F.); +#1825=ORIENTED_EDGE('',*,*,#4397,.F.); +#1826=ORIENTED_EDGE('',*,*,#4399,.T.); +#1827=ORIENTED_EDGE('',*,*,#4400,.T.); +#1828=ORIENTED_EDGE('',*,*,#4401,.F.); +#1829=ORIENTED_EDGE('',*,*,#4400,.F.); +#1830=ORIENTED_EDGE('',*,*,#4402,.T.); +#1831=ORIENTED_EDGE('',*,*,#4403,.T.); +#1832=ORIENTED_EDGE('',*,*,#4404,.F.); +#1833=ORIENTED_EDGE('',*,*,#4403,.F.); +#1834=ORIENTED_EDGE('',*,*,#4405,.T.); +#1835=ORIENTED_EDGE('',*,*,#4406,.T.); +#1836=ORIENTED_EDGE('',*,*,#4407,.F.); +#1837=ORIENTED_EDGE('',*,*,#4406,.F.); +#1838=ORIENTED_EDGE('',*,*,#4408,.T.); +#1839=ORIENTED_EDGE('',*,*,#4409,.T.); +#1840=ORIENTED_EDGE('',*,*,#4410,.F.); +#1841=ORIENTED_EDGE('',*,*,#4409,.F.); +#1842=ORIENTED_EDGE('',*,*,#4411,.T.); +#1843=ORIENTED_EDGE('',*,*,#4412,.T.); +#1844=ORIENTED_EDGE('',*,*,#4413,.F.); +#1845=ORIENTED_EDGE('',*,*,#4412,.F.); +#1846=ORIENTED_EDGE('',*,*,#4414,.T.); +#1847=ORIENTED_EDGE('',*,*,#4415,.T.); +#1848=ORIENTED_EDGE('',*,*,#4416,.F.); +#1849=ORIENTED_EDGE('',*,*,#4415,.F.); +#1850=ORIENTED_EDGE('',*,*,#4417,.T.); +#1851=ORIENTED_EDGE('',*,*,#4418,.T.); +#1852=ORIENTED_EDGE('',*,*,#4419,.T.); +#1853=ORIENTED_EDGE('',*,*,#4420,.T.); +#1854=ORIENTED_EDGE('',*,*,#4421,.F.); +#1855=ORIENTED_EDGE('',*,*,#4418,.F.); +#1856=ORIENTED_EDGE('',*,*,#4380,.F.); +#1857=ORIENTED_EDGE('',*,*,#4422,.T.); +#1858=ORIENTED_EDGE('',*,*,#4419,.F.); +#1859=ORIENTED_EDGE('',*,*,#4417,.F.); +#1860=ORIENTED_EDGE('',*,*,#4414,.F.); +#1861=ORIENTED_EDGE('',*,*,#4411,.F.); +#1862=ORIENTED_EDGE('',*,*,#4408,.F.); +#1863=ORIENTED_EDGE('',*,*,#4405,.F.); +#1864=ORIENTED_EDGE('',*,*,#4402,.F.); +#1865=ORIENTED_EDGE('',*,*,#4399,.F.); +#1866=ORIENTED_EDGE('',*,*,#4396,.F.); +#1867=ORIENTED_EDGE('',*,*,#4393,.F.); +#1868=ORIENTED_EDGE('',*,*,#4390,.F.); +#1869=ORIENTED_EDGE('',*,*,#4421,.T.); +#1870=ORIENTED_EDGE('',*,*,#4423,.T.); +#1871=ORIENTED_EDGE('',*,*,#4378,.T.); +#1872=ORIENTED_EDGE('',*,*,#4386,.T.); +#1873=ORIENTED_EDGE('',*,*,#4392,.T.); +#1874=ORIENTED_EDGE('',*,*,#4395,.T.); +#1875=ORIENTED_EDGE('',*,*,#4398,.T.); +#1876=ORIENTED_EDGE('',*,*,#4401,.T.); +#1877=ORIENTED_EDGE('',*,*,#4404,.T.); +#1878=ORIENTED_EDGE('',*,*,#4407,.T.); +#1879=ORIENTED_EDGE('',*,*,#4410,.T.); +#1880=ORIENTED_EDGE('',*,*,#4413,.T.); +#1881=ORIENTED_EDGE('',*,*,#4416,.T.); +#1882=ORIENTED_EDGE('',*,*,#4384,.T.); +#1883=ORIENTED_EDGE('',*,*,#4424,.F.); +#1884=ORIENTED_EDGE('',*,*,#4425,.F.); +#1885=ORIENTED_EDGE('',*,*,#4387,.T.); +#1886=ORIENTED_EDGE('',*,*,#4383,.T.); +#1887=ORIENTED_EDGE('',*,*,#4426,.F.); +#1888=ORIENTED_EDGE('',*,*,#4427,.F.); +#1889=ORIENTED_EDGE('',*,*,#4424,.T.); +#1890=ORIENTED_EDGE('',*,*,#4382,.T.); +#1891=ORIENTED_EDGE('',*,*,#4389,.F.); +#1892=ORIENTED_EDGE('',*,*,#4428,.F.); +#1893=ORIENTED_EDGE('',*,*,#4426,.T.); +#1894=ORIENTED_EDGE('',*,*,#4388,.T.); +#1895=ORIENTED_EDGE('',*,*,#4425,.T.); +#1896=ORIENTED_EDGE('',*,*,#4427,.T.); +#1897=ORIENTED_EDGE('',*,*,#4428,.T.); +#1898=ORIENTED_EDGE('',*,*,#4422,.F.); +#1899=ORIENTED_EDGE('',*,*,#4379,.F.); +#1900=ORIENTED_EDGE('',*,*,#4423,.F.); +#1901=ORIENTED_EDGE('',*,*,#4420,.F.); +#1902=ORIENTED_EDGE('',*,*,#4429,.F.); +#1903=ORIENTED_EDGE('',*,*,#4430,.T.); +#1904=ORIENTED_EDGE('',*,*,#4431,.T.); +#1905=ORIENTED_EDGE('',*,*,#4432,.T.); +#1906=ORIENTED_EDGE('',*,*,#4433,.F.); +#1907=ORIENTED_EDGE('',*,*,#4434,.F.); +#1908=ORIENTED_EDGE('',*,*,#4435,.F.); +#1909=ORIENTED_EDGE('',*,*,#4436,.T.); +#1910=ORIENTED_EDGE('',*,*,#4437,.F.); +#1911=ORIENTED_EDGE('',*,*,#4436,.F.); +#1912=ORIENTED_EDGE('',*,*,#4438,.F.); +#1913=ORIENTED_EDGE('',*,*,#4439,.F.); +#1914=ORIENTED_EDGE('',*,*,#4440,.T.); +#1915=ORIENTED_EDGE('',*,*,#4432,.F.); +#1916=ORIENTED_EDGE('',*,*,#4441,.T.); +#1917=ORIENTED_EDGE('',*,*,#4442,.T.); +#1918=ORIENTED_EDGE('',*,*,#4443,.F.); +#1919=ORIENTED_EDGE('',*,*,#4442,.F.); +#1920=ORIENTED_EDGE('',*,*,#4444,.T.); +#1921=ORIENTED_EDGE('',*,*,#4445,.T.); +#1922=ORIENTED_EDGE('',*,*,#4446,.F.); +#1923=ORIENTED_EDGE('',*,*,#4445,.F.); +#1924=ORIENTED_EDGE('',*,*,#4447,.T.); +#1925=ORIENTED_EDGE('',*,*,#4448,.T.); +#1926=ORIENTED_EDGE('',*,*,#4449,.F.); +#1927=ORIENTED_EDGE('',*,*,#4448,.F.); +#1928=ORIENTED_EDGE('',*,*,#4450,.T.); +#1929=ORIENTED_EDGE('',*,*,#4451,.T.); +#1930=ORIENTED_EDGE('',*,*,#4452,.F.); +#1931=ORIENTED_EDGE('',*,*,#4451,.F.); +#1932=ORIENTED_EDGE('',*,*,#4453,.T.); +#1933=ORIENTED_EDGE('',*,*,#4454,.T.); +#1934=ORIENTED_EDGE('',*,*,#4455,.F.); +#1935=ORIENTED_EDGE('',*,*,#4454,.F.); +#1936=ORIENTED_EDGE('',*,*,#4456,.T.); +#1937=ORIENTED_EDGE('',*,*,#4457,.T.); +#1938=ORIENTED_EDGE('',*,*,#4458,.F.); +#1939=ORIENTED_EDGE('',*,*,#4457,.F.); +#1940=ORIENTED_EDGE('',*,*,#4459,.T.); +#1941=ORIENTED_EDGE('',*,*,#4460,.T.); +#1942=ORIENTED_EDGE('',*,*,#4461,.F.); +#1943=ORIENTED_EDGE('',*,*,#4460,.F.); +#1944=ORIENTED_EDGE('',*,*,#4462,.T.); +#1945=ORIENTED_EDGE('',*,*,#4463,.T.); +#1946=ORIENTED_EDGE('',*,*,#4464,.F.); +#1947=ORIENTED_EDGE('',*,*,#4463,.F.); +#1948=ORIENTED_EDGE('',*,*,#4465,.T.); +#1949=ORIENTED_EDGE('',*,*,#4466,.T.); +#1950=ORIENTED_EDGE('',*,*,#4467,.F.); +#1951=ORIENTED_EDGE('',*,*,#4466,.F.); +#1952=ORIENTED_EDGE('',*,*,#4468,.T.); +#1953=ORIENTED_EDGE('',*,*,#4469,.T.); +#1954=ORIENTED_EDGE('',*,*,#4470,.T.); +#1955=ORIENTED_EDGE('',*,*,#4471,.T.); +#1956=ORIENTED_EDGE('',*,*,#4472,.F.); +#1957=ORIENTED_EDGE('',*,*,#4469,.F.); +#1958=ORIENTED_EDGE('',*,*,#4431,.F.); +#1959=ORIENTED_EDGE('',*,*,#4473,.T.); +#1960=ORIENTED_EDGE('',*,*,#4470,.F.); +#1961=ORIENTED_EDGE('',*,*,#4468,.F.); +#1962=ORIENTED_EDGE('',*,*,#4465,.F.); +#1963=ORIENTED_EDGE('',*,*,#4462,.F.); +#1964=ORIENTED_EDGE('',*,*,#4459,.F.); +#1965=ORIENTED_EDGE('',*,*,#4456,.F.); +#1966=ORIENTED_EDGE('',*,*,#4453,.F.); +#1967=ORIENTED_EDGE('',*,*,#4450,.F.); +#1968=ORIENTED_EDGE('',*,*,#4447,.F.); +#1969=ORIENTED_EDGE('',*,*,#4444,.F.); +#1970=ORIENTED_EDGE('',*,*,#4441,.F.); +#1971=ORIENTED_EDGE('',*,*,#4472,.T.); +#1972=ORIENTED_EDGE('',*,*,#4474,.T.); +#1973=ORIENTED_EDGE('',*,*,#4429,.T.); +#1974=ORIENTED_EDGE('',*,*,#4437,.T.); +#1975=ORIENTED_EDGE('',*,*,#4443,.T.); +#1976=ORIENTED_EDGE('',*,*,#4446,.T.); +#1977=ORIENTED_EDGE('',*,*,#4449,.T.); +#1978=ORIENTED_EDGE('',*,*,#4452,.T.); +#1979=ORIENTED_EDGE('',*,*,#4455,.T.); +#1980=ORIENTED_EDGE('',*,*,#4458,.T.); +#1981=ORIENTED_EDGE('',*,*,#4461,.T.); +#1982=ORIENTED_EDGE('',*,*,#4464,.T.); +#1983=ORIENTED_EDGE('',*,*,#4467,.T.); +#1984=ORIENTED_EDGE('',*,*,#4435,.T.); +#1985=ORIENTED_EDGE('',*,*,#4475,.F.); +#1986=ORIENTED_EDGE('',*,*,#4476,.F.); +#1987=ORIENTED_EDGE('',*,*,#4438,.T.); +#1988=ORIENTED_EDGE('',*,*,#4434,.T.); +#1989=ORIENTED_EDGE('',*,*,#4477,.F.); +#1990=ORIENTED_EDGE('',*,*,#4478,.F.); +#1991=ORIENTED_EDGE('',*,*,#4475,.T.); +#1992=ORIENTED_EDGE('',*,*,#4433,.T.); +#1993=ORIENTED_EDGE('',*,*,#4440,.F.); +#1994=ORIENTED_EDGE('',*,*,#4479,.F.); +#1995=ORIENTED_EDGE('',*,*,#4477,.T.); +#1996=ORIENTED_EDGE('',*,*,#4439,.T.); +#1997=ORIENTED_EDGE('',*,*,#4476,.T.); +#1998=ORIENTED_EDGE('',*,*,#4478,.T.); +#1999=ORIENTED_EDGE('',*,*,#4479,.T.); +#2000=ORIENTED_EDGE('',*,*,#4473,.F.); +#2001=ORIENTED_EDGE('',*,*,#4430,.F.); +#2002=ORIENTED_EDGE('',*,*,#4474,.F.); +#2003=ORIENTED_EDGE('',*,*,#4471,.F.); +#2004=ORIENTED_EDGE('',*,*,#4480,.T.); +#2005=ORIENTED_EDGE('',*,*,#4481,.T.); +#2006=ORIENTED_EDGE('',*,*,#4482,.T.); +#2007=ORIENTED_EDGE('',*,*,#4483,.T.); +#2008=ORIENTED_EDGE('',*,*,#4484,.T.); +#2009=ORIENTED_EDGE('',*,*,#4485,.T.); +#2010=ORIENTED_EDGE('',*,*,#4486,.T.); +#2011=ORIENTED_EDGE('',*,*,#4487,.T.); +#2012=ORIENTED_EDGE('',*,*,#4488,.T.); +#2013=ORIENTED_EDGE('',*,*,#4489,.T.); +#2014=ORIENTED_EDGE('',*,*,#4490,.F.); +#2015=ORIENTED_EDGE('',*,*,#4491,.T.); +#2016=ORIENTED_EDGE('',*,*,#4492,.T.); +#2017=ORIENTED_EDGE('',*,*,#4493,.T.); +#2018=ORIENTED_EDGE('',*,*,#4494,.T.); +#2019=ORIENTED_EDGE('',*,*,#4495,.T.); +#2020=ORIENTED_EDGE('',*,*,#4496,.T.); +#2021=ORIENTED_EDGE('',*,*,#4497,.T.); +#2022=ORIENTED_EDGE('',*,*,#4498,.F.); +#2023=ORIENTED_EDGE('',*,*,#4499,.T.); +#2024=ORIENTED_EDGE('',*,*,#4500,.T.); +#2025=ORIENTED_EDGE('',*,*,#4501,.T.); +#2026=ORIENTED_EDGE('',*,*,#4502,.T.); +#2027=ORIENTED_EDGE('',*,*,#4503,.T.); +#2028=ORIENTED_EDGE('',*,*,#4504,.T.); +#2029=ORIENTED_EDGE('',*,*,#4505,.T.); +#2030=ORIENTED_EDGE('',*,*,#4506,.F.); +#2031=ORIENTED_EDGE('',*,*,#4507,.F.); +#2032=ORIENTED_EDGE('',*,*,#4508,.T.); +#2033=ORIENTED_EDGE('',*,*,#4509,.T.); +#2034=ORIENTED_EDGE('',*,*,#4510,.F.); +#2035=ORIENTED_EDGE('',*,*,#4511,.T.); +#2036=ORIENTED_EDGE('',*,*,#4512,.T.); +#2037=ORIENTED_EDGE('',*,*,#4513,.T.); +#2038=ORIENTED_EDGE('',*,*,#4514,.T.); +#2039=ORIENTED_EDGE('',*,*,#4515,.T.); +#2040=ORIENTED_EDGE('',*,*,#4516,.T.); +#2041=ORIENTED_EDGE('',*,*,#4517,.T.); +#2042=ORIENTED_EDGE('',*,*,#4518,.T.); +#2043=ORIENTED_EDGE('',*,*,#4519,.T.); +#2044=ORIENTED_EDGE('',*,*,#4520,.T.); +#2045=ORIENTED_EDGE('',*,*,#4521,.T.); +#2046=ORIENTED_EDGE('',*,*,#4522,.T.); +#2047=ORIENTED_EDGE('',*,*,#4523,.T.); +#2048=ORIENTED_EDGE('',*,*,#4524,.T.); +#2049=ORIENTED_EDGE('',*,*,#4525,.T.); +#2050=ORIENTED_EDGE('',*,*,#4526,.T.); +#2051=ORIENTED_EDGE('',*,*,#4527,.T.); +#2052=ORIENTED_EDGE('',*,*,#4528,.T.); +#2053=ORIENTED_EDGE('',*,*,#4529,.F.); +#2054=ORIENTED_EDGE('',*,*,#4530,.F.); +#2055=ORIENTED_EDGE('',*,*,#4531,.T.); +#2056=ORIENTED_EDGE('',*,*,#4532,.T.); +#2057=ORIENTED_EDGE('',*,*,#4533,.T.); +#2058=ORIENTED_EDGE('',*,*,#4534,.T.); +#2059=ORIENTED_EDGE('',*,*,#4535,.T.); +#2060=ORIENTED_EDGE('',*,*,#4488,.F.); +#2061=ORIENTED_EDGE('',*,*,#4536,.T.); +#2062=ORIENTED_EDGE('',*,*,#4530,.T.); +#2063=ORIENTED_EDGE('',*,*,#4537,.T.); +#2064=ORIENTED_EDGE('',*,*,#4538,.T.); +#2065=ORIENTED_EDGE('',*,*,#4539,.T.); +#2066=ORIENTED_EDGE('',*,*,#4540,.F.); +#2067=ORIENTED_EDGE('',*,*,#4541,.F.); +#2068=ORIENTED_EDGE('',*,*,#4510,.T.); +#2069=ORIENTED_EDGE('',*,*,#4542,.T.); +#2070=ORIENTED_EDGE('',*,*,#4480,.F.); +#2071=ORIENTED_EDGE('',*,*,#4543,.F.); +#2072=ORIENTED_EDGE('',*,*,#4544,.F.); +#2073=ORIENTED_EDGE('',*,*,#4545,.T.); +#2074=ORIENTED_EDGE('',*,*,#4546,.T.); +#2075=ORIENTED_EDGE('',*,*,#4547,.T.); +#2076=ORIENTED_EDGE('',*,*,#4548,.F.); +#2077=ORIENTED_EDGE('',*,*,#4549,.T.); +#2078=ORIENTED_EDGE('',*,*,#4550,.T.); +#2079=ORIENTED_EDGE('',*,*,#4551,.T.); +#2080=ORIENTED_EDGE('',*,*,#4552,.T.); +#2081=ORIENTED_EDGE('',*,*,#4520,.F.); +#2082=ORIENTED_EDGE('',*,*,#4553,.T.); +#2083=ORIENTED_EDGE('',*,*,#4498,.T.); +#2084=ORIENTED_EDGE('',*,*,#4554,.T.); +#2085=ORIENTED_EDGE('',*,*,#4506,.T.); +#2086=ORIENTED_EDGE('',*,*,#4555,.T.); +#2087=ORIENTED_EDGE('',*,*,#4512,.F.); +#2088=ORIENTED_EDGE('',*,*,#4556,.F.); +#2089=ORIENTED_EDGE('',*,*,#4557,.F.); +#2090=ORIENTED_EDGE('',*,*,#4558,.T.); +#2091=ORIENTED_EDGE('',*,*,#4559,.F.); +#2092=ORIENTED_EDGE('',*,*,#4560,.T.); +#2093=ORIENTED_EDGE('',*,*,#4561,.F.); +#2094=ORIENTED_EDGE('',*,*,#4562,.T.); +#2095=ORIENTED_EDGE('',*,*,#4563,.T.); +#2096=ORIENTED_EDGE('',*,*,#4564,.T.); +#2097=ORIENTED_EDGE('',*,*,#4565,.T.); +#2098=ORIENTED_EDGE('',*,*,#4566,.T.); +#2099=ORIENTED_EDGE('',*,*,#4567,.T.); +#2100=ORIENTED_EDGE('',*,*,#4568,.T.); +#2101=ORIENTED_EDGE('',*,*,#4569,.T.); +#2102=ORIENTED_EDGE('',*,*,#4570,.T.); +#2103=ORIENTED_EDGE('',*,*,#4571,.F.); +#2104=ORIENTED_EDGE('',*,*,#4572,.T.); +#2105=ORIENTED_EDGE('',*,*,#4573,.T.); +#2106=ORIENTED_EDGE('',*,*,#4574,.T.); +#2107=ORIENTED_EDGE('',*,*,#4575,.F.); +#2108=ORIENTED_EDGE('',*,*,#4576,.T.); +#2109=ORIENTED_EDGE('',*,*,#4577,.F.); +#2110=ORIENTED_EDGE('',*,*,#4578,.T.); +#2111=ORIENTED_EDGE('',*,*,#4579,.T.); +#2112=ORIENTED_EDGE('',*,*,#4580,.T.); +#2113=ORIENTED_EDGE('',*,*,#4581,.T.); +#2114=ORIENTED_EDGE('',*,*,#4582,.T.); +#2115=ORIENTED_EDGE('',*,*,#4583,.T.); +#2116=ORIENTED_EDGE('',*,*,#4584,.F.); +#2117=ORIENTED_EDGE('',*,*,#4585,.T.); +#2118=ORIENTED_EDGE('',*,*,#4586,.F.); +#2119=ORIENTED_EDGE('',*,*,#4587,.T.); +#2120=ORIENTED_EDGE('',*,*,#4588,.F.); +#2121=ORIENTED_EDGE('',*,*,#4589,.F.); +#2122=ORIENTED_EDGE('',*,*,#4528,.F.); +#2123=ORIENTED_EDGE('',*,*,#4590,.T.); +#2124=ORIENTED_EDGE('',*,*,#4490,.T.); +#2125=ORIENTED_EDGE('',*,*,#4591,.T.); +#2126=ORIENTED_EDGE('',*,*,#4592,.T.); +#2127=ORIENTED_EDGE('',*,*,#4593,.T.); +#2128=ORIENTED_EDGE('',*,*,#4594,.T.); +#2129=ORIENTED_EDGE('',*,*,#4595,.T.); +#2130=ORIENTED_EDGE('',*,*,#4596,.T.); +#2131=ORIENTED_EDGE('',*,*,#4597,.T.); +#2132=ORIENTED_EDGE('',*,*,#4598,.F.); +#2133=ORIENTED_EDGE('',*,*,#4599,.T.); +#2134=ORIENTED_EDGE('',*,*,#4600,.T.); +#2135=ORIENTED_EDGE('',*,*,#4601,.T.); +#2136=ORIENTED_EDGE('',*,*,#4602,.F.); +#2137=ORIENTED_EDGE('',*,*,#4603,.T.); +#2138=ORIENTED_EDGE('',*,*,#4563,.F.); +#2139=ORIENTED_EDGE('',*,*,#4604,.T.); +#2140=ORIENTED_EDGE('',*,*,#4569,.F.); +#2141=ORIENTED_EDGE('',*,*,#4605,.T.); +#2142=ORIENTED_EDGE('',*,*,#4606,.F.); +#2143=ORIENTED_EDGE('',*,*,#4607,.T.); +#2144=ORIENTED_EDGE('',*,*,#4608,.F.); +#2145=ORIENTED_EDGE('',*,*,#4609,.T.); +#2146=ORIENTED_EDGE('',*,*,#4610,.F.); +#2147=ORIENTED_EDGE('',*,*,#4611,.T.); +#2148=ORIENTED_EDGE('',*,*,#4573,.F.); +#2149=ORIENTED_EDGE('',*,*,#4612,.T.); +#2150=ORIENTED_EDGE('',*,*,#4613,.T.); +#2151=ORIENTED_EDGE('',*,*,#4614,.T.); +#2152=ORIENTED_EDGE('',*,*,#4615,.T.); +#2153=ORIENTED_EDGE('',*,*,#4616,.T.); +#2154=ORIENTED_EDGE('',*,*,#4544,.T.); +#2155=ORIENTED_EDGE('',*,*,#4617,.T.); +#2156=ORIENTED_EDGE('',*,*,#4567,.F.); +#2157=ORIENTED_EDGE('',*,*,#4618,.F.); +#2158=ORIENTED_EDGE('',*,*,#4619,.F.); +#2159=ORIENTED_EDGE('',*,*,#4620,.F.); +#2160=ORIENTED_EDGE('',*,*,#4621,.F.); +#2161=ORIENTED_EDGE('',*,*,#4622,.F.); +#2162=ORIENTED_EDGE('',*,*,#4623,.F.); +#2163=ORIENTED_EDGE('',*,*,#4624,.F.); +#2164=ORIENTED_EDGE('',*,*,#4625,.F.); +#2165=ORIENTED_EDGE('',*,*,#4551,.F.); +#2166=ORIENTED_EDGE('',*,*,#4568,.F.); +#2167=ORIENTED_EDGE('',*,*,#4617,.F.); +#2168=ORIENTED_EDGE('',*,*,#4543,.T.); +#2169=ORIENTED_EDGE('',*,*,#4507,.T.); +#2170=ORIENTED_EDGE('',*,*,#4591,.F.); +#2171=ORIENTED_EDGE('',*,*,#4489,.F.); +#2172=ORIENTED_EDGE('',*,*,#4541,.T.); +#2173=ORIENTED_EDGE('',*,*,#4626,.T.); +#2174=ORIENTED_EDGE('',*,*,#4598,.T.); +#2175=ORIENTED_EDGE('',*,*,#4627,.T.); +#2176=ORIENTED_EDGE('',*,*,#4582,.F.); +#2177=ORIENTED_EDGE('',*,*,#4628,.F.); +#2178=ORIENTED_EDGE('',*,*,#4629,.F.); +#2179=ORIENTED_EDGE('',*,*,#4630,.F.); +#2180=ORIENTED_EDGE('',*,*,#4592,.F.); +#2181=ORIENTED_EDGE('',*,*,#4626,.F.); +#2182=ORIENTED_EDGE('',*,*,#4540,.T.); +#2183=ORIENTED_EDGE('',*,*,#4631,.T.); +#2184=ORIENTED_EDGE('',*,*,#4632,.T.); +#2185=ORIENTED_EDGE('',*,*,#4633,.T.); +#2186=ORIENTED_EDGE('',*,*,#4634,.T.); +#2187=ORIENTED_EDGE('',*,*,#4635,.T.); +#2188=ORIENTED_EDGE('',*,*,#4602,.T.); +#2189=ORIENTED_EDGE('',*,*,#4636,.T.); +#2190=ORIENTED_EDGE('',*,*,#4637,.F.); +#2191=ORIENTED_EDGE('',*,*,#4638,.T.); +#2192=ORIENTED_EDGE('',*,*,#4639,.F.); +#2193=ORIENTED_EDGE('',*,*,#4640,.T.); +#2194=ORIENTED_EDGE('',*,*,#4641,.F.); +#2195=ORIENTED_EDGE('',*,*,#4642,.T.); +#2196=ORIENTED_EDGE('',*,*,#4643,.F.); +#2197=ORIENTED_EDGE('',*,*,#4644,.F.); +#2198=ORIENTED_EDGE('',*,*,#4645,.F.); +#2199=ORIENTED_EDGE('',*,*,#4646,.F.); +#2200=ORIENTED_EDGE('',*,*,#4647,.F.); +#2201=ORIENTED_EDGE('',*,*,#4580,.F.); +#2202=ORIENTED_EDGE('',*,*,#4571,.T.); +#2203=ORIENTED_EDGE('',*,*,#4648,.T.); +#2204=ORIENTED_EDGE('',*,*,#4561,.T.); +#2205=ORIENTED_EDGE('',*,*,#4649,.T.); +#2206=ORIENTED_EDGE('',*,*,#4650,.T.); +#2207=ORIENTED_EDGE('',*,*,#4651,.T.); +#2208=ORIENTED_EDGE('',*,*,#4557,.T.); +#2209=ORIENTED_EDGE('',*,*,#4652,.F.); +#2210=ORIENTED_EDGE('',*,*,#4546,.F.); +#2211=ORIENTED_EDGE('',*,*,#4653,.T.); +#2212=ORIENTED_EDGE('',*,*,#4654,.F.); +#2213=ORIENTED_EDGE('',*,*,#4655,.T.); +#2214=ORIENTED_EDGE('',*,*,#4656,.F.); +#2215=ORIENTED_EDGE('',*,*,#4657,.T.); +#2216=ORIENTED_EDGE('',*,*,#4575,.T.); +#2217=ORIENTED_EDGE('',*,*,#4658,.T.); +#2218=ORIENTED_EDGE('',*,*,#4659,.T.); +#2219=ORIENTED_EDGE('',*,*,#4660,.T.); +#2220=ORIENTED_EDGE('',*,*,#4661,.T.); +#2221=ORIENTED_EDGE('',*,*,#4662,.T.); +#2222=ORIENTED_EDGE('',*,*,#4663,.T.); +#2223=ORIENTED_EDGE('',*,*,#4664,.T.); +#2224=ORIENTED_EDGE('',*,*,#4665,.T.); +#2225=ORIENTED_EDGE('',*,*,#4548,.T.); +#2226=ORIENTED_EDGE('',*,*,#4666,.T.); +#2227=ORIENTED_EDGE('',*,*,#4667,.T.); +#2228=ORIENTED_EDGE('',*,*,#4556,.T.); +#2229=ORIENTED_EDGE('',*,*,#4511,.F.); +#2230=ORIENTED_EDGE('',*,*,#4547,.F.); +#2231=ORIENTED_EDGE('',*,*,#4652,.T.); +#2232=ORIENTED_EDGE('',*,*,#4589,.T.); +#2233=ORIENTED_EDGE('',*,*,#4668,.F.); +#2234=ORIENTED_EDGE('',*,*,#4537,.F.); +#2235=ORIENTED_EDGE('',*,*,#4529,.T.); +#2236=ORIENTED_EDGE('',*,*,#4584,.T.); +#2237=ORIENTED_EDGE('',*,*,#4669,.T.); +#2238=ORIENTED_EDGE('',*,*,#4596,.F.); +#2239=ORIENTED_EDGE('',*,*,#4670,.T.); +#2240=ORIENTED_EDGE('',*,*,#4671,.T.); +#2241=ORIENTED_EDGE('',*,*,#4672,.T.); +#2242=ORIENTED_EDGE('',*,*,#4673,.T.); +#2243=ORIENTED_EDGE('',*,*,#4674,.T.); +#2244=ORIENTED_EDGE('',*,*,#4675,.T.); +#2245=ORIENTED_EDGE('',*,*,#4676,.T.); +#2246=ORIENTED_EDGE('',*,*,#4600,.F.); +#2247=ORIENTED_EDGE('',*,*,#4677,.T.); +#2248=ORIENTED_EDGE('',*,*,#4678,.F.); +#2249=ORIENTED_EDGE('',*,*,#4679,.T.); +#2250=ORIENTED_EDGE('',*,*,#4680,.F.); +#2251=ORIENTED_EDGE('',*,*,#4681,.T.); +#2252=ORIENTED_EDGE('',*,*,#4538,.F.); +#2253=ORIENTED_EDGE('',*,*,#4668,.T.); +#2254=ORIENTED_EDGE('',*,*,#4588,.T.); +#2255=ORIENTED_EDGE('',*,*,#4682,.T.); +#2256=ORIENTED_EDGE('',*,*,#4683,.T.); +#2257=ORIENTED_EDGE('',*,*,#4684,.T.); +#2258=ORIENTED_EDGE('',*,*,#4685,.T.); +#2259=ORIENTED_EDGE('',*,*,#4577,.T.); +#2260=ORIENTED_EDGE('',*,*,#4686,.T.); +#2261=ORIENTED_EDGE('',*,*,#4687,.T.); +#2262=ORIENTED_EDGE('',*,*,#4680,.T.); +#2263=ORIENTED_EDGE('',*,*,#4688,.T.); +#2264=ORIENTED_EDGE('',*,*,#4632,.F.); +#2265=ORIENTED_EDGE('',*,*,#4689,.T.); +#2266=ORIENTED_EDGE('',*,*,#4678,.T.); +#2267=ORIENTED_EDGE('',*,*,#4690,.T.); +#2268=ORIENTED_EDGE('',*,*,#4634,.F.); +#2269=ORIENTED_EDGE('',*,*,#4691,.T.); +#2270=ORIENTED_EDGE('',*,*,#4671,.F.); +#2271=ORIENTED_EDGE('',*,*,#4692,.T.); +#2272=ORIENTED_EDGE('',*,*,#4641,.T.); +#2273=ORIENTED_EDGE('',*,*,#4693,.T.); +#2274=ORIENTED_EDGE('',*,*,#4673,.F.); +#2275=ORIENTED_EDGE('',*,*,#4694,.T.); +#2276=ORIENTED_EDGE('',*,*,#4639,.T.); +#2277=ORIENTED_EDGE('',*,*,#4695,.T.); +#2278=ORIENTED_EDGE('',*,*,#4637,.T.); +#2279=ORIENTED_EDGE('',*,*,#4696,.T.); +#2280=ORIENTED_EDGE('',*,*,#4675,.F.); +#2281=ORIENTED_EDGE('',*,*,#4697,.T.); +#2282=ORIENTED_EDGE('',*,*,#4522,.F.); +#2283=ORIENTED_EDGE('',*,*,#4698,.T.); +#2284=ORIENTED_EDGE('',*,*,#4496,.F.); +#2285=ORIENTED_EDGE('',*,*,#4699,.T.); +#2286=ORIENTED_EDGE('',*,*,#4494,.F.); +#2287=ORIENTED_EDGE('',*,*,#4700,.T.); +#2288=ORIENTED_EDGE('',*,*,#4524,.F.); +#2289=ORIENTED_EDGE('',*,*,#4701,.T.); +#2290=ORIENTED_EDGE('',*,*,#4492,.F.); +#2291=ORIENTED_EDGE('',*,*,#4702,.T.); +#2292=ORIENTED_EDGE('',*,*,#4526,.F.); +#2293=ORIENTED_EDGE('',*,*,#4703,.T.); +#2294=ORIENTED_EDGE('',*,*,#4686,.F.); +#2295=ORIENTED_EDGE('',*,*,#4581,.F.); +#2296=ORIENTED_EDGE('',*,*,#4647,.T.); +#2297=ORIENTED_EDGE('',*,*,#4704,.T.); +#2298=ORIENTED_EDGE('',*,*,#4705,.F.); +#2299=ORIENTED_EDGE('',*,*,#4706,.F.); +#2300=ORIENTED_EDGE('',*,*,#4687,.F.); +#2301=ORIENTED_EDGE('',*,*,#4704,.F.); +#2302=ORIENTED_EDGE('',*,*,#4646,.T.); +#2303=ORIENTED_EDGE('',*,*,#4707,.F.); +#2304=ORIENTED_EDGE('',*,*,#4645,.T.); +#2305=ORIENTED_EDGE('',*,*,#4708,.F.); +#2306=ORIENTED_EDGE('',*,*,#4709,.F.); +#2307=ORIENTED_EDGE('',*,*,#4710,.F.); +#2308=ORIENTED_EDGE('',*,*,#4708,.T.); +#2309=ORIENTED_EDGE('',*,*,#4644,.T.); +#2310=ORIENTED_EDGE('',*,*,#4578,.F.); +#2311=ORIENTED_EDGE('',*,*,#4685,.F.); +#2312=ORIENTED_EDGE('',*,*,#4706,.T.); +#2313=ORIENTED_EDGE('',*,*,#4711,.T.); +#2314=ORIENTED_EDGE('',*,*,#4579,.F.); +#2315=ORIENTED_EDGE('',*,*,#4711,.F.); +#2316=ORIENTED_EDGE('',*,*,#4705,.T.); +#2317=ORIENTED_EDGE('',*,*,#4707,.T.); +#2318=ORIENTED_EDGE('',*,*,#4710,.T.); +#2319=ORIENTED_EDGE('',*,*,#4712,.F.); +#2320=ORIENTED_EDGE('',*,*,#4709,.T.); +#2321=ORIENTED_EDGE('',*,*,#4643,.T.); +#2322=ORIENTED_EDGE('',*,*,#4712,.T.); +#2323=ORIENTED_EDGE('',*,*,#4713,.T.); +#2324=ORIENTED_EDGE('',*,*,#4714,.T.); +#2325=ORIENTED_EDGE('',*,*,#4594,.F.); +#2326=ORIENTED_EDGE('',*,*,#4715,.T.); +#2327=ORIENTED_EDGE('',*,*,#4716,.T.); +#2328=ORIENTED_EDGE('',*,*,#4586,.T.); +#2329=ORIENTED_EDGE('',*,*,#4717,.T.); +#2330=ORIENTED_EDGE('',*,*,#4718,.T.); +#2331=ORIENTED_EDGE('',*,*,#4593,.F.); +#2332=ORIENTED_EDGE('',*,*,#4630,.T.); +#2333=ORIENTED_EDGE('',*,*,#4719,.F.); +#2334=ORIENTED_EDGE('',*,*,#4715,.F.); +#2335=ORIENTED_EDGE('',*,*,#4719,.T.); +#2336=ORIENTED_EDGE('',*,*,#4629,.T.); +#2337=ORIENTED_EDGE('',*,*,#4720,.F.); +#2338=ORIENTED_EDGE('',*,*,#4713,.F.); +#2339=ORIENTED_EDGE('',*,*,#4595,.F.); +#2340=ORIENTED_EDGE('',*,*,#4714,.F.); +#2341=ORIENTED_EDGE('',*,*,#4720,.T.); +#2342=ORIENTED_EDGE('',*,*,#4628,.T.); +#2343=ORIENTED_EDGE('',*,*,#4587,.F.); +#2344=ORIENTED_EDGE('',*,*,#4716,.F.); +#2345=ORIENTED_EDGE('',*,*,#4721,.F.); +#2346=ORIENTED_EDGE('',*,*,#4682,.F.); +#2347=ORIENTED_EDGE('',*,*,#4721,.T.); +#2348=ORIENTED_EDGE('',*,*,#4718,.F.); +#2349=ORIENTED_EDGE('',*,*,#4722,.F.); +#2350=ORIENTED_EDGE('',*,*,#4683,.F.); +#2351=ORIENTED_EDGE('',*,*,#4585,.F.); +#2352=ORIENTED_EDGE('',*,*,#4684,.F.); +#2353=ORIENTED_EDGE('',*,*,#4722,.T.); +#2354=ORIENTED_EDGE('',*,*,#4717,.F.); +#2355=ORIENTED_EDGE('',*,*,#4636,.F.); +#2356=ORIENTED_EDGE('',*,*,#4601,.F.); +#2357=ORIENTED_EDGE('',*,*,#4676,.F.); +#2358=ORIENTED_EDGE('',*,*,#4696,.F.); +#2359=ORIENTED_EDGE('',*,*,#4635,.F.); +#2360=ORIENTED_EDGE('',*,*,#4690,.F.); +#2361=ORIENTED_EDGE('',*,*,#4677,.F.); +#2362=ORIENTED_EDGE('',*,*,#4603,.F.); +#2363=ORIENTED_EDGE('',*,*,#4642,.F.); +#2364=ORIENTED_EDGE('',*,*,#4692,.F.); +#2365=ORIENTED_EDGE('',*,*,#4670,.F.); +#2366=ORIENTED_EDGE('',*,*,#4599,.F.); +#2367=ORIENTED_EDGE('',*,*,#4493,.F.); +#2368=ORIENTED_EDGE('',*,*,#4703,.F.); +#2369=ORIENTED_EDGE('',*,*,#4525,.F.); +#2370=ORIENTED_EDGE('',*,*,#4700,.F.); +#2371=ORIENTED_EDGE('',*,*,#4495,.F.); +#2372=ORIENTED_EDGE('',*,*,#4701,.F.); +#2373=ORIENTED_EDGE('',*,*,#4523,.F.); +#2374=ORIENTED_EDGE('',*,*,#4699,.F.); +#2375=ORIENTED_EDGE('',*,*,#4640,.F.); +#2376=ORIENTED_EDGE('',*,*,#4694,.F.); +#2377=ORIENTED_EDGE('',*,*,#4672,.F.); +#2378=ORIENTED_EDGE('',*,*,#4693,.F.); +#2379=ORIENTED_EDGE('',*,*,#4638,.F.); +#2380=ORIENTED_EDGE('',*,*,#4697,.F.); +#2381=ORIENTED_EDGE('',*,*,#4674,.F.); +#2382=ORIENTED_EDGE('',*,*,#4695,.F.); +#2383=ORIENTED_EDGE('',*,*,#4633,.F.); +#2384=ORIENTED_EDGE('',*,*,#4688,.F.); +#2385=ORIENTED_EDGE('',*,*,#4679,.F.); +#2386=ORIENTED_EDGE('',*,*,#4691,.F.); +#2387=ORIENTED_EDGE('',*,*,#4631,.F.); +#2388=ORIENTED_EDGE('',*,*,#4539,.F.); +#2389=ORIENTED_EDGE('',*,*,#4681,.F.); +#2390=ORIENTED_EDGE('',*,*,#4689,.F.); +#2391=ORIENTED_EDGE('',*,*,#4613,.F.); +#2392=ORIENTED_EDGE('',*,*,#4723,.T.); +#2393=ORIENTED_EDGE('',*,*,#4656,.T.); +#2394=ORIENTED_EDGE('',*,*,#4724,.T.); +#2395=ORIENTED_EDGE('',*,*,#4615,.F.); +#2396=ORIENTED_EDGE('',*,*,#4725,.T.); +#2397=ORIENTED_EDGE('',*,*,#4654,.T.); +#2398=ORIENTED_EDGE('',*,*,#4726,.T.); +#2399=ORIENTED_EDGE('',*,*,#4606,.T.); +#2400=ORIENTED_EDGE('',*,*,#4727,.T.); +#2401=ORIENTED_EDGE('',*,*,#4663,.F.); +#2402=ORIENTED_EDGE('',*,*,#4728,.T.); +#2403=ORIENTED_EDGE('',*,*,#4659,.F.); +#2404=ORIENTED_EDGE('',*,*,#4729,.T.); +#2405=ORIENTED_EDGE('',*,*,#4610,.T.); +#2406=ORIENTED_EDGE('',*,*,#4730,.T.); +#2407=ORIENTED_EDGE('',*,*,#4608,.T.); +#2408=ORIENTED_EDGE('',*,*,#4731,.T.); +#2409=ORIENTED_EDGE('',*,*,#4661,.F.); +#2410=ORIENTED_EDGE('',*,*,#4732,.T.); +#2411=ORIENTED_EDGE('',*,*,#4500,.F.); +#2412=ORIENTED_EDGE('',*,*,#4733,.T.); +#2413=ORIENTED_EDGE('',*,*,#4518,.F.); +#2414=ORIENTED_EDGE('',*,*,#4734,.T.); +#2415=ORIENTED_EDGE('',*,*,#4514,.F.); +#2416=ORIENTED_EDGE('',*,*,#4735,.T.); +#2417=ORIENTED_EDGE('',*,*,#4504,.F.); +#2418=ORIENTED_EDGE('',*,*,#4736,.T.); +#2419=ORIENTED_EDGE('',*,*,#4516,.F.); +#2420=ORIENTED_EDGE('',*,*,#4737,.T.); +#2421=ORIENTED_EDGE('',*,*,#4502,.F.); +#2422=ORIENTED_EDGE('',*,*,#4738,.T.); +#2423=ORIENTED_EDGE('',*,*,#4666,.F.); +#2424=ORIENTED_EDGE('',*,*,#4552,.F.); +#2425=ORIENTED_EDGE('',*,*,#4625,.T.); +#2426=ORIENTED_EDGE('',*,*,#4739,.T.); +#2427=ORIENTED_EDGE('',*,*,#4740,.F.); +#2428=ORIENTED_EDGE('',*,*,#4741,.F.); +#2429=ORIENTED_EDGE('',*,*,#4667,.F.); +#2430=ORIENTED_EDGE('',*,*,#4739,.F.); +#2431=ORIENTED_EDGE('',*,*,#4624,.T.); +#2432=ORIENTED_EDGE('',*,*,#4742,.F.); +#2433=ORIENTED_EDGE('',*,*,#4623,.T.); +#2434=ORIENTED_EDGE('',*,*,#4743,.F.); +#2435=ORIENTED_EDGE('',*,*,#4744,.F.); +#2436=ORIENTED_EDGE('',*,*,#4745,.F.); +#2437=ORIENTED_EDGE('',*,*,#4743,.T.); +#2438=ORIENTED_EDGE('',*,*,#4622,.T.); +#2439=ORIENTED_EDGE('',*,*,#4549,.F.); +#2440=ORIENTED_EDGE('',*,*,#4665,.F.); +#2441=ORIENTED_EDGE('',*,*,#4741,.T.); +#2442=ORIENTED_EDGE('',*,*,#4746,.T.); +#2443=ORIENTED_EDGE('',*,*,#4550,.F.); +#2444=ORIENTED_EDGE('',*,*,#4746,.F.); +#2445=ORIENTED_EDGE('',*,*,#4740,.T.); +#2446=ORIENTED_EDGE('',*,*,#4742,.T.); +#2447=ORIENTED_EDGE('',*,*,#4745,.T.); +#2448=ORIENTED_EDGE('',*,*,#4747,.F.); +#2449=ORIENTED_EDGE('',*,*,#4744,.T.); +#2450=ORIENTED_EDGE('',*,*,#4621,.T.); +#2451=ORIENTED_EDGE('',*,*,#4747,.T.); +#2452=ORIENTED_EDGE('',*,*,#4748,.T.); +#2453=ORIENTED_EDGE('',*,*,#4749,.T.); +#2454=ORIENTED_EDGE('',*,*,#4565,.F.); +#2455=ORIENTED_EDGE('',*,*,#4750,.T.); +#2456=ORIENTED_EDGE('',*,*,#4751,.T.); +#2457=ORIENTED_EDGE('',*,*,#4559,.T.); +#2458=ORIENTED_EDGE('',*,*,#4752,.T.); +#2459=ORIENTED_EDGE('',*,*,#4753,.T.); +#2460=ORIENTED_EDGE('',*,*,#4564,.F.); +#2461=ORIENTED_EDGE('',*,*,#4620,.T.); +#2462=ORIENTED_EDGE('',*,*,#4754,.F.); +#2463=ORIENTED_EDGE('',*,*,#4750,.F.); +#2464=ORIENTED_EDGE('',*,*,#4754,.T.); +#2465=ORIENTED_EDGE('',*,*,#4619,.T.); +#2466=ORIENTED_EDGE('',*,*,#4755,.F.); +#2467=ORIENTED_EDGE('',*,*,#4748,.F.); +#2468=ORIENTED_EDGE('',*,*,#4566,.F.); +#2469=ORIENTED_EDGE('',*,*,#4749,.F.); +#2470=ORIENTED_EDGE('',*,*,#4755,.T.); +#2471=ORIENTED_EDGE('',*,*,#4618,.T.); +#2472=ORIENTED_EDGE('',*,*,#4560,.F.); +#2473=ORIENTED_EDGE('',*,*,#4751,.F.); +#2474=ORIENTED_EDGE('',*,*,#4756,.F.); +#2475=ORIENTED_EDGE('',*,*,#4649,.F.); +#2476=ORIENTED_EDGE('',*,*,#4756,.T.); +#2477=ORIENTED_EDGE('',*,*,#4753,.F.); +#2478=ORIENTED_EDGE('',*,*,#4757,.F.); +#2479=ORIENTED_EDGE('',*,*,#4650,.F.); +#2480=ORIENTED_EDGE('',*,*,#4558,.F.); +#2481=ORIENTED_EDGE('',*,*,#4651,.F.); +#2482=ORIENTED_EDGE('',*,*,#4757,.T.); +#2483=ORIENTED_EDGE('',*,*,#4752,.F.); +#2484=ORIENTED_EDGE('',*,*,#4611,.F.); +#2485=ORIENTED_EDGE('',*,*,#4729,.F.); +#2486=ORIENTED_EDGE('',*,*,#4658,.F.); +#2487=ORIENTED_EDGE('',*,*,#4574,.F.); +#2488=ORIENTED_EDGE('',*,*,#4612,.F.); +#2489=ORIENTED_EDGE('',*,*,#4576,.F.); +#2490=ORIENTED_EDGE('',*,*,#4657,.F.); +#2491=ORIENTED_EDGE('',*,*,#4723,.F.); +#2492=ORIENTED_EDGE('',*,*,#4605,.F.); +#2493=ORIENTED_EDGE('',*,*,#4572,.F.); +#2494=ORIENTED_EDGE('',*,*,#4664,.F.); +#2495=ORIENTED_EDGE('',*,*,#4727,.F.); +#2496=ORIENTED_EDGE('',*,*,#4503,.F.); +#2497=ORIENTED_EDGE('',*,*,#4737,.F.); +#2498=ORIENTED_EDGE('',*,*,#4515,.F.); +#2499=ORIENTED_EDGE('',*,*,#4736,.F.); +#2500=ORIENTED_EDGE('',*,*,#4501,.F.); +#2501=ORIENTED_EDGE('',*,*,#4734,.F.); +#2502=ORIENTED_EDGE('',*,*,#4517,.F.); +#2503=ORIENTED_EDGE('',*,*,#4738,.F.); +#2504=ORIENTED_EDGE('',*,*,#4607,.F.); +#2505=ORIENTED_EDGE('',*,*,#4728,.F.); +#2506=ORIENTED_EDGE('',*,*,#4662,.F.); +#2507=ORIENTED_EDGE('',*,*,#4731,.F.); +#2508=ORIENTED_EDGE('',*,*,#4609,.F.); +#2509=ORIENTED_EDGE('',*,*,#4732,.F.); +#2510=ORIENTED_EDGE('',*,*,#4660,.F.); +#2511=ORIENTED_EDGE('',*,*,#4730,.F.); +#2512=ORIENTED_EDGE('',*,*,#4614,.F.); +#2513=ORIENTED_EDGE('',*,*,#4724,.F.); +#2514=ORIENTED_EDGE('',*,*,#4655,.F.); +#2515=ORIENTED_EDGE('',*,*,#4725,.F.); +#2516=ORIENTED_EDGE('',*,*,#4616,.F.); +#2517=ORIENTED_EDGE('',*,*,#4726,.F.); +#2518=ORIENTED_EDGE('',*,*,#4653,.F.); +#2519=ORIENTED_EDGE('',*,*,#4545,.F.); +#2520=ORIENTED_EDGE('',*,*,#4484,.F.); +#2521=ORIENTED_EDGE('',*,*,#4758,.T.); +#2522=ORIENTED_EDGE('',*,*,#4534,.F.); +#2523=ORIENTED_EDGE('',*,*,#4759,.T.); +#2524=ORIENTED_EDGE('',*,*,#4532,.F.); +#2525=ORIENTED_EDGE('',*,*,#4760,.T.); +#2526=ORIENTED_EDGE('',*,*,#4486,.F.); +#2527=ORIENTED_EDGE('',*,*,#4761,.T.); +#2528=ORIENTED_EDGE('',*,*,#4508,.F.); +#2529=ORIENTED_EDGE('',*,*,#4762,.T.); +#2530=ORIENTED_EDGE('',*,*,#4482,.F.); +#2531=ORIENTED_EDGE('',*,*,#4763,.T.); +#2532=ORIENTED_EDGE('',*,*,#4483,.F.); +#2533=ORIENTED_EDGE('',*,*,#4762,.F.); +#2534=ORIENTED_EDGE('',*,*,#4535,.F.); +#2535=ORIENTED_EDGE('',*,*,#4758,.F.); +#2536=ORIENTED_EDGE('',*,*,#4509,.F.); +#2537=ORIENTED_EDGE('',*,*,#4763,.F.); +#2538=ORIENTED_EDGE('',*,*,#4481,.F.); +#2539=ORIENTED_EDGE('',*,*,#4542,.F.); +#2540=ORIENTED_EDGE('',*,*,#4487,.F.); +#2541=ORIENTED_EDGE('',*,*,#4760,.F.); +#2542=ORIENTED_EDGE('',*,*,#4531,.F.); +#2543=ORIENTED_EDGE('',*,*,#4536,.F.); +#2544=ORIENTED_EDGE('',*,*,#4485,.F.); +#2545=ORIENTED_EDGE('',*,*,#4759,.F.); +#2546=ORIENTED_EDGE('',*,*,#4533,.F.); +#2547=ORIENTED_EDGE('',*,*,#4761,.F.); +#2548=ORIENTED_EDGE('',*,*,#4505,.F.); +#2549=ORIENTED_EDGE('',*,*,#4735,.F.); +#2550=ORIENTED_EDGE('',*,*,#4513,.F.); +#2551=ORIENTED_EDGE('',*,*,#4555,.F.); +#2552=ORIENTED_EDGE('',*,*,#4648,.F.); +#2553=ORIENTED_EDGE('',*,*,#4570,.F.); +#2554=ORIENTED_EDGE('',*,*,#4604,.F.); +#2555=ORIENTED_EDGE('',*,*,#4562,.F.); +#2556=ORIENTED_EDGE('',*,*,#4499,.F.); +#2557=ORIENTED_EDGE('',*,*,#4553,.F.); +#2558=ORIENTED_EDGE('',*,*,#4519,.F.); +#2559=ORIENTED_EDGE('',*,*,#4733,.F.); +#2560=ORIENTED_EDGE('',*,*,#4669,.F.); +#2561=ORIENTED_EDGE('',*,*,#4583,.F.); +#2562=ORIENTED_EDGE('',*,*,#4627,.F.); +#2563=ORIENTED_EDGE('',*,*,#4597,.F.); +#2564=ORIENTED_EDGE('',*,*,#4491,.F.); +#2565=ORIENTED_EDGE('',*,*,#4590,.F.); +#2566=ORIENTED_EDGE('',*,*,#4527,.F.); +#2567=ORIENTED_EDGE('',*,*,#4702,.F.); +#2568=ORIENTED_EDGE('',*,*,#4497,.F.); +#2569=ORIENTED_EDGE('',*,*,#4698,.F.); +#2570=ORIENTED_EDGE('',*,*,#4521,.F.); +#2571=ORIENTED_EDGE('',*,*,#4554,.F.); +#2572=ORIENTED_EDGE('',*,*,#4764,.F.); +#2573=ORIENTED_EDGE('',*,*,#4765,.F.); +#2574=ORIENTED_EDGE('',*,*,#4766,.T.); +#2575=ORIENTED_EDGE('',*,*,#4767,.T.); +#2576=ORIENTED_EDGE('',*,*,#4768,.F.); +#2577=ORIENTED_EDGE('',*,*,#4769,.F.); +#2578=ORIENTED_EDGE('',*,*,#4770,.F.); +#2579=ORIENTED_EDGE('',*,*,#4771,.F.); +#2580=ORIENTED_EDGE('',*,*,#4772,.T.); +#2581=ORIENTED_EDGE('',*,*,#4773,.T.); +#2582=ORIENTED_EDGE('',*,*,#4774,.T.); +#2583=ORIENTED_EDGE('',*,*,#4775,.T.); +#2584=ORIENTED_EDGE('',*,*,#4776,.T.); +#2585=ORIENTED_EDGE('',*,*,#4777,.T.); +#2586=ORIENTED_EDGE('',*,*,#4778,.T.); +#2587=ORIENTED_EDGE('',*,*,#4779,.T.); +#2588=ORIENTED_EDGE('',*,*,#4780,.T.); +#2589=ORIENTED_EDGE('',*,*,#4781,.T.); +#2590=ORIENTED_EDGE('',*,*,#4782,.T.); +#2591=ORIENTED_EDGE('',*,*,#4783,.T.); +#2592=ORIENTED_EDGE('',*,*,#4784,.T.); +#2593=ORIENTED_EDGE('',*,*,#4785,.T.); +#2594=ORIENTED_EDGE('',*,*,#4786,.T.); +#2595=ORIENTED_EDGE('',*,*,#4787,.T.); +#2596=ORIENTED_EDGE('',*,*,#4788,.T.); +#2597=ORIENTED_EDGE('',*,*,#4789,.T.); +#2598=ORIENTED_EDGE('',*,*,#4790,.T.); +#2599=ORIENTED_EDGE('',*,*,#4791,.T.); +#2600=ORIENTED_EDGE('',*,*,#4792,.T.); +#2601=ORIENTED_EDGE('',*,*,#4793,.T.); +#2602=ORIENTED_EDGE('',*,*,#4794,.T.); +#2603=ORIENTED_EDGE('',*,*,#4795,.T.); +#2604=ORIENTED_EDGE('',*,*,#4796,.T.); +#2605=ORIENTED_EDGE('',*,*,#4797,.T.); +#2606=ORIENTED_EDGE('',*,*,#4798,.T.); +#2607=ORIENTED_EDGE('',*,*,#4799,.T.); +#2608=ORIENTED_EDGE('',*,*,#4800,.T.); +#2609=ORIENTED_EDGE('',*,*,#4801,.T.); +#2610=ORIENTED_EDGE('',*,*,#4802,.T.); +#2611=ORIENTED_EDGE('',*,*,#4803,.T.); +#2612=ORIENTED_EDGE('',*,*,#4804,.T.); +#2613=ORIENTED_EDGE('',*,*,#4805,.T.); +#2614=ORIENTED_EDGE('',*,*,#4806,.T.); +#2615=ORIENTED_EDGE('',*,*,#4807,.T.); +#2616=ORIENTED_EDGE('',*,*,#4808,.T.); +#2617=ORIENTED_EDGE('',*,*,#4809,.T.); +#2618=ORIENTED_EDGE('',*,*,#4810,.T.); +#2619=ORIENTED_EDGE('',*,*,#4811,.T.); +#2620=ORIENTED_EDGE('',*,*,#4812,.T.); +#2621=ORIENTED_EDGE('',*,*,#4813,.T.); +#2622=ORIENTED_EDGE('',*,*,#4814,.T.); +#2623=ORIENTED_EDGE('',*,*,#4815,.T.); +#2624=ORIENTED_EDGE('',*,*,#4816,.T.); +#2625=ORIENTED_EDGE('',*,*,#4817,.T.); +#2626=ORIENTED_EDGE('',*,*,#4818,.T.); +#2627=ORIENTED_EDGE('',*,*,#4819,.T.); +#2628=ORIENTED_EDGE('',*,*,#4820,.F.); +#2629=ORIENTED_EDGE('',*,*,#4821,.T.); +#2630=ORIENTED_EDGE('',*,*,#4822,.T.); +#2631=ORIENTED_EDGE('',*,*,#4823,.T.); +#2632=ORIENTED_EDGE('',*,*,#4824,.F.); +#2633=ORIENTED_EDGE('',*,*,#4825,.F.); +#2634=ORIENTED_EDGE('',*,*,#4826,.T.); +#2635=ORIENTED_EDGE('',*,*,#4827,.T.); +#2636=ORIENTED_EDGE('',*,*,#4828,.F.); +#2637=ORIENTED_EDGE('',*,*,#4829,.T.); +#2638=ORIENTED_EDGE('',*,*,#4830,.F.); +#2639=ORIENTED_EDGE('',*,*,#4831,.F.); +#2640=ORIENTED_EDGE('',*,*,#4832,.F.); +#2641=ORIENTED_EDGE('',*,*,#4833,.F.); +#2642=ORIENTED_EDGE('',*,*,#4768,.T.); +#2643=ORIENTED_EDGE('',*,*,#4834,.T.); +#2644=ORIENTED_EDGE('',*,*,#4835,.T.); +#2645=ORIENTED_EDGE('',*,*,#4836,.F.); +#2646=ORIENTED_EDGE('',*,*,#4767,.F.); +#2647=ORIENTED_EDGE('',*,*,#4837,.T.); +#2648=ORIENTED_EDGE('',*,*,#4838,.F.); +#2649=ORIENTED_EDGE('',*,*,#4839,.T.); +#2650=ORIENTED_EDGE('',*,*,#4840,.F.); +#2651=ORIENTED_EDGE('',*,*,#4841,.T.); +#2652=ORIENTED_EDGE('',*,*,#4842,.F.); +#2653=ORIENTED_EDGE('',*,*,#4843,.T.); +#2654=ORIENTED_EDGE('',*,*,#4844,.F.); +#2655=ORIENTED_EDGE('',*,*,#4845,.T.); +#2656=ORIENTED_EDGE('',*,*,#4846,.F.); +#2657=ORIENTED_EDGE('',*,*,#4847,.T.); +#2658=ORIENTED_EDGE('',*,*,#4848,.F.); +#2659=ORIENTED_EDGE('',*,*,#4849,.T.); +#2660=ORIENTED_EDGE('',*,*,#4850,.F.); +#2661=ORIENTED_EDGE('',*,*,#4851,.T.); +#2662=ORIENTED_EDGE('',*,*,#4852,.F.); +#2663=ORIENTED_EDGE('',*,*,#4853,.T.); +#2664=ORIENTED_EDGE('',*,*,#4854,.F.); +#2665=ORIENTED_EDGE('',*,*,#4855,.T.); +#2666=ORIENTED_EDGE('',*,*,#4856,.T.); +#2667=ORIENTED_EDGE('',*,*,#4857,.T.); +#2668=ORIENTED_EDGE('',*,*,#4858,.T.); +#2669=ORIENTED_EDGE('',*,*,#4859,.T.); +#2670=ORIENTED_EDGE('',*,*,#4860,.T.); +#2671=ORIENTED_EDGE('',*,*,#4861,.T.); +#2672=ORIENTED_EDGE('',*,*,#4862,.T.); +#2673=ORIENTED_EDGE('',*,*,#4863,.T.); +#2674=ORIENTED_EDGE('',*,*,#4864,.F.); +#2675=ORIENTED_EDGE('',*,*,#4865,.T.); +#2676=ORIENTED_EDGE('',*,*,#4866,.F.); +#2677=ORIENTED_EDGE('',*,*,#4867,.T.); +#2678=ORIENTED_EDGE('',*,*,#4868,.F.); +#2679=ORIENTED_EDGE('',*,*,#4869,.T.); +#2680=ORIENTED_EDGE('',*,*,#4870,.F.); +#2681=ORIENTED_EDGE('',*,*,#4871,.T.); +#2682=ORIENTED_EDGE('',*,*,#4872,.F.); +#2683=ORIENTED_EDGE('',*,*,#4873,.T.); +#2684=ORIENTED_EDGE('',*,*,#4874,.F.); +#2685=ORIENTED_EDGE('',*,*,#4875,.T.); +#2686=ORIENTED_EDGE('',*,*,#4876,.F.); +#2687=ORIENTED_EDGE('',*,*,#4877,.T.); +#2688=ORIENTED_EDGE('',*,*,#4878,.F.); +#2689=ORIENTED_EDGE('',*,*,#4879,.T.); +#2690=ORIENTED_EDGE('',*,*,#4880,.T.); +#2691=ORIENTED_EDGE('',*,*,#4881,.T.); +#2692=ORIENTED_EDGE('',*,*,#4882,.T.); +#2693=ORIENTED_EDGE('',*,*,#4883,.T.); +#2694=ORIENTED_EDGE('',*,*,#4884,.T.); +#2695=ORIENTED_EDGE('',*,*,#4885,.T.); +#2696=ORIENTED_EDGE('',*,*,#4886,.T.); +#2697=ORIENTED_EDGE('',*,*,#4887,.T.); +#2698=ORIENTED_EDGE('',*,*,#4888,.F.); +#2699=ORIENTED_EDGE('',*,*,#4889,.T.); +#2700=ORIENTED_EDGE('',*,*,#4890,.T.); +#2701=ORIENTED_EDGE('',*,*,#4891,.T.); +#2702=ORIENTED_EDGE('',*,*,#4892,.T.); +#2703=ORIENTED_EDGE('',*,*,#4893,.F.); +#2704=ORIENTED_EDGE('',*,*,#4765,.T.); +#2705=ORIENTED_EDGE('',*,*,#4894,.T.); +#2706=ORIENTED_EDGE('',*,*,#4895,.T.); +#2707=ORIENTED_EDGE('',*,*,#4896,.F.); +#2708=ORIENTED_EDGE('',*,*,#4770,.T.); +#2709=ORIENTED_EDGE('',*,*,#4897,.T.); +#2710=ORIENTED_EDGE('',*,*,#4898,.T.); +#2711=ORIENTED_EDGE('',*,*,#4899,.T.); +#2712=ORIENTED_EDGE('',*,*,#4900,.T.); +#2713=ORIENTED_EDGE('',*,*,#4901,.T.); +#2714=ORIENTED_EDGE('',*,*,#4902,.T.); +#2715=ORIENTED_EDGE('',*,*,#4903,.T.); +#2716=ORIENTED_EDGE('',*,*,#4904,.F.); +#2717=ORIENTED_EDGE('',*,*,#4905,.T.); +#2718=ORIENTED_EDGE('',*,*,#4906,.T.); +#2719=ORIENTED_EDGE('',*,*,#4907,.F.); +#2720=ORIENTED_EDGE('',*,*,#4898,.F.); +#2721=ORIENTED_EDGE('',*,*,#4908,.T.); +#2722=ORIENTED_EDGE('',*,*,#4832,.T.); +#2723=ORIENTED_EDGE('',*,*,#4909,.F.); +#2724=ORIENTED_EDGE('',*,*,#4892,.F.); +#2725=ORIENTED_EDGE('',*,*,#4910,.T.); +#2726=ORIENTED_EDGE('',*,*,#4838,.T.); +#2727=ORIENTED_EDGE('',*,*,#4911,.F.); +#2728=ORIENTED_EDGE('',*,*,#4830,.T.); +#2729=ORIENTED_EDGE('',*,*,#4912,.T.); +#2730=ORIENTED_EDGE('',*,*,#4900,.F.); +#2731=ORIENTED_EDGE('',*,*,#4913,.T.); +#2732=ORIENTED_EDGE('',*,*,#4822,.F.); +#2733=ORIENTED_EDGE('',*,*,#4914,.T.); +#2734=ORIENTED_EDGE('',*,*,#4888,.T.); +#2735=ORIENTED_EDGE('',*,*,#4915,.T.); +#2736=ORIENTED_EDGE('',*,*,#4916,.T.); +#2737=ORIENTED_EDGE('',*,*,#4917,.T.); +#2738=ORIENTED_EDGE('',*,*,#4918,.F.); +#2739=ORIENTED_EDGE('',*,*,#4919,.F.); +#2740=ORIENTED_EDGE('',*,*,#4817,.F.); +#2741=ORIENTED_EDGE('',*,*,#4920,.T.); +#2742=ORIENTED_EDGE('',*,*,#4845,.F.); +#2743=ORIENTED_EDGE('',*,*,#4921,.F.); +#2744=ORIENTED_EDGE('',*,*,#4922,.T.); +#2745=ORIENTED_EDGE('',*,*,#4923,.T.); +#2746=ORIENTED_EDGE('',*,*,#4924,.F.); +#2747=ORIENTED_EDGE('',*,*,#4925,.F.); +#2748=ORIENTED_EDGE('',*,*,#4926,.F.); +#2749=ORIENTED_EDGE('',*,*,#4927,.F.); +#2750=ORIENTED_EDGE('',*,*,#4928,.T.); +#2751=ORIENTED_EDGE('',*,*,#4929,.T.); +#2752=ORIENTED_EDGE('',*,*,#4930,.T.); +#2753=ORIENTED_EDGE('',*,*,#4931,.F.); +#2754=ORIENTED_EDGE('',*,*,#4932,.F.); +#2755=ORIENTED_EDGE('',*,*,#4933,.T.); +#2756=ORIENTED_EDGE('',*,*,#4934,.T.); +#2757=ORIENTED_EDGE('',*,*,#4935,.T.); +#2758=ORIENTED_EDGE('',*,*,#4936,.T.); +#2759=ORIENTED_EDGE('',*,*,#4937,.T.); +#2760=ORIENTED_EDGE('',*,*,#4938,.T.); +#2761=ORIENTED_EDGE('',*,*,#4939,.T.); +#2762=ORIENTED_EDGE('',*,*,#4934,.F.); +#2763=ORIENTED_EDGE('',*,*,#4940,.T.); +#2764=ORIENTED_EDGE('',*,*,#4930,.F.); +#2765=ORIENTED_EDGE('',*,*,#4941,.T.); +#2766=ORIENTED_EDGE('',*,*,#4942,.T.); +#2767=ORIENTED_EDGE('',*,*,#4943,.F.); +#2768=ORIENTED_EDGE('',*,*,#4944,.T.); +#2769=ORIENTED_EDGE('',*,*,#4945,.T.); +#2770=ORIENTED_EDGE('',*,*,#4938,.F.); +#2771=ORIENTED_EDGE('',*,*,#4946,.T.); +#2772=ORIENTED_EDGE('',*,*,#4947,.T.); +#2773=ORIENTED_EDGE('',*,*,#4924,.T.); +#2774=ORIENTED_EDGE('',*,*,#4948,.T.); +#2775=ORIENTED_EDGE('',*,*,#4926,.T.); +#2776=ORIENTED_EDGE('',*,*,#4949,.T.); +#2777=ORIENTED_EDGE('',*,*,#4950,.T.); +#2778=ORIENTED_EDGE('',*,*,#4951,.T.); +#2779=ORIENTED_EDGE('',*,*,#4952,.F.); +#2780=ORIENTED_EDGE('',*,*,#4942,.F.); +#2781=ORIENTED_EDGE('',*,*,#4953,.T.); +#2782=ORIENTED_EDGE('',*,*,#4954,.T.); +#2783=ORIENTED_EDGE('',*,*,#4955,.T.); +#2784=ORIENTED_EDGE('',*,*,#4956,.F.); +#2785=ORIENTED_EDGE('',*,*,#4957,.F.); +#2786=ORIENTED_EDGE('',*,*,#4958,.F.); +#2787=ORIENTED_EDGE('',*,*,#4959,.F.); +#2788=ORIENTED_EDGE('',*,*,#4960,.F.); +#2789=ORIENTED_EDGE('',*,*,#4961,.F.); +#2790=ORIENTED_EDGE('',*,*,#4962,.F.); +#2791=ORIENTED_EDGE('',*,*,#4963,.F.); +#2792=ORIENTED_EDGE('',*,*,#4964,.F.); +#2793=ORIENTED_EDGE('',*,*,#4960,.T.); +#2794=ORIENTED_EDGE('',*,*,#4965,.F.); +#2795=ORIENTED_EDGE('',*,*,#4956,.T.); +#2796=ORIENTED_EDGE('',*,*,#4966,.T.); +#2797=ORIENTED_EDGE('',*,*,#4967,.F.); +#2798=ORIENTED_EDGE('',*,*,#4968,.F.); +#2799=ORIENTED_EDGE('',*,*,#4969,.F.); +#2800=ORIENTED_EDGE('',*,*,#4970,.F.); +#2801=ORIENTED_EDGE('',*,*,#4967,.T.); +#2802=ORIENTED_EDGE('',*,*,#4971,.T.); +#2803=ORIENTED_EDGE('',*,*,#4972,.F.); +#2804=ORIENTED_EDGE('',*,*,#4973,.F.); +#2805=ORIENTED_EDGE('',*,*,#4974,.T.); +#2806=ORIENTED_EDGE('',*,*,#4928,.F.); +#2807=ORIENTED_EDGE('',*,*,#4975,.F.); +#2808=ORIENTED_EDGE('',*,*,#4922,.F.); +#2809=ORIENTED_EDGE('',*,*,#4976,.F.); +#2810=ORIENTED_EDGE('',*,*,#4977,.F.); +#2811=ORIENTED_EDGE('',*,*,#4963,.T.); +#2812=ORIENTED_EDGE('',*,*,#4787,.F.); +#2813=ORIENTED_EDGE('',*,*,#4978,.T.); +#2814=ORIENTED_EDGE('',*,*,#4875,.F.); +#2815=ORIENTED_EDGE('',*,*,#4979,.F.); +#2816=ORIENTED_EDGE('',*,*,#4980,.F.); +#2817=ORIENTED_EDGE('',*,*,#4981,.F.); +#2818=ORIENTED_EDGE('',*,*,#4982,.T.); +#2819=ORIENTED_EDGE('',*,*,#4983,.T.); +#2820=ORIENTED_EDGE('',*,*,#4984,.T.); +#2821=ORIENTED_EDGE('',*,*,#4985,.T.); +#2822=ORIENTED_EDGE('',*,*,#4986,.F.); +#2823=ORIENTED_EDGE('',*,*,#4987,.T.); +#2824=ORIENTED_EDGE('',*,*,#4988,.T.); +#2825=ORIENTED_EDGE('',*,*,#4989,.T.); +#2826=ORIENTED_EDGE('',*,*,#4990,.T.); +#2827=ORIENTED_EDGE('',*,*,#4991,.T.); +#2828=ORIENTED_EDGE('',*,*,#4992,.T.); +#2829=ORIENTED_EDGE('',*,*,#4993,.F.); +#2830=ORIENTED_EDGE('',*,*,#4994,.F.); +#2831=ORIENTED_EDGE('',*,*,#4918,.T.); +#2832=ORIENTED_EDGE('',*,*,#4995,.T.); +#2833=ORIENTED_EDGE('',*,*,#4986,.T.); +#2834=ORIENTED_EDGE('',*,*,#4996,.F.); +#2835=ORIENTED_EDGE('',*,*,#4980,.T.); +#2836=ORIENTED_EDGE('',*,*,#4997,.T.); +#2837=ORIENTED_EDGE('',*,*,#4998,.T.); +#2838=ORIENTED_EDGE('',*,*,#4999,.T.); +#2839=ORIENTED_EDGE('',*,*,#5000,.T.); +#2840=ORIENTED_EDGE('',*,*,#4988,.F.); +#2841=ORIENTED_EDGE('',*,*,#5001,.T.); +#2842=ORIENTED_EDGE('',*,*,#4992,.F.); +#2843=ORIENTED_EDGE('',*,*,#5002,.T.); +#2844=ORIENTED_EDGE('',*,*,#5003,.T.); +#2845=ORIENTED_EDGE('',*,*,#5004,.F.); +#2846=ORIENTED_EDGE('',*,*,#5005,.T.); +#2847=ORIENTED_EDGE('',*,*,#5006,.T.); +#2848=ORIENTED_EDGE('',*,*,#5007,.F.); +#2849=ORIENTED_EDGE('',*,*,#5003,.F.); +#2850=ORIENTED_EDGE('',*,*,#5008,.T.); +#2851=ORIENTED_EDGE('',*,*,#5009,.T.); +#2852=ORIENTED_EDGE('',*,*,#5010,.T.); +#2853=ORIENTED_EDGE('',*,*,#4999,.F.); +#2854=ORIENTED_EDGE('',*,*,#5011,.F.); +#2855=ORIENTED_EDGE('',*,*,#5012,.F.); +#2856=ORIENTED_EDGE('',*,*,#5013,.F.); +#2857=ORIENTED_EDGE('',*,*,#5014,.F.); +#2858=ORIENTED_EDGE('',*,*,#4982,.F.); +#2859=ORIENTED_EDGE('',*,*,#5015,.T.); +#2860=ORIENTED_EDGE('',*,*,#4984,.F.); +#2861=ORIENTED_EDGE('',*,*,#5016,.T.); +#2862=ORIENTED_EDGE('',*,*,#4916,.F.); +#2863=ORIENTED_EDGE('',*,*,#5017,.T.); +#2864=ORIENTED_EDGE('',*,*,#5018,.T.); +#2865=ORIENTED_EDGE('',*,*,#5019,.F.); +#2866=ORIENTED_EDGE('',*,*,#5020,.F.); +#2867=ORIENTED_EDGE('',*,*,#5021,.F.); +#2868=ORIENTED_EDGE('',*,*,#5022,.F.); +#2869=ORIENTED_EDGE('',*,*,#5023,.F.); +#2870=ORIENTED_EDGE('',*,*,#5024,.F.); +#2871=ORIENTED_EDGE('',*,*,#5012,.T.); +#2872=ORIENTED_EDGE('',*,*,#5025,.F.); +#2873=ORIENTED_EDGE('',*,*,#5019,.T.); +#2874=ORIENTED_EDGE('',*,*,#5026,.T.); +#2875=ORIENTED_EDGE('',*,*,#5027,.F.); +#2876=ORIENTED_EDGE('',*,*,#5023,.T.); +#2877=ORIENTED_EDGE('',*,*,#5028,.F.); +#2878=ORIENTED_EDGE('',*,*,#5029,.F.); +#2879=ORIENTED_EDGE('',*,*,#5030,.F.); +#2880=ORIENTED_EDGE('',*,*,#5027,.T.); +#2881=ORIENTED_EDGE('',*,*,#5031,.T.); +#2882=ORIENTED_EDGE('',*,*,#5032,.F.); +#2883=ORIENTED_EDGE('',*,*,#5033,.F.); +#2884=ORIENTED_EDGE('',*,*,#4904,.T.); +#2885=ORIENTED_EDGE('',*,*,#5034,.T.); +#2886=ORIENTED_EDGE('',*,*,#4826,.F.); +#2887=ORIENTED_EDGE('',*,*,#5035,.F.); +#2888=ORIENTED_EDGE('',*,*,#4950,.F.); +#2889=ORIENTED_EDGE('',*,*,#5036,.T.); +#2890=ORIENTED_EDGE('',*,*,#4973,.T.); +#2891=ORIENTED_EDGE('',*,*,#5037,.T.); +#2892=ORIENTED_EDGE('',*,*,#5038,.F.); +#2893=ORIENTED_EDGE('',*,*,#5039,.T.); +#2894=ORIENTED_EDGE('',*,*,#5040,.T.); +#2895=ORIENTED_EDGE('',*,*,#5041,.T.); +#2896=ORIENTED_EDGE('',*,*,#5042,.F.); +#2897=ORIENTED_EDGE('',*,*,#5043,.T.); +#2898=ORIENTED_EDGE('',*,*,#5044,.T.); +#2899=ORIENTED_EDGE('',*,*,#5045,.F.); +#2900=ORIENTED_EDGE('',*,*,#5046,.T.); +#2901=ORIENTED_EDGE('',*,*,#5047,.F.); +#2902=ORIENTED_EDGE('',*,*,#5044,.F.); +#2903=ORIENTED_EDGE('',*,*,#5048,.F.); +#2904=ORIENTED_EDGE('',*,*,#5049,.F.); +#2905=ORIENTED_EDGE('',*,*,#5046,.F.); +#2906=ORIENTED_EDGE('',*,*,#5050,.T.); +#2907=ORIENTED_EDGE('',*,*,#4932,.T.); +#2908=ORIENTED_EDGE('',*,*,#5051,.F.); +#2909=ORIENTED_EDGE('',*,*,#5006,.F.); +#2910=ORIENTED_EDGE('',*,*,#5052,.T.); +#2911=ORIENTED_EDGE('',*,*,#5053,.T.); +#2912=ORIENTED_EDGE('',*,*,#5054,.F.); +#2913=ORIENTED_EDGE('',*,*,#5053,.F.); +#2914=ORIENTED_EDGE('',*,*,#5055,.F.); +#2915=ORIENTED_EDGE('',*,*,#5038,.T.); +#2916=ORIENTED_EDGE('',*,*,#5056,.T.); +#2917=ORIENTED_EDGE('',*,*,#5057,.F.); +#2918=ORIENTED_EDGE('',*,*,#5058,.F.); +#2919=ORIENTED_EDGE('',*,*,#5042,.T.); +#2920=ORIENTED_EDGE('',*,*,#5057,.T.); +#2921=ORIENTED_EDGE('',*,*,#5059,.T.); +#2922=ORIENTED_EDGE('',*,*,#4954,.F.); +#2923=ORIENTED_EDGE('',*,*,#5060,.F.); +#2924=ORIENTED_EDGE('',*,*,#5032,.T.); +#2925=ORIENTED_EDGE('',*,*,#5061,.T.); +#2926=ORIENTED_EDGE('',*,*,#5062,.F.); +#2927=ORIENTED_EDGE('',*,*,#5063,.F.); +#2928=ORIENTED_EDGE('',*,*,#5062,.T.); +#2929=ORIENTED_EDGE('',*,*,#5064,.T.); +#2930=ORIENTED_EDGE('',*,*,#5040,.F.); +#2931=ORIENTED_EDGE('',*,*,#5065,.F.); +#2932=ORIENTED_EDGE('',*,*,#4906,.F.); +#2933=ORIENTED_EDGE('',*,*,#5066,.F.); +#2934=ORIENTED_EDGE('',*,*,#4971,.F.); +#2935=ORIENTED_EDGE('',*,*,#4966,.F.); +#2936=ORIENTED_EDGE('',*,*,#4955,.F.); +#2937=ORIENTED_EDGE('',*,*,#5059,.F.); +#2938=ORIENTED_EDGE('',*,*,#5056,.F.); +#2939=ORIENTED_EDGE('',*,*,#5045,.T.); +#2940=ORIENTED_EDGE('',*,*,#5047,.T.); +#2941=ORIENTED_EDGE('',*,*,#5049,.T.); +#2942=ORIENTED_EDGE('',*,*,#4931,.T.); +#2943=ORIENTED_EDGE('',*,*,#4943,.T.); +#2944=ORIENTED_EDGE('',*,*,#4952,.T.); +#2945=ORIENTED_EDGE('',*,*,#5067,.T.); +#2946=ORIENTED_EDGE('',*,*,#4824,.T.); +#2947=ORIENTED_EDGE('',*,*,#5068,.T.); +#2948=ORIENTED_EDGE('',*,*,#4993,.T.); +#2949=ORIENTED_EDGE('',*,*,#5004,.T.); +#2950=ORIENTED_EDGE('',*,*,#5007,.T.); +#2951=ORIENTED_EDGE('',*,*,#5051,.T.); +#2952=ORIENTED_EDGE('',*,*,#5054,.T.); +#2953=ORIENTED_EDGE('',*,*,#5041,.F.); +#2954=ORIENTED_EDGE('',*,*,#5064,.F.); +#2955=ORIENTED_EDGE('',*,*,#5061,.F.); +#2956=ORIENTED_EDGE('',*,*,#5031,.F.); +#2957=ORIENTED_EDGE('',*,*,#5026,.F.); +#2958=ORIENTED_EDGE('',*,*,#5018,.F.); +#2959=ORIENTED_EDGE('',*,*,#5069,.F.); +#2960=ORIENTED_EDGE('',*,*,#4825,.T.); +#2961=ORIENTED_EDGE('',*,*,#5067,.F.); +#2962=ORIENTED_EDGE('',*,*,#4951,.F.); +#2963=ORIENTED_EDGE('',*,*,#5035,.T.); +#2964=ORIENTED_EDGE('',*,*,#4919,.T.); +#2965=ORIENTED_EDGE('',*,*,#4994,.T.); +#2966=ORIENTED_EDGE('',*,*,#5068,.F.); +#2967=ORIENTED_EDGE('',*,*,#4823,.F.); +#2968=ORIENTED_EDGE('',*,*,#5066,.T.); +#2969=ORIENTED_EDGE('',*,*,#4905,.F.); +#2970=ORIENTED_EDGE('',*,*,#5037,.F.); +#2971=ORIENTED_EDGE('',*,*,#4972,.T.); +#2972=ORIENTED_EDGE('',*,*,#5069,.T.); +#2973=ORIENTED_EDGE('',*,*,#5017,.F.); +#2974=ORIENTED_EDGE('',*,*,#4915,.F.); +#2975=ORIENTED_EDGE('',*,*,#4907,.T.); +#2976=ORIENTED_EDGE('',*,*,#4925,.T.); +#2977=ORIENTED_EDGE('',*,*,#4947,.F.); +#2978=ORIENTED_EDGE('',*,*,#5070,.T.); +#2979=ORIENTED_EDGE('',*,*,#4976,.T.); +#2980=ORIENTED_EDGE('',*,*,#4940,.F.); +#2981=ORIENTED_EDGE('',*,*,#4933,.F.); +#2982=ORIENTED_EDGE('',*,*,#5050,.F.); +#2983=ORIENTED_EDGE('',*,*,#5048,.T.); +#2984=ORIENTED_EDGE('',*,*,#5043,.F.); +#2985=ORIENTED_EDGE('',*,*,#5058,.T.); +#2986=ORIENTED_EDGE('',*,*,#5060,.T.); +#2987=ORIENTED_EDGE('',*,*,#4961,.T.); +#2988=ORIENTED_EDGE('',*,*,#4964,.T.); +#2989=ORIENTED_EDGE('',*,*,#4977,.T.); +#2990=ORIENTED_EDGE('',*,*,#5070,.F.); +#2991=ORIENTED_EDGE('',*,*,#4946,.F.); +#2992=ORIENTED_EDGE('',*,*,#5013,.T.); +#2993=ORIENTED_EDGE('',*,*,#5024,.T.); +#2994=ORIENTED_EDGE('',*,*,#5033,.T.); +#2995=ORIENTED_EDGE('',*,*,#5063,.T.); +#2996=ORIENTED_EDGE('',*,*,#5065,.T.); +#2997=ORIENTED_EDGE('',*,*,#5039,.F.); +#2998=ORIENTED_EDGE('',*,*,#5055,.T.); +#2999=ORIENTED_EDGE('',*,*,#5052,.F.); +#3000=ORIENTED_EDGE('',*,*,#5005,.F.); +#3001=ORIENTED_EDGE('',*,*,#5001,.F.); +#3002=ORIENTED_EDGE('',*,*,#4998,.F.); +#3003=ORIENTED_EDGE('',*,*,#5071,.F.); +#3004=ORIENTED_EDGE('',*,*,#4983,.F.); +#3005=ORIENTED_EDGE('',*,*,#5014,.T.); +#3006=ORIENTED_EDGE('',*,*,#5071,.T.); +#3007=ORIENTED_EDGE('',*,*,#4997,.F.); +#3008=ORIENTED_EDGE('',*,*,#4995,.F.); +#3009=ORIENTED_EDGE('',*,*,#4917,.F.); +#3010=ORIENTED_EDGE('',*,*,#5016,.F.); +#3011=ORIENTED_EDGE('',*,*,#4987,.F.); +#3012=ORIENTED_EDGE('',*,*,#5000,.F.); +#3013=ORIENTED_EDGE('',*,*,#5010,.F.); +#3014=ORIENTED_EDGE('',*,*,#5072,.F.); +#3015=ORIENTED_EDGE('',*,*,#5028,.T.); +#3016=ORIENTED_EDGE('',*,*,#5022,.T.); +#3017=ORIENTED_EDGE('',*,*,#5011,.T.); +#3018=ORIENTED_EDGE('',*,*,#5073,.T.); +#3019=ORIENTED_EDGE('',*,*,#4989,.F.); +#3020=ORIENTED_EDGE('',*,*,#5020,.T.); +#3021=ORIENTED_EDGE('',*,*,#5025,.T.); +#3022=ORIENTED_EDGE('',*,*,#5030,.T.); +#3023=ORIENTED_EDGE('',*,*,#5074,.T.); +#3024=ORIENTED_EDGE('',*,*,#5008,.F.); +#3025=ORIENTED_EDGE('',*,*,#5002,.F.); +#3026=ORIENTED_EDGE('',*,*,#4991,.F.); +#3027=ORIENTED_EDGE('',*,*,#5075,.F.); +#3028=ORIENTED_EDGE('',*,*,#5072,.T.); +#3029=ORIENTED_EDGE('',*,*,#5009,.F.); +#3030=ORIENTED_EDGE('',*,*,#5074,.F.); +#3031=ORIENTED_EDGE('',*,*,#5029,.T.); +#3032=ORIENTED_EDGE('',*,*,#5021,.T.); +#3033=ORIENTED_EDGE('',*,*,#5075,.T.); +#3034=ORIENTED_EDGE('',*,*,#4990,.F.); +#3035=ORIENTED_EDGE('',*,*,#5073,.F.); +#3036=ORIENTED_EDGE('',*,*,#4874,.T.); +#3037=ORIENTED_EDGE('',*,*,#5076,.T.); +#3038=ORIENTED_EDGE('',*,*,#4788,.F.); +#3039=ORIENTED_EDGE('',*,*,#4979,.T.); +#3040=ORIENTED_EDGE('',*,*,#4784,.F.); +#3041=ORIENTED_EDGE('',*,*,#5077,.T.); +#3042=ORIENTED_EDGE('',*,*,#4878,.T.); +#3043=ORIENTED_EDGE('',*,*,#5078,.T.); +#3044=ORIENTED_EDGE('',*,*,#4872,.T.); +#3045=ORIENTED_EDGE('',*,*,#5079,.T.); +#3046=ORIENTED_EDGE('',*,*,#4790,.F.); +#3047=ORIENTED_EDGE('',*,*,#5080,.T.); +#3048=ORIENTED_EDGE('',*,*,#4870,.T.); +#3049=ORIENTED_EDGE('',*,*,#5081,.T.); +#3050=ORIENTED_EDGE('',*,*,#4792,.F.); +#3051=ORIENTED_EDGE('',*,*,#5082,.T.); +#3052=ORIENTED_EDGE('',*,*,#4794,.F.); +#3053=ORIENTED_EDGE('',*,*,#5083,.T.); +#3054=ORIENTED_EDGE('',*,*,#4868,.T.); +#3055=ORIENTED_EDGE('',*,*,#5084,.T.); +#3056=ORIENTED_EDGE('',*,*,#4780,.F.); +#3057=ORIENTED_EDGE('',*,*,#5085,.T.); +#3058=ORIENTED_EDGE('',*,*,#4866,.T.); +#3059=ORIENTED_EDGE('',*,*,#5086,.T.); +#3060=ORIENTED_EDGE('',*,*,#4864,.T.); +#3061=ORIENTED_EDGE('',*,*,#5087,.T.); +#3062=ORIENTED_EDGE('',*,*,#4782,.F.); +#3063=ORIENTED_EDGE('',*,*,#5088,.T.); +#3064=ORIENTED_EDGE('',*,*,#4869,.F.); +#3065=ORIENTED_EDGE('',*,*,#5083,.F.); +#3066=ORIENTED_EDGE('',*,*,#4793,.F.); +#3067=ORIENTED_EDGE('',*,*,#5081,.F.); +#3068=ORIENTED_EDGE('',*,*,#4867,.F.); +#3069=ORIENTED_EDGE('',*,*,#5085,.F.); +#3070=ORIENTED_EDGE('',*,*,#4795,.F.); +#3071=ORIENTED_EDGE('',*,*,#5084,.F.); +#3072=ORIENTED_EDGE('',*,*,#4879,.F.); +#3073=ORIENTED_EDGE('',*,*,#5077,.F.); +#3074=ORIENTED_EDGE('',*,*,#4783,.F.); +#3075=ORIENTED_EDGE('',*,*,#5087,.F.); +#3076=ORIENTED_EDGE('',*,*,#4865,.F.); +#3077=ORIENTED_EDGE('',*,*,#5088,.F.); +#3078=ORIENTED_EDGE('',*,*,#4781,.F.); +#3079=ORIENTED_EDGE('',*,*,#5086,.F.); +#3080=ORIENTED_EDGE('',*,*,#4871,.F.); +#3081=ORIENTED_EDGE('',*,*,#5082,.F.); +#3082=ORIENTED_EDGE('',*,*,#4791,.F.); +#3083=ORIENTED_EDGE('',*,*,#5079,.F.); +#3084=ORIENTED_EDGE('',*,*,#4873,.F.); +#3085=ORIENTED_EDGE('',*,*,#5080,.F.); +#3086=ORIENTED_EDGE('',*,*,#4789,.F.); +#3087=ORIENTED_EDGE('',*,*,#5076,.F.); +#3088=ORIENTED_EDGE('',*,*,#5089,.F.); +#3089=ORIENTED_EDGE('',*,*,#4785,.F.); +#3090=ORIENTED_EDGE('',*,*,#5078,.F.); +#3091=ORIENTED_EDGE('',*,*,#4877,.F.); +#3092=ORIENTED_EDGE('',*,*,#5090,.F.); +#3093=ORIENTED_EDGE('',*,*,#5091,.F.); +#3094=ORIENTED_EDGE('',*,*,#5092,.F.); +#3095=ORIENTED_EDGE('',*,*,#5093,.F.); +#3096=ORIENTED_EDGE('',*,*,#5094,.T.); +#3097=ORIENTED_EDGE('',*,*,#5095,.T.); +#3098=ORIENTED_EDGE('',*,*,#5096,.F.); +#3099=ORIENTED_EDGE('',*,*,#5093,.T.); +#3100=ORIENTED_EDGE('',*,*,#5097,.F.); +#3101=ORIENTED_EDGE('',*,*,#5095,.F.); +#3102=ORIENTED_EDGE('',*,*,#5098,.F.); +#3103=ORIENTED_EDGE('',*,*,#5099,.F.); +#3104=ORIENTED_EDGE('',*,*,#5100,.F.); +#3105=ORIENTED_EDGE('',*,*,#5101,.T.); +#3106=ORIENTED_EDGE('',*,*,#5102,.T.); +#3107=ORIENTED_EDGE('',*,*,#5103,.F.); +#3108=ORIENTED_EDGE('',*,*,#5104,.T.); +#3109=ORIENTED_EDGE('',*,*,#5099,.T.); +#3110=ORIENTED_EDGE('',*,*,#5096,.T.); +#3111=ORIENTED_EDGE('',*,*,#5097,.T.); +#3112=ORIENTED_EDGE('',*,*,#5104,.F.); +#3113=ORIENTED_EDGE('',*,*,#5105,.F.); +#3114=ORIENTED_EDGE('',*,*,#5106,.T.); +#3115=ORIENTED_EDGE('',*,*,#5090,.T.); +#3116=ORIENTED_EDGE('',*,*,#5106,.F.); +#3117=ORIENTED_EDGE('',*,*,#5107,.F.); +#3118=ORIENTED_EDGE('',*,*,#5108,.F.); +#3119=ORIENTED_EDGE('',*,*,#5109,.T.); +#3120=ORIENTED_EDGE('',*,*,#5110,.T.); +#3121=ORIENTED_EDGE('',*,*,#5091,.T.); +#3122=ORIENTED_EDGE('',*,*,#5100,.T.); +#3123=ORIENTED_EDGE('',*,*,#5098,.T.); +#3124=ORIENTED_EDGE('',*,*,#5094,.F.); +#3125=ORIENTED_EDGE('',*,*,#5092,.T.); +#3126=ORIENTED_EDGE('',*,*,#5110,.F.); +#3127=ORIENTED_EDGE('',*,*,#5111,.T.); +#3128=ORIENTED_EDGE('',*,*,#5102,.F.); +#3129=ORIENTED_EDGE('',*,*,#5112,.F.); +#3130=ORIENTED_EDGE('',*,*,#4981,.T.); +#3131=ORIENTED_EDGE('',*,*,#5113,.T.); +#3132=ORIENTED_EDGE('',*,*,#4985,.F.); +#3133=ORIENTED_EDGE('',*,*,#5114,.F.); +#3134=ORIENTED_EDGE('',*,*,#5108,.T.); +#3135=ORIENTED_EDGE('',*,*,#5115,.T.); +#3136=ORIENTED_EDGE('',*,*,#5107,.T.); +#3137=ORIENTED_EDGE('',*,*,#5105,.T.); +#3138=ORIENTED_EDGE('',*,*,#5103,.T.); +#3139=ORIENTED_EDGE('',*,*,#5113,.F.); +#3140=ORIENTED_EDGE('',*,*,#4996,.T.); +#3141=ORIENTED_EDGE('',*,*,#5115,.F.); +#3142=ORIENTED_EDGE('',*,*,#5109,.F.); +#3143=ORIENTED_EDGE('',*,*,#5114,.T.); +#3144=ORIENTED_EDGE('',*,*,#5015,.F.); +#3145=ORIENTED_EDGE('',*,*,#5112,.T.); +#3146=ORIENTED_EDGE('',*,*,#5101,.F.); +#3147=ORIENTED_EDGE('',*,*,#5111,.F.); +#3148=ORIENTED_EDGE('',*,*,#5116,.F.); +#3149=ORIENTED_EDGE('',*,*,#5117,.T.); +#3150=ORIENTED_EDGE('',*,*,#5118,.T.); +#3151=ORIENTED_EDGE('',*,*,#5119,.F.); +#3152=ORIENTED_EDGE('',*,*,#5118,.F.); +#3153=ORIENTED_EDGE('',*,*,#5120,.T.); +#3154=ORIENTED_EDGE('',*,*,#5121,.T.); +#3155=ORIENTED_EDGE('',*,*,#5122,.F.); +#3156=ORIENTED_EDGE('',*,*,#5123,.T.); +#3157=ORIENTED_EDGE('',*,*,#5124,.F.); +#3158=ORIENTED_EDGE('',*,*,#5121,.F.); +#3159=ORIENTED_EDGE('',*,*,#5125,.T.); +#3160=ORIENTED_EDGE('',*,*,#5126,.T.); +#3161=ORIENTED_EDGE('',*,*,#5089,.T.); +#3162=ORIENTED_EDGE('',*,*,#5127,.F.); +#3163=ORIENTED_EDGE('',*,*,#5123,.F.); +#3164=ORIENTED_EDGE('',*,*,#5128,.T.); +#3165=ORIENTED_EDGE('',*,*,#5129,.T.); +#3166=ORIENTED_EDGE('',*,*,#4978,.F.); +#3167=ORIENTED_EDGE('',*,*,#5130,.F.); +#3168=ORIENTED_EDGE('',*,*,#5131,.T.); +#3169=ORIENTED_EDGE('',*,*,#5132,.F.); +#3170=ORIENTED_EDGE('',*,*,#5128,.F.); +#3171=ORIENTED_EDGE('',*,*,#5133,.T.); +#3172=ORIENTED_EDGE('',*,*,#5134,.T.); +#3173=ORIENTED_EDGE('',*,*,#5116,.T.); +#3174=ORIENTED_EDGE('',*,*,#5135,.F.); +#3175=ORIENTED_EDGE('',*,*,#5131,.F.); +#3176=ORIENTED_EDGE('',*,*,#5124,.T.); +#3177=ORIENTED_EDGE('',*,*,#5127,.T.); +#3178=ORIENTED_EDGE('',*,*,#4876,.T.); +#3179=ORIENTED_EDGE('',*,*,#5129,.F.); +#3180=ORIENTED_EDGE('',*,*,#5132,.T.); +#3181=ORIENTED_EDGE('',*,*,#5135,.T.); +#3182=ORIENTED_EDGE('',*,*,#5119,.T.); +#3183=ORIENTED_EDGE('',*,*,#5122,.T.); +#3184=ORIENTED_EDGE('',*,*,#5133,.F.); +#3185=ORIENTED_EDGE('',*,*,#5130,.T.); +#3186=ORIENTED_EDGE('',*,*,#4786,.F.); +#3187=ORIENTED_EDGE('',*,*,#5126,.F.); +#3188=ORIENTED_EDGE('',*,*,#5125,.F.); +#3189=ORIENTED_EDGE('',*,*,#5120,.F.); +#3190=ORIENTED_EDGE('',*,*,#5117,.F.); +#3191=ORIENTED_EDGE('',*,*,#5134,.F.); +#3192=ORIENTED_EDGE('',*,*,#5136,.T.); +#3193=ORIENTED_EDGE('',*,*,#5137,.T.); +#3194=ORIENTED_EDGE('',*,*,#5138,.T.); +#3195=ORIENTED_EDGE('',*,*,#5139,.T.); +#3196=ORIENTED_EDGE('',*,*,#5140,.T.); +#3197=ORIENTED_EDGE('',*,*,#5141,.T.); +#3198=ORIENTED_EDGE('',*,*,#5142,.T.); +#3199=ORIENTED_EDGE('',*,*,#5143,.T.); +#3200=ORIENTED_EDGE('',*,*,#5144,.T.); +#3201=ORIENTED_EDGE('',*,*,#5145,.T.); +#3202=ORIENTED_EDGE('',*,*,#5146,.F.); +#3203=ORIENTED_EDGE('',*,*,#5143,.F.); +#3204=ORIENTED_EDGE('',*,*,#5147,.T.); +#3205=ORIENTED_EDGE('',*,*,#5148,.T.); +#3206=ORIENTED_EDGE('',*,*,#5144,.F.); +#3207=ORIENTED_EDGE('',*,*,#5142,.F.); +#3208=ORIENTED_EDGE('',*,*,#5149,.T.); +#3209=ORIENTED_EDGE('',*,*,#5150,.T.); +#3210=ORIENTED_EDGE('',*,*,#5147,.F.); +#3211=ORIENTED_EDGE('',*,*,#5141,.F.); +#3212=ORIENTED_EDGE('',*,*,#5151,.T.); +#3213=ORIENTED_EDGE('',*,*,#5152,.T.); +#3214=ORIENTED_EDGE('',*,*,#5149,.F.); +#3215=ORIENTED_EDGE('',*,*,#5140,.F.); +#3216=ORIENTED_EDGE('',*,*,#5153,.T.); +#3217=ORIENTED_EDGE('',*,*,#5154,.T.); +#3218=ORIENTED_EDGE('',*,*,#5151,.F.); +#3219=ORIENTED_EDGE('',*,*,#5139,.F.); +#3220=ORIENTED_EDGE('',*,*,#5155,.T.); +#3221=ORIENTED_EDGE('',*,*,#5156,.T.); +#3222=ORIENTED_EDGE('',*,*,#5153,.F.); +#3223=ORIENTED_EDGE('',*,*,#5138,.F.); +#3224=ORIENTED_EDGE('',*,*,#5157,.T.); +#3225=ORIENTED_EDGE('',*,*,#5158,.T.); +#3226=ORIENTED_EDGE('',*,*,#5155,.F.); +#3227=ORIENTED_EDGE('',*,*,#5137,.F.); +#3228=ORIENTED_EDGE('',*,*,#5146,.T.); +#3229=ORIENTED_EDGE('',*,*,#5159,.T.); +#3230=ORIENTED_EDGE('',*,*,#5157,.F.); +#3231=ORIENTED_EDGE('',*,*,#5136,.F.); +#3232=ORIENTED_EDGE('',*,*,#5160,.F.); +#3233=ORIENTED_EDGE('',*,*,#5161,.T.); +#3234=ORIENTED_EDGE('',*,*,#5162,.T.); +#3235=ORIENTED_EDGE('',*,*,#4858,.F.); +#3236=ORIENTED_EDGE('',*,*,#5162,.F.); +#3237=ORIENTED_EDGE('',*,*,#5163,.T.); +#3238=ORIENTED_EDGE('',*,*,#5164,.T.); +#3239=ORIENTED_EDGE('',*,*,#4859,.F.); +#3240=ORIENTED_EDGE('',*,*,#5164,.F.); +#3241=ORIENTED_EDGE('',*,*,#5165,.T.); +#3242=ORIENTED_EDGE('',*,*,#5166,.T.); +#3243=ORIENTED_EDGE('',*,*,#4860,.F.); +#3244=ORIENTED_EDGE('',*,*,#5166,.F.); +#3245=ORIENTED_EDGE('',*,*,#5167,.T.); +#3246=ORIENTED_EDGE('',*,*,#5168,.T.); +#3247=ORIENTED_EDGE('',*,*,#4861,.F.); +#3248=ORIENTED_EDGE('',*,*,#5168,.F.); +#3249=ORIENTED_EDGE('',*,*,#5169,.T.); +#3250=ORIENTED_EDGE('',*,*,#5170,.T.); +#3251=ORIENTED_EDGE('',*,*,#4862,.F.); +#3252=ORIENTED_EDGE('',*,*,#5171,.F.); +#3253=ORIENTED_EDGE('',*,*,#5172,.T.); +#3254=ORIENTED_EDGE('',*,*,#5173,.T.); +#3255=ORIENTED_EDGE('',*,*,#4856,.F.); +#3256=ORIENTED_EDGE('',*,*,#5173,.F.); +#3257=ORIENTED_EDGE('',*,*,#5174,.T.); +#3258=ORIENTED_EDGE('',*,*,#5160,.T.); +#3259=ORIENTED_EDGE('',*,*,#4857,.F.); +#3260=ORIENTED_EDGE('',*,*,#5170,.F.); +#3261=ORIENTED_EDGE('',*,*,#5175,.T.); +#3262=ORIENTED_EDGE('',*,*,#5171,.T.); +#3263=ORIENTED_EDGE('',*,*,#4863,.F.); +#3264=ORIENTED_EDGE('',*,*,#5176,.T.); +#3265=ORIENTED_EDGE('',*,*,#5177,.T.); +#3266=ORIENTED_EDGE('',*,*,#5178,.T.); +#3267=ORIENTED_EDGE('',*,*,#5179,.T.); +#3268=ORIENTED_EDGE('',*,*,#5180,.T.); +#3269=ORIENTED_EDGE('',*,*,#5181,.T.); +#3270=ORIENTED_EDGE('',*,*,#5182,.T.); +#3271=ORIENTED_EDGE('',*,*,#5183,.T.); +#3272=ORIENTED_EDGE('',*,*,#5184,.F.); +#3273=ORIENTED_EDGE('',*,*,#5181,.F.); +#3274=ORIENTED_EDGE('',*,*,#5185,.F.); +#3275=ORIENTED_EDGE('',*,*,#5161,.F.); +#3276=ORIENTED_EDGE('',*,*,#5185,.T.); +#3277=ORIENTED_EDGE('',*,*,#5180,.F.); +#3278=ORIENTED_EDGE('',*,*,#5186,.F.); +#3279=ORIENTED_EDGE('',*,*,#5163,.F.); +#3280=ORIENTED_EDGE('',*,*,#5184,.T.); +#3281=ORIENTED_EDGE('',*,*,#5174,.F.); +#3282=ORIENTED_EDGE('',*,*,#5187,.F.); +#3283=ORIENTED_EDGE('',*,*,#5182,.F.); +#3284=ORIENTED_EDGE('',*,*,#5186,.T.); +#3285=ORIENTED_EDGE('',*,*,#5179,.F.); +#3286=ORIENTED_EDGE('',*,*,#5188,.F.); +#3287=ORIENTED_EDGE('',*,*,#5165,.F.); +#3288=ORIENTED_EDGE('',*,*,#5187,.T.); +#3289=ORIENTED_EDGE('',*,*,#5172,.F.); +#3290=ORIENTED_EDGE('',*,*,#5189,.F.); +#3291=ORIENTED_EDGE('',*,*,#5183,.F.); +#3292=ORIENTED_EDGE('',*,*,#5188,.T.); +#3293=ORIENTED_EDGE('',*,*,#5178,.F.); +#3294=ORIENTED_EDGE('',*,*,#5190,.F.); +#3295=ORIENTED_EDGE('',*,*,#5167,.F.); +#3296=ORIENTED_EDGE('',*,*,#5189,.T.); +#3297=ORIENTED_EDGE('',*,*,#5175,.F.); +#3298=ORIENTED_EDGE('',*,*,#5191,.F.); +#3299=ORIENTED_EDGE('',*,*,#5176,.F.); +#3300=ORIENTED_EDGE('',*,*,#5190,.T.); +#3301=ORIENTED_EDGE('',*,*,#5177,.F.); +#3302=ORIENTED_EDGE('',*,*,#5191,.T.); +#3303=ORIENTED_EDGE('',*,*,#5169,.F.); +#3304=ORIENTED_EDGE('',*,*,#5192,.F.); +#3305=ORIENTED_EDGE('',*,*,#4801,.F.); +#3306=ORIENTED_EDGE('',*,*,#5193,.F.); +#3307=ORIENTED_EDGE('',*,*,#5158,.F.); +#3308=ORIENTED_EDGE('',*,*,#5193,.T.); +#3309=ORIENTED_EDGE('',*,*,#4800,.F.); +#3310=ORIENTED_EDGE('',*,*,#5194,.F.); +#3311=ORIENTED_EDGE('',*,*,#5156,.F.); +#3312=ORIENTED_EDGE('',*,*,#5192,.T.); +#3313=ORIENTED_EDGE('',*,*,#5159,.F.); +#3314=ORIENTED_EDGE('',*,*,#5195,.F.); +#3315=ORIENTED_EDGE('',*,*,#4802,.F.); +#3316=ORIENTED_EDGE('',*,*,#5194,.T.); +#3317=ORIENTED_EDGE('',*,*,#4799,.F.); +#3318=ORIENTED_EDGE('',*,*,#5196,.F.); +#3319=ORIENTED_EDGE('',*,*,#5154,.F.); +#3320=ORIENTED_EDGE('',*,*,#5195,.T.); +#3321=ORIENTED_EDGE('',*,*,#5145,.F.); +#3322=ORIENTED_EDGE('',*,*,#5197,.F.); +#3323=ORIENTED_EDGE('',*,*,#4803,.F.); +#3324=ORIENTED_EDGE('',*,*,#5196,.T.); +#3325=ORIENTED_EDGE('',*,*,#4798,.F.); +#3326=ORIENTED_EDGE('',*,*,#5198,.F.); +#3327=ORIENTED_EDGE('',*,*,#5152,.F.); +#3328=ORIENTED_EDGE('',*,*,#5197,.T.); +#3329=ORIENTED_EDGE('',*,*,#5148,.F.); +#3330=ORIENTED_EDGE('',*,*,#5199,.F.); +#3331=ORIENTED_EDGE('',*,*,#4796,.F.); +#3332=ORIENTED_EDGE('',*,*,#5198,.T.); +#3333=ORIENTED_EDGE('',*,*,#4797,.F.); +#3334=ORIENTED_EDGE('',*,*,#5199,.T.); +#3335=ORIENTED_EDGE('',*,*,#5150,.F.); +#3336=ORIENTED_EDGE('',*,*,#4929,.F.); +#3337=ORIENTED_EDGE('',*,*,#4974,.F.); +#3338=ORIENTED_EDGE('',*,*,#5036,.F.); +#3339=ORIENTED_EDGE('',*,*,#4949,.F.); +#3340=ORIENTED_EDGE('',*,*,#5200,.T.); +#3341=ORIENTED_EDGE('',*,*,#4936,.F.); +#3342=ORIENTED_EDGE('',*,*,#5201,.F.); +#3343=ORIENTED_EDGE('',*,*,#4958,.T.); +#3344=ORIENTED_EDGE('',*,*,#4968,.T.); +#3345=ORIENTED_EDGE('',*,*,#4962,.T.); +#3346=ORIENTED_EDGE('',*,*,#4959,.T.); +#3347=ORIENTED_EDGE('',*,*,#5201,.T.); +#3348=ORIENTED_EDGE('',*,*,#4935,.F.); +#3349=ORIENTED_EDGE('',*,*,#4939,.F.); +#3350=ORIENTED_EDGE('',*,*,#4945,.F.); +#3351=ORIENTED_EDGE('',*,*,#5202,.F.); +#3352=ORIENTED_EDGE('',*,*,#4941,.F.); +#3353=ORIENTED_EDGE('',*,*,#4937,.F.); +#3354=ORIENTED_EDGE('',*,*,#5200,.F.); +#3355=ORIENTED_EDGE('',*,*,#4957,.T.); +#3356=ORIENTED_EDGE('',*,*,#4965,.T.); +#3357=ORIENTED_EDGE('',*,*,#4970,.T.); +#3358=ORIENTED_EDGE('',*,*,#5203,.T.); +#3359=ORIENTED_EDGE('',*,*,#4953,.F.); +#3360=ORIENTED_EDGE('',*,*,#4969,.T.); +#3361=ORIENTED_EDGE('',*,*,#5202,.T.); +#3362=ORIENTED_EDGE('',*,*,#4944,.F.); +#3363=ORIENTED_EDGE('',*,*,#5203,.F.); +#3364=ORIENTED_EDGE('',*,*,#4810,.F.); +#3365=ORIENTED_EDGE('',*,*,#5204,.T.); +#3366=ORIENTED_EDGE('',*,*,#4852,.T.); +#3367=ORIENTED_EDGE('',*,*,#5205,.T.); +#3368=ORIENTED_EDGE('',*,*,#4812,.F.); +#3369=ORIENTED_EDGE('',*,*,#5206,.T.); +#3370=ORIENTED_EDGE('',*,*,#4850,.T.); +#3371=ORIENTED_EDGE('',*,*,#5207,.T.); +#3372=ORIENTED_EDGE('',*,*,#4844,.T.); +#3373=ORIENTED_EDGE('',*,*,#5208,.T.); +#3374=ORIENTED_EDGE('',*,*,#4818,.F.); +#3375=ORIENTED_EDGE('',*,*,#4921,.T.); +#3376=ORIENTED_EDGE('',*,*,#4804,.F.); +#3377=ORIENTED_EDGE('',*,*,#5209,.T.); +#3378=ORIENTED_EDGE('',*,*,#4842,.T.); +#3379=ORIENTED_EDGE('',*,*,#5210,.T.); +#3380=ORIENTED_EDGE('',*,*,#4840,.T.); +#3381=ORIENTED_EDGE('',*,*,#5211,.T.); +#3382=ORIENTED_EDGE('',*,*,#4806,.F.); +#3383=ORIENTED_EDGE('',*,*,#5212,.T.); +#3384=ORIENTED_EDGE('',*,*,#4854,.T.); +#3385=ORIENTED_EDGE('',*,*,#5213,.T.); +#3386=ORIENTED_EDGE('',*,*,#4808,.F.); +#3387=ORIENTED_EDGE('',*,*,#5214,.T.); +#3388=ORIENTED_EDGE('',*,*,#4814,.F.); +#3389=ORIENTED_EDGE('',*,*,#5215,.T.); +#3390=ORIENTED_EDGE('',*,*,#4848,.T.); +#3391=ORIENTED_EDGE('',*,*,#5216,.T.); +#3392=ORIENTED_EDGE('',*,*,#4853,.F.); +#3393=ORIENTED_EDGE('',*,*,#5204,.F.); +#3394=ORIENTED_EDGE('',*,*,#4809,.F.); +#3395=ORIENTED_EDGE('',*,*,#5213,.F.); +#3396=ORIENTED_EDGE('',*,*,#4855,.F.); +#3397=ORIENTED_EDGE('',*,*,#5214,.F.); +#3398=ORIENTED_EDGE('',*,*,#4807,.F.); +#3399=ORIENTED_EDGE('',*,*,#5211,.F.); +#3400=ORIENTED_EDGE('',*,*,#4843,.F.); +#3401=ORIENTED_EDGE('',*,*,#5209,.F.); +#3402=ORIENTED_EDGE('',*,*,#4819,.F.); +#3403=ORIENTED_EDGE('',*,*,#5208,.F.); +#3404=ORIENTED_EDGE('',*,*,#4841,.F.); +#3405=ORIENTED_EDGE('',*,*,#5212,.F.); +#3406=ORIENTED_EDGE('',*,*,#4805,.F.); +#3407=ORIENTED_EDGE('',*,*,#5210,.F.); +#3408=ORIENTED_EDGE('',*,*,#4851,.F.); +#3409=ORIENTED_EDGE('',*,*,#5206,.F.); +#3410=ORIENTED_EDGE('',*,*,#4811,.F.); +#3411=ORIENTED_EDGE('',*,*,#5205,.F.); +#3412=ORIENTED_EDGE('',*,*,#4849,.F.); +#3413=ORIENTED_EDGE('',*,*,#5215,.F.); +#3414=ORIENTED_EDGE('',*,*,#4813,.F.); +#3415=ORIENTED_EDGE('',*,*,#5207,.F.); +#3416=ORIENTED_EDGE('',*,*,#5217,.F.); +#3417=ORIENTED_EDGE('',*,*,#4815,.F.); +#3418=ORIENTED_EDGE('',*,*,#5216,.F.); +#3419=ORIENTED_EDGE('',*,*,#4847,.F.); +#3420=ORIENTED_EDGE('',*,*,#5218,.F.); +#3421=ORIENTED_EDGE('',*,*,#5219,.F.); +#3422=ORIENTED_EDGE('',*,*,#5220,.F.); +#3423=ORIENTED_EDGE('',*,*,#5221,.F.); +#3424=ORIENTED_EDGE('',*,*,#5222,.T.); +#3425=ORIENTED_EDGE('',*,*,#5223,.T.); +#3426=ORIENTED_EDGE('',*,*,#5224,.F.); +#3427=ORIENTED_EDGE('',*,*,#5219,.T.); +#3428=ORIENTED_EDGE('',*,*,#5225,.F.); +#3429=ORIENTED_EDGE('',*,*,#5226,.F.); +#3430=ORIENTED_EDGE('',*,*,#5227,.F.); +#3431=ORIENTED_EDGE('',*,*,#5223,.F.); +#3432=ORIENTED_EDGE('',*,*,#5228,.F.); +#3433=ORIENTED_EDGE('',*,*,#5225,.T.); +#3434=ORIENTED_EDGE('',*,*,#5222,.F.); +#3435=ORIENTED_EDGE('',*,*,#5218,.T.); +#3436=ORIENTED_EDGE('',*,*,#5229,.T.); +#3437=ORIENTED_EDGE('',*,*,#5230,.T.); +#3438=ORIENTED_EDGE('',*,*,#5228,.T.); +#3439=ORIENTED_EDGE('',*,*,#5231,.T.); +#3440=ORIENTED_EDGE('',*,*,#5232,.F.); +#3441=ORIENTED_EDGE('',*,*,#5233,.F.); +#3442=ORIENTED_EDGE('',*,*,#5234,.F.); +#3443=ORIENTED_EDGE('',*,*,#5226,.T.); +#3444=ORIENTED_EDGE('',*,*,#5224,.T.); +#3445=ORIENTED_EDGE('',*,*,#5227,.T.); +#3446=ORIENTED_EDGE('',*,*,#5234,.T.); +#3447=ORIENTED_EDGE('',*,*,#5235,.F.); +#3448=ORIENTED_EDGE('',*,*,#5236,.F.); +#3449=ORIENTED_EDGE('',*,*,#5220,.T.); +#3450=ORIENTED_EDGE('',*,*,#5236,.T.); +#3451=ORIENTED_EDGE('',*,*,#5237,.F.); +#3452=ORIENTED_EDGE('',*,*,#5238,.T.); +#3453=ORIENTED_EDGE('',*,*,#5239,.T.); +#3454=ORIENTED_EDGE('',*,*,#5229,.F.); +#3455=ORIENTED_EDGE('',*,*,#5221,.T.); +#3456=ORIENTED_EDGE('',*,*,#4923,.F.); +#3457=ORIENTED_EDGE('',*,*,#5240,.F.); +#3458=ORIENTED_EDGE('',*,*,#5232,.T.); +#3459=ORIENTED_EDGE('',*,*,#5241,.T.); +#3460=ORIENTED_EDGE('',*,*,#5238,.F.); +#3461=ORIENTED_EDGE('',*,*,#5242,.F.); +#3462=ORIENTED_EDGE('',*,*,#4927,.T.); +#3463=ORIENTED_EDGE('',*,*,#5243,.T.); +#3464=ORIENTED_EDGE('',*,*,#5243,.F.); +#3465=ORIENTED_EDGE('',*,*,#4948,.F.); +#3466=ORIENTED_EDGE('',*,*,#5241,.F.); +#3467=ORIENTED_EDGE('',*,*,#5231,.F.); +#3468=ORIENTED_EDGE('',*,*,#5230,.F.); +#3469=ORIENTED_EDGE('',*,*,#5239,.F.); +#3470=ORIENTED_EDGE('',*,*,#5242,.T.); +#3471=ORIENTED_EDGE('',*,*,#5237,.T.); +#3472=ORIENTED_EDGE('',*,*,#5235,.T.); +#3473=ORIENTED_EDGE('',*,*,#5233,.T.); +#3474=ORIENTED_EDGE('',*,*,#5240,.T.); +#3475=ORIENTED_EDGE('',*,*,#4975,.T.); +#3476=ORIENTED_EDGE('',*,*,#5244,.F.); +#3477=ORIENTED_EDGE('',*,*,#5245,.T.); +#3478=ORIENTED_EDGE('',*,*,#5217,.T.); +#3479=ORIENTED_EDGE('',*,*,#5246,.F.); +#3480=ORIENTED_EDGE('',*,*,#4920,.F.); +#3481=ORIENTED_EDGE('',*,*,#5247,.F.); +#3482=ORIENTED_EDGE('',*,*,#5248,.T.); +#3483=ORIENTED_EDGE('',*,*,#5249,.T.); +#3484=ORIENTED_EDGE('',*,*,#5248,.F.); +#3485=ORIENTED_EDGE('',*,*,#5250,.F.); +#3486=ORIENTED_EDGE('',*,*,#5251,.T.); +#3487=ORIENTED_EDGE('',*,*,#5252,.T.); +#3488=ORIENTED_EDGE('',*,*,#5251,.F.); +#3489=ORIENTED_EDGE('',*,*,#5253,.F.); +#3490=ORIENTED_EDGE('',*,*,#5254,.T.); +#3491=ORIENTED_EDGE('',*,*,#5255,.T.); +#3492=ORIENTED_EDGE('',*,*,#5254,.F.); +#3493=ORIENTED_EDGE('',*,*,#5256,.F.); +#3494=ORIENTED_EDGE('',*,*,#5257,.T.); +#3495=ORIENTED_EDGE('',*,*,#5258,.T.); +#3496=ORIENTED_EDGE('',*,*,#5257,.F.); +#3497=ORIENTED_EDGE('',*,*,#5259,.F.); +#3498=ORIENTED_EDGE('',*,*,#5260,.T.); +#3499=ORIENTED_EDGE('',*,*,#5261,.T.); +#3500=ORIENTED_EDGE('',*,*,#5262,.F.); +#3501=ORIENTED_EDGE('',*,*,#5244,.T.); +#3502=ORIENTED_EDGE('',*,*,#5263,.T.); +#3503=ORIENTED_EDGE('',*,*,#5260,.F.); +#3504=ORIENTED_EDGE('',*,*,#5261,.F.); +#3505=ORIENTED_EDGE('',*,*,#5263,.F.); +#3506=ORIENTED_EDGE('',*,*,#5246,.T.); +#3507=ORIENTED_EDGE('',*,*,#4846,.T.); +#3508=ORIENTED_EDGE('',*,*,#5249,.F.); +#3509=ORIENTED_EDGE('',*,*,#5252,.F.); +#3510=ORIENTED_EDGE('',*,*,#5255,.F.); +#3511=ORIENTED_EDGE('',*,*,#5258,.F.); +#3512=ORIENTED_EDGE('',*,*,#5259,.T.); +#3513=ORIENTED_EDGE('',*,*,#5256,.T.); +#3514=ORIENTED_EDGE('',*,*,#5253,.T.); +#3515=ORIENTED_EDGE('',*,*,#5250,.T.); +#3516=ORIENTED_EDGE('',*,*,#5247,.T.); +#3517=ORIENTED_EDGE('',*,*,#4816,.F.); +#3518=ORIENTED_EDGE('',*,*,#5245,.F.); +#3519=ORIENTED_EDGE('',*,*,#5262,.T.); +#3520=ORIENTED_EDGE('',*,*,#5264,.T.); +#3521=ORIENTED_EDGE('',*,*,#5265,.T.); +#3522=ORIENTED_EDGE('',*,*,#5266,.T.); +#3523=ORIENTED_EDGE('',*,*,#5267,.T.); +#3524=ORIENTED_EDGE('',*,*,#5268,.T.); +#3525=ORIENTED_EDGE('',*,*,#5269,.T.); +#3526=ORIENTED_EDGE('',*,*,#5270,.T.); +#3527=ORIENTED_EDGE('',*,*,#5271,.T.); +#3528=ORIENTED_EDGE('',*,*,#5272,.T.); +#3529=ORIENTED_EDGE('',*,*,#5273,.T.); +#3530=ORIENTED_EDGE('',*,*,#5274,.F.); +#3531=ORIENTED_EDGE('',*,*,#5271,.F.); +#3532=ORIENTED_EDGE('',*,*,#5275,.T.); +#3533=ORIENTED_EDGE('',*,*,#5276,.T.); +#3534=ORIENTED_EDGE('',*,*,#5272,.F.); +#3535=ORIENTED_EDGE('',*,*,#5270,.F.); +#3536=ORIENTED_EDGE('',*,*,#5277,.T.); +#3537=ORIENTED_EDGE('',*,*,#5278,.T.); +#3538=ORIENTED_EDGE('',*,*,#5275,.F.); +#3539=ORIENTED_EDGE('',*,*,#5269,.F.); +#3540=ORIENTED_EDGE('',*,*,#5279,.T.); +#3541=ORIENTED_EDGE('',*,*,#5280,.T.); +#3542=ORIENTED_EDGE('',*,*,#5277,.F.); +#3543=ORIENTED_EDGE('',*,*,#5268,.F.); +#3544=ORIENTED_EDGE('',*,*,#5281,.T.); +#3545=ORIENTED_EDGE('',*,*,#5282,.T.); +#3546=ORIENTED_EDGE('',*,*,#5279,.F.); +#3547=ORIENTED_EDGE('',*,*,#5267,.F.); +#3548=ORIENTED_EDGE('',*,*,#5283,.T.); +#3549=ORIENTED_EDGE('',*,*,#5284,.T.); +#3550=ORIENTED_EDGE('',*,*,#5281,.F.); +#3551=ORIENTED_EDGE('',*,*,#5266,.F.); +#3552=ORIENTED_EDGE('',*,*,#5285,.T.); +#3553=ORIENTED_EDGE('',*,*,#5286,.T.); +#3554=ORIENTED_EDGE('',*,*,#5283,.F.); +#3555=ORIENTED_EDGE('',*,*,#5265,.F.); +#3556=ORIENTED_EDGE('',*,*,#5274,.T.); +#3557=ORIENTED_EDGE('',*,*,#5287,.T.); +#3558=ORIENTED_EDGE('',*,*,#5285,.F.); +#3559=ORIENTED_EDGE('',*,*,#5264,.F.); +#3560=ORIENTED_EDGE('',*,*,#5288,.F.); +#3561=ORIENTED_EDGE('',*,*,#5289,.T.); +#3562=ORIENTED_EDGE('',*,*,#5290,.T.); +#3563=ORIENTED_EDGE('',*,*,#4882,.F.); +#3564=ORIENTED_EDGE('',*,*,#5290,.F.); +#3565=ORIENTED_EDGE('',*,*,#5291,.T.); +#3566=ORIENTED_EDGE('',*,*,#5292,.T.); +#3567=ORIENTED_EDGE('',*,*,#4883,.F.); +#3568=ORIENTED_EDGE('',*,*,#5292,.F.); +#3569=ORIENTED_EDGE('',*,*,#5293,.T.); +#3570=ORIENTED_EDGE('',*,*,#5294,.T.); +#3571=ORIENTED_EDGE('',*,*,#4884,.F.); +#3572=ORIENTED_EDGE('',*,*,#5294,.F.); +#3573=ORIENTED_EDGE('',*,*,#5295,.T.); +#3574=ORIENTED_EDGE('',*,*,#5296,.T.); +#3575=ORIENTED_EDGE('',*,*,#4885,.F.); +#3576=ORIENTED_EDGE('',*,*,#5296,.F.); +#3577=ORIENTED_EDGE('',*,*,#5297,.T.); +#3578=ORIENTED_EDGE('',*,*,#5298,.T.); +#3579=ORIENTED_EDGE('',*,*,#4886,.F.); +#3580=ORIENTED_EDGE('',*,*,#5299,.F.); +#3581=ORIENTED_EDGE('',*,*,#5300,.T.); +#3582=ORIENTED_EDGE('',*,*,#5301,.T.); +#3583=ORIENTED_EDGE('',*,*,#4880,.F.); +#3584=ORIENTED_EDGE('',*,*,#5301,.F.); +#3585=ORIENTED_EDGE('',*,*,#5302,.T.); +#3586=ORIENTED_EDGE('',*,*,#5288,.T.); +#3587=ORIENTED_EDGE('',*,*,#4881,.F.); +#3588=ORIENTED_EDGE('',*,*,#5298,.F.); +#3589=ORIENTED_EDGE('',*,*,#5303,.T.); +#3590=ORIENTED_EDGE('',*,*,#5299,.T.); +#3591=ORIENTED_EDGE('',*,*,#4887,.F.); +#3592=ORIENTED_EDGE('',*,*,#5304,.T.); +#3593=ORIENTED_EDGE('',*,*,#5305,.T.); +#3594=ORIENTED_EDGE('',*,*,#5306,.T.); +#3595=ORIENTED_EDGE('',*,*,#5307,.T.); +#3596=ORIENTED_EDGE('',*,*,#5308,.T.); +#3597=ORIENTED_EDGE('',*,*,#5309,.T.); +#3598=ORIENTED_EDGE('',*,*,#5310,.T.); +#3599=ORIENTED_EDGE('',*,*,#5311,.T.); +#3600=ORIENTED_EDGE('',*,*,#5312,.F.); +#3601=ORIENTED_EDGE('',*,*,#5309,.F.); +#3602=ORIENTED_EDGE('',*,*,#5313,.F.); +#3603=ORIENTED_EDGE('',*,*,#5289,.F.); +#3604=ORIENTED_EDGE('',*,*,#5313,.T.); +#3605=ORIENTED_EDGE('',*,*,#5308,.F.); +#3606=ORIENTED_EDGE('',*,*,#5314,.F.); +#3607=ORIENTED_EDGE('',*,*,#5291,.F.); +#3608=ORIENTED_EDGE('',*,*,#5312,.T.); +#3609=ORIENTED_EDGE('',*,*,#5302,.F.); +#3610=ORIENTED_EDGE('',*,*,#5315,.F.); +#3611=ORIENTED_EDGE('',*,*,#5310,.F.); +#3612=ORIENTED_EDGE('',*,*,#5314,.T.); +#3613=ORIENTED_EDGE('',*,*,#5307,.F.); +#3614=ORIENTED_EDGE('',*,*,#5316,.F.); +#3615=ORIENTED_EDGE('',*,*,#5293,.F.); +#3616=ORIENTED_EDGE('',*,*,#5315,.T.); +#3617=ORIENTED_EDGE('',*,*,#5300,.F.); +#3618=ORIENTED_EDGE('',*,*,#5317,.F.); +#3619=ORIENTED_EDGE('',*,*,#5311,.F.); +#3620=ORIENTED_EDGE('',*,*,#5316,.T.); +#3621=ORIENTED_EDGE('',*,*,#5306,.F.); +#3622=ORIENTED_EDGE('',*,*,#5318,.F.); +#3623=ORIENTED_EDGE('',*,*,#5295,.F.); +#3624=ORIENTED_EDGE('',*,*,#5317,.T.); +#3625=ORIENTED_EDGE('',*,*,#5303,.F.); +#3626=ORIENTED_EDGE('',*,*,#5319,.F.); +#3627=ORIENTED_EDGE('',*,*,#5304,.F.); +#3628=ORIENTED_EDGE('',*,*,#5318,.T.); +#3629=ORIENTED_EDGE('',*,*,#5305,.F.); +#3630=ORIENTED_EDGE('',*,*,#5319,.T.); +#3631=ORIENTED_EDGE('',*,*,#5297,.F.); +#3632=ORIENTED_EDGE('',*,*,#5320,.F.); +#3633=ORIENTED_EDGE('',*,*,#4777,.F.); +#3634=ORIENTED_EDGE('',*,*,#5321,.F.); +#3635=ORIENTED_EDGE('',*,*,#5286,.F.); +#3636=ORIENTED_EDGE('',*,*,#5321,.T.); +#3637=ORIENTED_EDGE('',*,*,#4776,.F.); +#3638=ORIENTED_EDGE('',*,*,#5322,.F.); +#3639=ORIENTED_EDGE('',*,*,#5284,.F.); +#3640=ORIENTED_EDGE('',*,*,#5320,.T.); +#3641=ORIENTED_EDGE('',*,*,#5287,.F.); +#3642=ORIENTED_EDGE('',*,*,#5323,.F.); +#3643=ORIENTED_EDGE('',*,*,#4778,.F.); +#3644=ORIENTED_EDGE('',*,*,#5322,.T.); +#3645=ORIENTED_EDGE('',*,*,#4775,.F.); +#3646=ORIENTED_EDGE('',*,*,#5324,.F.); +#3647=ORIENTED_EDGE('',*,*,#5282,.F.); +#3648=ORIENTED_EDGE('',*,*,#5323,.T.); +#3649=ORIENTED_EDGE('',*,*,#5273,.F.); +#3650=ORIENTED_EDGE('',*,*,#5325,.F.); +#3651=ORIENTED_EDGE('',*,*,#4779,.F.); +#3652=ORIENTED_EDGE('',*,*,#5324,.T.); +#3653=ORIENTED_EDGE('',*,*,#4774,.F.); +#3654=ORIENTED_EDGE('',*,*,#5326,.F.); +#3655=ORIENTED_EDGE('',*,*,#5280,.F.); +#3656=ORIENTED_EDGE('',*,*,#5325,.T.); +#3657=ORIENTED_EDGE('',*,*,#5276,.F.); +#3658=ORIENTED_EDGE('',*,*,#5327,.F.); +#3659=ORIENTED_EDGE('',*,*,#4772,.F.); +#3660=ORIENTED_EDGE('',*,*,#5326,.T.); +#3661=ORIENTED_EDGE('',*,*,#4773,.F.); +#3662=ORIENTED_EDGE('',*,*,#5327,.T.); +#3663=ORIENTED_EDGE('',*,*,#5278,.F.); +#3664=ORIENTED_EDGE('',*,*,#4820,.T.); +#3665=ORIENTED_EDGE('',*,*,#5328,.T.); +#3666=ORIENTED_EDGE('',*,*,#4890,.F.); +#3667=ORIENTED_EDGE('',*,*,#5329,.T.); +#3668=ORIENTED_EDGE('',*,*,#4902,.F.); +#3669=ORIENTED_EDGE('',*,*,#5330,.T.); +#3670=ORIENTED_EDGE('',*,*,#4828,.T.); +#3671=ORIENTED_EDGE('',*,*,#5331,.T.); +#3672=ORIENTED_EDGE('',*,*,#4909,.T.); +#3673=ORIENTED_EDGE('',*,*,#4831,.T.); +#3674=ORIENTED_EDGE('',*,*,#4913,.F.); +#3675=ORIENTED_EDGE('',*,*,#4899,.F.); +#3676=ORIENTED_EDGE('',*,*,#4911,.T.); +#3677=ORIENTED_EDGE('',*,*,#4837,.F.); +#3678=ORIENTED_EDGE('',*,*,#4766,.F.); +#3679=ORIENTED_EDGE('',*,*,#4893,.T.); +#3680=ORIENTED_EDGE('',*,*,#4834,.F.); +#3681=ORIENTED_EDGE('',*,*,#4771,.T.); +#3682=ORIENTED_EDGE('',*,*,#4896,.T.); +#3683=ORIENTED_EDGE('',*,*,#5332,.T.); +#3684=ORIENTED_EDGE('',*,*,#5333,.T.); +#3685=ORIENTED_EDGE('',*,*,#4835,.F.); +#3686=ORIENTED_EDGE('',*,*,#5332,.F.); +#3687=ORIENTED_EDGE('',*,*,#4895,.F.); +#3688=ORIENTED_EDGE('',*,*,#5333,.F.); +#3689=ORIENTED_EDGE('',*,*,#5334,.F.); +#3690=ORIENTED_EDGE('',*,*,#5335,.T.); +#3691=ORIENTED_EDGE('',*,*,#5336,.T.); +#3692=ORIENTED_EDGE('',*,*,#5335,.F.); +#3693=ORIENTED_EDGE('',*,*,#5337,.T.); +#3694=ORIENTED_EDGE('',*,*,#5338,.T.); +#3695=ORIENTED_EDGE('',*,*,#5339,.T.); +#3696=ORIENTED_EDGE('',*,*,#5340,.F.); +#3697=ORIENTED_EDGE('',*,*,#5341,.F.); +#3698=ORIENTED_EDGE('',*,*,#5342,.F.); +#3699=ORIENTED_EDGE('',*,*,#5343,.F.); +#3700=ORIENTED_EDGE('',*,*,#5344,.T.); +#3701=ORIENTED_EDGE('',*,*,#5345,.T.); +#3702=ORIENTED_EDGE('',*,*,#5346,.T.); +#3703=ORIENTED_EDGE('',*,*,#4764,.T.); +#3704=ORIENTED_EDGE('',*,*,#5342,.T.); +#3705=ORIENTED_EDGE('',*,*,#4836,.T.); +#3706=ORIENTED_EDGE('',*,*,#5336,.F.); +#3707=ORIENTED_EDGE('',*,*,#5341,.T.); +#3708=ORIENTED_EDGE('',*,*,#5347,.F.); +#3709=ORIENTED_EDGE('',*,*,#5346,.F.); +#3710=ORIENTED_EDGE('',*,*,#5348,.T.); +#3711=ORIENTED_EDGE('',*,*,#5337,.F.); +#3712=ORIENTED_EDGE('',*,*,#5334,.T.); +#3713=ORIENTED_EDGE('',*,*,#4894,.F.); +#3714=ORIENTED_EDGE('',*,*,#5349,.F.); +#3715=ORIENTED_EDGE('',*,*,#5350,.F.); +#3716=ORIENTED_EDGE('',*,*,#5351,.F.); +#3717=ORIENTED_EDGE('',*,*,#5352,.F.); +#3718=ORIENTED_EDGE('',*,*,#5353,.F.); +#3719=ORIENTED_EDGE('',*,*,#5354,.F.); +#3720=ORIENTED_EDGE('',*,*,#5355,.F.); +#3721=ORIENTED_EDGE('',*,*,#5356,.F.); +#3722=ORIENTED_EDGE('',*,*,#5357,.F.); +#3723=ORIENTED_EDGE('',*,*,#5358,.T.); +#3724=ORIENTED_EDGE('',*,*,#5359,.T.); +#3725=ORIENTED_EDGE('',*,*,#5356,.T.); +#3726=ORIENTED_EDGE('',*,*,#5360,.F.); +#3727=ORIENTED_EDGE('',*,*,#5358,.F.); +#3728=ORIENTED_EDGE('',*,*,#5361,.F.); +#3729=ORIENTED_EDGE('',*,*,#5339,.F.); +#3730=ORIENTED_EDGE('',*,*,#5362,.F.); +#3731=ORIENTED_EDGE('',*,*,#5363,.F.); +#3732=ORIENTED_EDGE('',*,*,#5364,.F.); +#3733=ORIENTED_EDGE('',*,*,#5344,.F.); +#3734=ORIENTED_EDGE('',*,*,#5365,.T.); +#3735=ORIENTED_EDGE('',*,*,#5363,.T.); +#3736=ORIENTED_EDGE('',*,*,#5366,.F.); +#3737=ORIENTED_EDGE('',*,*,#5352,.T.); +#3738=ORIENTED_EDGE('',*,*,#5366,.T.); +#3739=ORIENTED_EDGE('',*,*,#5362,.T.); +#3740=ORIENTED_EDGE('',*,*,#5343,.T.); +#3741=ORIENTED_EDGE('',*,*,#5347,.T.); +#3742=ORIENTED_EDGE('',*,*,#5340,.T.); +#3743=ORIENTED_EDGE('',*,*,#5361,.T.); +#3744=ORIENTED_EDGE('',*,*,#5357,.T.); +#3745=ORIENTED_EDGE('',*,*,#5355,.T.); +#3746=ORIENTED_EDGE('',*,*,#5367,.T.); +#3747=ORIENTED_EDGE('',*,*,#5368,.T.); +#3748=ORIENTED_EDGE('',*,*,#5369,.T.); +#3749=ORIENTED_EDGE('',*,*,#5349,.T.); +#3750=ORIENTED_EDGE('',*,*,#5345,.F.); +#3751=ORIENTED_EDGE('',*,*,#5364,.T.); +#3752=ORIENTED_EDGE('',*,*,#5365,.F.); +#3753=ORIENTED_EDGE('',*,*,#5351,.T.); +#3754=ORIENTED_EDGE('',*,*,#5370,.F.); +#3755=ORIENTED_EDGE('',*,*,#5371,.F.); +#3756=ORIENTED_EDGE('',*,*,#5372,.F.); +#3757=ORIENTED_EDGE('',*,*,#5353,.T.); +#3758=ORIENTED_EDGE('',*,*,#5359,.F.); +#3759=ORIENTED_EDGE('',*,*,#5360,.T.); +#3760=ORIENTED_EDGE('',*,*,#5338,.F.); +#3761=ORIENTED_EDGE('',*,*,#5348,.F.); +#3762=ORIENTED_EDGE('',*,*,#5372,.T.); +#3763=ORIENTED_EDGE('',*,*,#5373,.T.); +#3764=ORIENTED_EDGE('',*,*,#5374,.T.); +#3765=ORIENTED_EDGE('',*,*,#5375,.F.); +#3766=ORIENTED_EDGE('',*,*,#5367,.F.); +#3767=ORIENTED_EDGE('',*,*,#5354,.T.); +#3768=ORIENTED_EDGE('',*,*,#5370,.T.); +#3769=ORIENTED_EDGE('',*,*,#5350,.T.); +#3770=ORIENTED_EDGE('',*,*,#5369,.F.); +#3771=ORIENTED_EDGE('',*,*,#5376,.F.); +#3772=ORIENTED_EDGE('',*,*,#4908,.F.); +#3773=ORIENTED_EDGE('',*,*,#5377,.T.); +#3774=ORIENTED_EDGE('',*,*,#5374,.F.); +#3775=ORIENTED_EDGE('',*,*,#5378,.F.); +#3776=ORIENTED_EDGE('',*,*,#4769,.T.); +#3777=ORIENTED_EDGE('',*,*,#5379,.T.); +#3778=ORIENTED_EDGE('',*,*,#5376,.T.); +#3779=ORIENTED_EDGE('',*,*,#5368,.F.); +#3780=ORIENTED_EDGE('',*,*,#5375,.T.); +#3781=ORIENTED_EDGE('',*,*,#5379,.F.); +#3782=ORIENTED_EDGE('',*,*,#4833,.T.); +#3783=ORIENTED_EDGE('',*,*,#5377,.F.); +#3784=ORIENTED_EDGE('',*,*,#4897,.F.); +#3785=ORIENTED_EDGE('',*,*,#5378,.T.); +#3786=ORIENTED_EDGE('',*,*,#5373,.F.); +#3787=ORIENTED_EDGE('',*,*,#5371,.T.); +#3788=ORIENTED_EDGE('',*,*,#4901,.F.); +#3789=ORIENTED_EDGE('',*,*,#4912,.F.); +#3790=ORIENTED_EDGE('',*,*,#4829,.F.); +#3791=ORIENTED_EDGE('',*,*,#5330,.F.); +#3792=ORIENTED_EDGE('',*,*,#4891,.F.); +#3793=ORIENTED_EDGE('',*,*,#5328,.F.); +#3794=ORIENTED_EDGE('',*,*,#4839,.F.); +#3795=ORIENTED_EDGE('',*,*,#4910,.F.); +#3796=ORIENTED_EDGE('',*,*,#4889,.F.); +#3797=ORIENTED_EDGE('',*,*,#4914,.F.); +#3798=ORIENTED_EDGE('',*,*,#4821,.F.); +#3799=ORIENTED_EDGE('',*,*,#5329,.F.); +#3800=ORIENTED_EDGE('',*,*,#4827,.F.); +#3801=ORIENTED_EDGE('',*,*,#5034,.F.); +#3802=ORIENTED_EDGE('',*,*,#4903,.F.); +#3803=ORIENTED_EDGE('',*,*,#5331,.F.); +#3804=EDGE_CURVE('',#5380,#5381,#6408,.T.); +#3805=EDGE_CURVE('',#5380,#5382,#6409,.F.); +#3806=EDGE_CURVE('',#5382,#5383,#6410,.T.); +#3807=EDGE_CURVE('',#5383,#5384,#6411,.T.); +#3808=EDGE_CURVE('',#5385,#5384,#6412,.T.); +#3809=EDGE_CURVE('',#5386,#5385,#6413,.T.); +#3810=EDGE_CURVE('',#5386,#5387,#6414,.T.); +#3811=EDGE_CURVE('',#5387,#5388,#6415,.T.); +#3812=EDGE_CURVE('',#5389,#5388,#6416,.T.); +#3813=EDGE_CURVE('',#5390,#5389,#6417,.T.); +#3814=EDGE_CURVE('',#5390,#5391,#6418,.T.); +#3815=EDGE_CURVE('',#5391,#5381,#6419,.T.); +#3816=EDGE_CURVE('',#5392,#5393,#6420,.T.); +#3817=EDGE_CURVE('',#5393,#5394,#6421,.T.); +#3818=EDGE_CURVE('',#5395,#5394,#6422,.T.); +#3819=EDGE_CURVE('',#5395,#5392,#6423,.T.); +#3820=EDGE_CURVE('',#5396,#5397,#6424,.T.); +#3821=EDGE_CURVE('',#5398,#5397,#6425,.T.); +#3822=EDGE_CURVE('',#5398,#5399,#6426,.T.); +#3823=EDGE_CURVE('',#5399,#5396,#6427,.T.); +#3824=EDGE_CURVE('',#5400,#5401,#6428,.T.); +#3825=EDGE_CURVE('',#5400,#5402,#6429,.T.); +#3826=EDGE_CURVE('',#5402,#5403,#6430,.T.); +#3827=EDGE_CURVE('',#5403,#5401,#6431,.T.); +#3828=EDGE_CURVE('',#5393,#5404,#6432,.T.); +#3829=EDGE_CURVE('',#5404,#5405,#6433,.T.); +#3830=EDGE_CURVE('',#5405,#5397,#6434,.T.); +#3831=EDGE_CURVE('',#5406,#5396,#6435,.T.); +#3832=EDGE_CURVE('',#5407,#5406,#6436,.T.); +#3833=EDGE_CURVE('',#5407,#5408,#6437,.T.); +#3834=EDGE_CURVE('',#5409,#5408,#6438,.T.); +#3835=EDGE_CURVE('',#5410,#5409,#6439,.T.); +#3836=EDGE_CURVE('',#5411,#5410,#6440,.T.); +#3837=EDGE_CURVE('',#5411,#5412,#6441,.T.); +#3838=EDGE_CURVE('',#5413,#5412,#6442,.T.); +#3839=EDGE_CURVE('',#5413,#5414,#6443,.T.); +#3840=EDGE_CURVE('',#5415,#5414,#6444,.T.); +#3841=EDGE_CURVE('',#5416,#5415,#6445,.T.); +#3842=EDGE_CURVE('',#5417,#5416,#6446,.T.); +#3843=EDGE_CURVE('',#5418,#5417,#6447,.T.); +#3844=EDGE_CURVE('',#5419,#5418,#6448,.T.); +#3845=EDGE_CURVE('',#5419,#5420,#6449,.T.); +#3846=EDGE_CURVE('',#5421,#5420,#6450,.T.); +#3847=EDGE_CURVE('',#5422,#5421,#6451,.T.); +#3848=EDGE_CURVE('',#5423,#5422,#6452,.T.); +#3849=EDGE_CURVE('',#5423,#5394,#6453,.T.); +#3850=EDGE_CURVE('',#5424,#5409,#6454,.T.); +#3851=EDGE_CURVE('',#5425,#5408,#6455,.T.); +#3852=EDGE_CURVE('',#5425,#5424,#6456,.T.); +#3853=EDGE_CURVE('',#5426,#5413,#6457,.T.); +#3854=EDGE_CURVE('',#5427,#5412,#6458,.T.); +#3855=EDGE_CURVE('',#5427,#5426,#6459,.T.); +#3856=EDGE_CURVE('',#5428,#5429,#6460,.T.); +#3857=EDGE_CURVE('',#5428,#5430,#316,.F.); +#3858=EDGE_CURVE('',#5430,#5431,#6461,.T.); +#3859=EDGE_CURVE('',#5431,#5432,#6462,.T.); +#3860=EDGE_CURVE('',#5432,#5433,#6463,.T.); +#3861=EDGE_CURVE('',#5433,#5434,#6464,.T.); +#3862=EDGE_CURVE('',#5435,#5434,#6465,.T.); +#3863=EDGE_CURVE('',#5435,#5429,#317,.F.); +#3864=EDGE_CURVE('',#5436,#5437,#6466,.T.); +#3865=EDGE_CURVE('',#5437,#5438,#318,.F.); +#3866=EDGE_CURVE('',#5438,#5439,#6467,.T.); +#3867=EDGE_CURVE('',#5439,#5440,#319,.F.); +#3868=EDGE_CURVE('',#5441,#5440,#6468,.T.); +#3869=EDGE_CURVE('',#5442,#5441,#6469,.T.); +#3870=EDGE_CURVE('',#5442,#5443,#6470,.T.); +#3871=EDGE_CURVE('',#5436,#5443,#6471,.T.); +#3872=EDGE_CURVE('',#5444,#5421,#6472,.T.); +#3873=EDGE_CURVE('',#5445,#5420,#6473,.T.); +#3874=EDGE_CURVE('',#5445,#5444,#6474,.T.); +#3875=EDGE_CURVE('',#5446,#5417,#6475,.T.); +#3876=EDGE_CURVE('',#5416,#5447,#6476,.T.); +#3877=EDGE_CURVE('',#5447,#5446,#6477,.T.); +#3878=EDGE_CURVE('',#5448,#5415,#6478,.T.); +#3879=EDGE_CURVE('',#5414,#5449,#6479,.T.); +#3880=EDGE_CURVE('',#5449,#5448,#6480,.T.); +#3881=EDGE_CURVE('',#5450,#5418,#6481,.T.); +#3882=EDGE_CURVE('',#5451,#5446,#6482,.T.); +#3883=EDGE_CURVE('',#5452,#5451,#320,.T.); +#3884=EDGE_CURVE('',#5453,#5452,#6483,.T.); +#3885=EDGE_CURVE('',#5453,#5381,#6484,.T.); +#3886=EDGE_CURVE('',#5454,#5391,#6485,.T.); +#3887=EDGE_CURVE('',#5455,#5454,#6486,.T.); +#3888=EDGE_CURVE('',#5456,#5455,#321,.T.); +#3889=EDGE_CURVE('',#5450,#5456,#6487,.T.); +#3890=EDGE_CURVE('',#5457,#5419,#6488,.T.); +#3891=EDGE_CURVE('',#5457,#5458,#6489,.T.); +#3892=EDGE_CURVE('',#5458,#5459,#322,.T.); +#3893=EDGE_CURVE('',#5459,#5460,#6490,.T.); +#3894=EDGE_CURVE('',#5460,#5390,#6491,.T.); +#3895=EDGE_CURVE('',#5461,#5389,#6492,.T.); +#3896=EDGE_CURVE('',#5461,#5462,#6493,.T.); +#3897=EDGE_CURVE('',#5462,#5463,#323,.T.); +#3898=EDGE_CURVE('',#5463,#5445,#6494,.T.); +#3899=EDGE_CURVE('',#5457,#5450,#6495,.T.); +#3900=EDGE_CURVE('',#5464,#5422,#6496,.T.); +#3901=EDGE_CURVE('',#5465,#5444,#6497,.T.); +#3902=EDGE_CURVE('',#5466,#5465,#324,.T.); +#3903=EDGE_CURVE('',#5467,#5466,#6498,.T.); +#3904=EDGE_CURVE('',#5467,#5388,#6499,.T.); +#3905=EDGE_CURVE('',#5468,#5387,#6500,.T.); +#3906=EDGE_CURVE('',#5469,#5468,#6501,.T.); +#3907=EDGE_CURVE('',#5470,#5469,#325,.T.); +#3908=EDGE_CURVE('',#5464,#5470,#6502,.T.); +#3909=EDGE_CURVE('',#5471,#5423,#6503,.T.); +#3910=EDGE_CURVE('',#5471,#5472,#6504,.T.); +#3911=EDGE_CURVE('',#5472,#5473,#326,.T.); +#3912=EDGE_CURVE('',#5473,#5474,#6505,.T.); +#3913=EDGE_CURVE('',#5474,#5386,#6506,.T.); +#3914=EDGE_CURVE('',#5475,#5385,#6507,.T.); +#3915=EDGE_CURVE('',#5475,#5476,#6508,.T.); +#3916=EDGE_CURVE('',#5476,#5477,#327,.T.); +#3917=EDGE_CURVE('',#5477,#5395,#6509,.T.); +#3918=EDGE_CURVE('',#5471,#5464,#6510,.T.); +#3919=EDGE_CURVE('',#5478,#5406,#6511,.T.); +#3920=EDGE_CURVE('',#5479,#5399,#6512,.T.); +#3921=EDGE_CURVE('',#5480,#5479,#328,.T.); +#3922=EDGE_CURVE('',#5481,#5480,#6513,.T.); +#3923=EDGE_CURVE('',#5481,#5482,#6514,.T.); +#3924=EDGE_CURVE('',#5483,#5482,#6515,.T.); +#3925=EDGE_CURVE('',#5484,#5483,#6516,.T.); +#3926=EDGE_CURVE('',#5485,#5484,#6517,.T.); +#3927=EDGE_CURVE('',#5486,#5485,#329,.T.); +#3928=EDGE_CURVE('',#5478,#5486,#6518,.T.); +#3929=EDGE_CURVE('',#5487,#5407,#6519,.T.); +#3930=EDGE_CURVE('',#5487,#5488,#6520,.T.); +#3931=EDGE_CURVE('',#5488,#5489,#330,.T.); +#3932=EDGE_CURVE('',#5489,#5490,#6521,.T.); +#3933=EDGE_CURVE('',#5490,#5491,#6522,.T.); +#3934=EDGE_CURVE('',#5491,#5492,#6523,.T.); +#3935=EDGE_CURVE('',#5493,#5492,#6524,.T.); +#3936=EDGE_CURVE('',#5493,#5494,#6525,.T.); +#3937=EDGE_CURVE('',#5494,#5495,#331,.T.); +#3938=EDGE_CURVE('',#5495,#5425,#6526,.T.); +#3939=EDGE_CURVE('',#5487,#5478,#6527,.T.); +#3940=EDGE_CURVE('',#5496,#5410,#6528,.T.); +#3941=EDGE_CURVE('',#5497,#5424,#6529,.T.); +#3942=EDGE_CURVE('',#5498,#5497,#332,.T.); +#3943=EDGE_CURVE('',#5499,#5498,#6530,.T.); +#3944=EDGE_CURVE('',#5499,#5500,#6531,.T.); +#3945=EDGE_CURVE('',#5501,#5500,#6532,.T.); +#3946=EDGE_CURVE('',#5502,#5501,#6533,.T.); +#3947=EDGE_CURVE('',#5503,#5502,#6534,.T.); +#3948=EDGE_CURVE('',#5504,#5503,#333,.T.); +#3949=EDGE_CURVE('',#5496,#5504,#6535,.T.); +#3950=EDGE_CURVE('',#5505,#5411,#6536,.T.); +#3951=EDGE_CURVE('',#5505,#5506,#6537,.T.); +#3952=EDGE_CURVE('',#5506,#5507,#334,.T.); +#3953=EDGE_CURVE('',#5507,#5508,#6538,.T.); +#3954=EDGE_CURVE('',#5508,#5509,#6539,.T.); +#3955=EDGE_CURVE('',#5509,#5510,#6540,.T.); +#3956=EDGE_CURVE('',#5511,#5510,#6541,.T.); +#3957=EDGE_CURVE('',#5511,#5512,#6542,.T.); +#3958=EDGE_CURVE('',#5512,#5513,#335,.T.); +#3959=EDGE_CURVE('',#5513,#5427,#6543,.T.); +#3960=EDGE_CURVE('',#5505,#5496,#6544,.T.); +#3961=EDGE_CURVE('',#5514,#5515,#6545,.T.); +#3962=EDGE_CURVE('',#5515,#5516,#6546,.T.); +#3963=EDGE_CURVE('',#5516,#5517,#6547,.T.); +#3964=EDGE_CURVE('',#5517,#5514,#6548,.T.); +#3965=EDGE_CURVE('',#5518,#5519,#6549,.T.); +#3966=EDGE_CURVE('',#5519,#5520,#6550,.T.); +#3967=EDGE_CURVE('',#5520,#5521,#6551,.T.); +#3968=EDGE_CURVE('',#5521,#5518,#6552,.T.); +#3969=EDGE_CURVE('',#5522,#5523,#6553,.T.); +#3970=EDGE_CURVE('',#5523,#5524,#6554,.T.); +#3971=EDGE_CURVE('',#5524,#5525,#6555,.T.); +#3972=EDGE_CURVE('',#5525,#5522,#6556,.T.); +#3973=EDGE_CURVE('',#5526,#5527,#6557,.T.); +#3974=EDGE_CURVE('',#5527,#5528,#6558,.T.); +#3975=EDGE_CURVE('',#5528,#5529,#6559,.T.); +#3976=EDGE_CURVE('',#5529,#5526,#6560,.T.); +#3977=EDGE_CURVE('',#5530,#5531,#6561,.T.); +#3978=EDGE_CURVE('',#5531,#5532,#6562,.T.); +#3979=EDGE_CURVE('',#5532,#5533,#6563,.T.); +#3980=EDGE_CURVE('',#5533,#5530,#6564,.T.); +#3981=EDGE_CURVE('',#5534,#5535,#6565,.T.); +#3982=EDGE_CURVE('',#5535,#5536,#6566,.T.); +#3983=EDGE_CURVE('',#5536,#5537,#6567,.T.); +#3984=EDGE_CURVE('',#5537,#5538,#6568,.T.); +#3985=EDGE_CURVE('',#5538,#5539,#6569,.T.); +#3986=EDGE_CURVE('',#5539,#5540,#6570,.T.); +#3987=EDGE_CURVE('',#5540,#5541,#6571,.T.); +#3988=EDGE_CURVE('',#5541,#5542,#6572,.T.); +#3989=EDGE_CURVE('',#5542,#5543,#6573,.T.); +#3990=EDGE_CURVE('',#5543,#5544,#6574,.T.); +#3991=EDGE_CURVE('',#5544,#5545,#6575,.T.); +#3992=EDGE_CURVE('',#5545,#5534,#6576,.F.); +#3993=EDGE_CURVE('',#5546,#5547,#6577,.T.); +#3994=EDGE_CURVE('',#5547,#5548,#6578,.T.); +#3995=EDGE_CURVE('',#5548,#5549,#6579,.T.); +#3996=EDGE_CURVE('',#5549,#5546,#6580,.T.); +#3997=EDGE_CURVE('',#5550,#5551,#6581,.T.); +#3998=EDGE_CURVE('',#5551,#5552,#6582,.T.); +#3999=EDGE_CURVE('',#5552,#5553,#6583,.T.); +#4000=EDGE_CURVE('',#5553,#5550,#6584,.T.); +#4001=EDGE_CURVE('',#5554,#5555,#6585,.T.); +#4002=EDGE_CURVE('',#5555,#5556,#6586,.T.); +#4003=EDGE_CURVE('',#5556,#5557,#6587,.T.); +#4004=EDGE_CURVE('',#5557,#5554,#6588,.T.); +#4005=EDGE_CURVE('',#5558,#5559,#6589,.T.); +#4006=EDGE_CURVE('',#5559,#5560,#6590,.T.); +#4007=EDGE_CURVE('',#5560,#5561,#6591,.T.); +#4008=EDGE_CURVE('',#5561,#5558,#6592,.T.); +#4009=EDGE_CURVE('',#5562,#5563,#6593,.T.); +#4010=EDGE_CURVE('',#5563,#5564,#6594,.T.); +#4011=EDGE_CURVE('',#5564,#5565,#6595,.T.); +#4012=EDGE_CURVE('',#5565,#5562,#6596,.T.); +#4013=EDGE_CURVE('',#5566,#5567,#6597,.T.); +#4014=EDGE_CURVE('',#5566,#5568,#6598,.T.); +#4015=EDGE_CURVE('',#5568,#5569,#6599,.T.); +#4016=EDGE_CURVE('',#5569,#5567,#6600,.T.); +#4017=EDGE_CURVE('',#5570,#5571,#6601,.T.); +#4018=EDGE_CURVE('',#5571,#5572,#6602,.T.); +#4019=EDGE_CURVE('',#5573,#5572,#6603,.T.); +#4020=EDGE_CURVE('',#5570,#5573,#6604,.T.); +#4021=EDGE_CURVE('',#5574,#5575,#6605,.T.); +#4022=EDGE_CURVE('',#5574,#5576,#6606,.T.); +#4023=EDGE_CURVE('',#5576,#5577,#6607,.T.); +#4024=EDGE_CURVE('',#5577,#5578,#6608,.T.); +#4025=EDGE_CURVE('',#5578,#5579,#6609,.T.); +#4026=EDGE_CURVE('',#5580,#5579,#6610,.T.); +#4027=EDGE_CURVE('',#5581,#5580,#6611,.T.); +#4028=EDGE_CURVE('',#5581,#5575,#6612,.T.); +#4029=EDGE_CURVE('',#5582,#5583,#6613,.T.); +#4030=EDGE_CURVE('',#5583,#5584,#6614,.F.); +#4031=EDGE_CURVE('',#5584,#5585,#6615,.T.); +#4032=EDGE_CURVE('',#5585,#5586,#6616,.T.); +#4033=EDGE_CURVE('',#5586,#5587,#6617,.T.); +#4034=EDGE_CURVE('',#5587,#5588,#6618,.F.); +#4035=EDGE_CURVE('',#5588,#5580,#6619,.T.); +#4036=EDGE_CURVE('',#5579,#5589,#6620,.T.); +#4037=EDGE_CURVE('',#5589,#5590,#6621,.F.); +#4038=EDGE_CURVE('',#5590,#5591,#6622,.T.); +#4039=EDGE_CURVE('',#5591,#5592,#6623,.T.); +#4040=EDGE_CURVE('',#5592,#5593,#6624,.T.); +#4041=EDGE_CURVE('',#5593,#5594,#6625,.F.); +#4042=EDGE_CURVE('',#5594,#5595,#6626,.T.); +#4043=EDGE_CURVE('',#5595,#5596,#6627,.T.); +#4044=EDGE_CURVE('',#5596,#5597,#6628,.T.); +#4045=EDGE_CURVE('',#5597,#5598,#6629,.F.); +#4046=EDGE_CURVE('',#5598,#5599,#6630,.F.); +#4047=EDGE_CURVE('',#5599,#5600,#6631,.F.); +#4048=EDGE_CURVE('',#5600,#5601,#6632,.F.); +#4049=EDGE_CURVE('',#5601,#5602,#6633,.F.); +#4050=EDGE_CURVE('',#5602,#5603,#6634,.T.); +#4051=EDGE_CURVE('',#5603,#5582,#6635,.T.); +#4052=EDGE_CURVE('',#5581,#5604,#6636,.T.); +#4053=EDGE_CURVE('',#5604,#5605,#6637,.T.); +#4054=EDGE_CURVE('',#5605,#5578,#6638,.T.); +#4055=EDGE_CURVE('',#5577,#5383,#6639,.T.); +#4056=EDGE_CURVE('',#5606,#5383,#6640,.T.); +#4057=EDGE_CURVE('',#5575,#5606,#6641,.T.); +#4058=EDGE_CURVE('',#5607,#5608,#6642,.F.); +#4059=EDGE_CURVE('',#5608,#5609,#336,.F.); +#4060=EDGE_CURVE('',#5609,#5610,#6643,.T.); +#4061=EDGE_CURVE('',#5610,#5611,#337,.F.); +#4062=EDGE_CURVE('',#5611,#5612,#6644,.T.); +#4063=EDGE_CURVE('',#5612,#5613,#338,.F.); +#4064=EDGE_CURVE('',#5614,#5613,#6645,.T.); +#4065=EDGE_CURVE('',#5614,#5615,#339,.F.); +#4066=EDGE_CURVE('',#5615,#5616,#6646,.T.); +#4067=EDGE_CURVE('',#5537,#5616,#6647,.T.); +#4068=EDGE_CURVE('',#5536,#5617,#340,.T.); +#4069=EDGE_CURVE('',#5617,#5618,#6648,.T.); +#4070=EDGE_CURVE('',#5618,#5380,#341,.T.); +#4071=EDGE_CURVE('',#5461,#5453,#6649,.T.); +#4072=EDGE_CURVE('',#5475,#5467,#6650,.T.); +#4073=EDGE_CURVE('',#5619,#5384,#6651,.T.); +#4074=EDGE_CURVE('',#5620,#5619,#6652,.T.); +#4075=EDGE_CURVE('',#5620,#5621,#6653,.T.); +#4076=EDGE_CURVE('',#5621,#5482,#6654,.T.); +#4077=EDGE_CURVE('',#5493,#5481,#6655,.T.); +#4078=EDGE_CURVE('',#5492,#5500,#6656,.T.); +#4079=EDGE_CURVE('',#5511,#5499,#6657,.T.); +#4080=EDGE_CURVE('',#5510,#5622,#6658,.T.); +#4081=EDGE_CURVE('',#5622,#5623,#342,.T.); +#4082=EDGE_CURVE('',#5623,#5624,#6659,.T.); +#4083=EDGE_CURVE('',#5624,#5545,#343,.T.); +#4084=EDGE_CURVE('',#5544,#5625,#6660,.T.); +#4085=EDGE_CURVE('',#5625,#5626,#6661,.T.); +#4086=EDGE_CURVE('',#5626,#5627,#344,.F.); +#4087=EDGE_CURVE('',#5627,#5628,#6662,.T.); +#4088=EDGE_CURVE('',#5628,#5607,#345,.F.); +#4089=EDGE_CURVE('',#5606,#5629,#6663,.T.); +#4090=EDGE_CURVE('',#5629,#5622,#6664,.T.); +#4091=EDGE_CURVE('',#5509,#5501,#6665,.T.); +#4092=EDGE_CURVE('',#5491,#5483,#6666,.T.); +#4093=EDGE_CURVE('',#5606,#5621,#6667,.T.); +#4094=EDGE_CURVE('',#5504,#5506,#6668,.T.); +#4095=EDGE_CURVE('',#5630,#5631,#6669,.T.); +#4096=EDGE_CURVE('',#5631,#5632,#6670,.T.); +#4097=EDGE_CURVE('',#5632,#5633,#6671,.T.); +#4098=EDGE_CURVE('',#5633,#5630,#6672,.T.); +#4099=EDGE_CURVE('',#5503,#5507,#6673,.T.); +#4100=EDGE_CURVE('',#5502,#5634,#6674,.T.); +#4101=EDGE_CURVE('',#5582,#5634,#6675,.T.); +#4102=EDGE_CURVE('',#5603,#5635,#6676,.T.); +#4103=EDGE_CURVE('',#5635,#5508,#6677,.T.); +#4104=EDGE_CURVE('',#5636,#5637,#6678,.T.); +#4105=EDGE_CURVE('',#5637,#5638,#6679,.T.); +#4106=EDGE_CURVE('',#5638,#5639,#6680,.T.); +#4107=EDGE_CURVE('',#5639,#5636,#6681,.T.); +#4108=EDGE_CURVE('',#5486,#5488,#6682,.T.); +#4109=EDGE_CURVE('',#5640,#5641,#6683,.T.); +#4110=EDGE_CURVE('',#5641,#5642,#6684,.T.); +#4111=EDGE_CURVE('',#5642,#5643,#6685,.T.); +#4112=EDGE_CURVE('',#5643,#5640,#6686,.T.); +#4113=EDGE_CURVE('',#5485,#5489,#6687,.T.); +#4114=EDGE_CURVE('',#5484,#5644,#6688,.T.); +#4115=EDGE_CURVE('',#5586,#5644,#6689,.T.); +#4116=EDGE_CURVE('',#5585,#5645,#6690,.T.); +#4117=EDGE_CURVE('',#5645,#5490,#6691,.T.); +#4118=EDGE_CURVE('',#5646,#5647,#6692,.T.); +#4119=EDGE_CURVE('',#5647,#5648,#6693,.T.); +#4120=EDGE_CURVE('',#5648,#5649,#6694,.T.); +#4121=EDGE_CURVE('',#5649,#5646,#6695,.T.); +#4122=EDGE_CURVE('',#5470,#5472,#6696,.T.); +#4123=EDGE_CURVE('',#5650,#5651,#6697,.T.); +#4124=EDGE_CURVE('',#5651,#5652,#6698,.T.); +#4125=EDGE_CURVE('',#5652,#5653,#6699,.T.); +#4126=EDGE_CURVE('',#5653,#5650,#6700,.T.); +#4127=EDGE_CURVE('',#5469,#5473,#6701,.T.); +#4128=EDGE_CURVE('',#5468,#5654,#6702,.T.); +#4129=EDGE_CURVE('',#5592,#5654,#6703,.T.); +#4130=EDGE_CURVE('',#5591,#5655,#6704,.T.); +#4131=EDGE_CURVE('',#5655,#5474,#6705,.T.); +#4132=EDGE_CURVE('',#5656,#5657,#6706,.T.); +#4133=EDGE_CURVE('',#5657,#5658,#6707,.T.); +#4134=EDGE_CURVE('',#5658,#5659,#6708,.T.); +#4135=EDGE_CURVE('',#5659,#5656,#6709,.T.); +#4136=EDGE_CURVE('',#5456,#5458,#6710,.T.); +#4137=EDGE_CURVE('',#5660,#5661,#6711,.T.); +#4138=EDGE_CURVE('',#5661,#5662,#6712,.T.); +#4139=EDGE_CURVE('',#5662,#5663,#6713,.T.); +#4140=EDGE_CURVE('',#5663,#5660,#6714,.T.); +#4141=EDGE_CURVE('',#5455,#5459,#6715,.T.); +#4142=EDGE_CURVE('',#5454,#5664,#6716,.T.); +#4143=EDGE_CURVE('',#5596,#5664,#6717,.T.); +#4144=EDGE_CURVE('',#5595,#5665,#6718,.T.); +#4145=EDGE_CURVE('',#5665,#5460,#6719,.T.); +#4146=EDGE_CURVE('',#5666,#5667,#6720,.T.); +#4147=EDGE_CURVE('',#5667,#5668,#6721,.T.); +#4148=EDGE_CURVE('',#5668,#5669,#6722,.T.); +#4149=EDGE_CURVE('',#5669,#5666,#6723,.T.); +#4150=EDGE_CURVE('',#5670,#5670,#346,.T.); +#4151=EDGE_CURVE('',#5671,#5671,#347,.T.); +#4152=EDGE_CURVE('',#5672,#5673,#6724,.T.); +#4153=EDGE_CURVE('',#5673,#5674,#6725,.T.); +#4154=EDGE_CURVE('',#5675,#5674,#6726,.T.); +#4155=EDGE_CURVE('',#5675,#5676,#6727,.T.); +#4156=EDGE_CURVE('',#5676,#5677,#6728,.T.); +#4157=EDGE_CURVE('',#5677,#5678,#6729,.T.); +#4158=EDGE_CURVE('',#5678,#5437,#6730,.T.); +#4159=EDGE_CURVE('',#5679,#5436,#6731,.T.); +#4160=EDGE_CURVE('',#5680,#5679,#6732,.T.); +#4161=EDGE_CURVE('',#5431,#5680,#6733,.T.); +#4162=EDGE_CURVE('',#5430,#5681,#6734,.F.); +#4163=EDGE_CURVE('',#5681,#5682,#6735,.F.); +#4164=EDGE_CURVE('',#5683,#5682,#6736,.T.); +#4165=EDGE_CURVE('',#5683,#5684,#6737,.T.); +#4166=EDGE_CURVE('',#5684,#5685,#6738,.T.); +#4167=EDGE_CURVE('',#5685,#5686,#6739,.F.); +#4168=EDGE_CURVE('',#5686,#5687,#6740,.T.); +#4169=EDGE_CURVE('',#5687,#5688,#6741,.T.); +#4170=EDGE_CURVE('',#5688,#5689,#6742,.T.); +#4171=EDGE_CURVE('',#5689,#5672,#6743,.T.); +#4172=EDGE_CURVE('',#5690,#5691,#6744,.T.); +#4173=EDGE_CURVE('',#5691,#5692,#6745,.F.); +#4174=EDGE_CURVE('',#5693,#5692,#6746,.T.); +#4175=EDGE_CURVE('',#5693,#5628,#6747,.F.); +#4176=EDGE_CURVE('',#5627,#5439,#6748,.F.); +#4177=EDGE_CURVE('',#5438,#5694,#6749,.F.); +#4178=EDGE_CURVE('',#5694,#5695,#6750,.T.); +#4179=EDGE_CURVE('',#5695,#5690,#6751,.T.); +#4180=EDGE_CURVE('',#5696,#5697,#6752,.T.); +#4181=EDGE_CURVE('',#5697,#5428,#6753,.T.); +#4182=EDGE_CURVE('',#5429,#5614,#6754,.T.); +#4183=EDGE_CURVE('',#5613,#5698,#6755,.T.); +#4184=EDGE_CURVE('',#5698,#5699,#6756,.T.); +#4185=EDGE_CURVE('',#5699,#5700,#6757,.T.); +#4186=EDGE_CURVE('',#5700,#5701,#6758,.T.); +#4187=EDGE_CURVE('',#5701,#5696,#6759,.T.); +#4188=EDGE_CURVE('',#5615,#5435,#6760,.F.); +#4189=EDGE_CURVE('',#5434,#5702,#6761,.T.); +#4190=EDGE_CURVE('',#5702,#5703,#6762,.F.); +#4191=EDGE_CURVE('',#5703,#5704,#6763,.T.); +#4192=EDGE_CURVE('',#5705,#5704,#6764,.T.); +#4193=EDGE_CURVE('',#5705,#5706,#6765,.T.); +#4194=EDGE_CURVE('',#5706,#5707,#6766,.F.); +#4195=EDGE_CURVE('',#5707,#5441,#6767,.T.); +#4196=EDGE_CURVE('',#5440,#5626,#6768,.T.); +#4197=EDGE_CURVE('',#5708,#5625,#6769,.T.); +#4198=EDGE_CURVE('',#5709,#5708,#6770,.T.); +#4199=EDGE_CURVE('',#5710,#5709,#6771,.T.); +#4200=EDGE_CURVE('',#5710,#5711,#6772,.T.); +#4201=EDGE_CURVE('',#5712,#5711,#6773,.T.); +#4202=EDGE_CURVE('',#5713,#5712,#6774,.T.); +#4203=EDGE_CURVE('',#5616,#5713,#6775,.T.); +#4204=EDGE_CURVE('',#5443,#5714,#6776,.T.); +#4205=EDGE_CURVE('',#5714,#5705,#6777,.T.); +#4206=EDGE_CURVE('',#5449,#5705,#6778,.T.); +#4207=EDGE_CURVE('',#5426,#5679,#6779,.T.); +#4208=EDGE_CURVE('',#5448,#5704,#6780,.T.); +#4209=EDGE_CURVE('',#5715,#5704,#6781,.T.); +#4210=EDGE_CURVE('',#5715,#5432,#6782,.T.); +#4211=EDGE_CURVE('',#5447,#5680,#6783,.T.); +#4212=EDGE_CURVE('',#5716,#5392,#6784,.T.); +#4213=EDGE_CURVE('',#5465,#5477,#6785,.T.); +#4214=EDGE_CURVE('',#5451,#5463,#6786,.T.); +#4215=EDGE_CURVE('',#5497,#5513,#6787,.T.); +#4216=EDGE_CURVE('',#5479,#5495,#6788,.T.); +#4217=EDGE_CURVE('',#5717,#5398,#6789,.T.); +#4218=EDGE_CURVE('',#5716,#5717,#6790,.T.); +#4219=EDGE_CURVE('',#5686,#5699,#348,.T.); +#4220=EDGE_CURVE('',#5698,#5718,#349,.F.); +#4221=EDGE_CURVE('',#5718,#5719,#6791,.T.); +#4222=EDGE_CURVE('',#5719,#5720,#350,.F.); +#4223=EDGE_CURVE('',#5720,#5721,#6792,.T.); +#4224=EDGE_CURVE('',#5721,#5687,#6793,.T.); +#4225=EDGE_CURVE('',#5721,#5722,#6794,.T.); +#4226=EDGE_CURVE('',#5722,#5688,#6795,.T.); +#4227=EDGE_CURVE('',#5722,#5723,#6796,.T.); +#4228=EDGE_CURVE('',#5723,#5689,#6797,.T.); +#4229=EDGE_CURVE('',#5723,#5724,#6798,.T.); +#4230=EDGE_CURVE('',#5724,#5672,#6799,.T.); +#4231=EDGE_CURVE('',#5692,#5673,#351,.T.); +#4232=EDGE_CURVE('',#5724,#5725,#6800,.T.); +#4233=EDGE_CURVE('',#5725,#5726,#352,.F.); +#4234=EDGE_CURVE('',#5726,#5727,#6801,.F.); +#4235=EDGE_CURVE('',#5727,#5693,#353,.F.); +#4236=EDGE_CURVE('',#5609,#5725,#6802,.F.); +#4237=EDGE_CURVE('',#5720,#5610,#6803,.T.); +#4238=EDGE_CURVE('',#5538,#5713,#6804,.T.); +#4239=EDGE_CURVE('',#5539,#5712,#6805,.T.); +#4240=EDGE_CURVE('',#5711,#5540,#6806,.F.); +#4241=EDGE_CURVE('',#5433,#5728,#6807,.T.); +#4242=EDGE_CURVE('',#5728,#5702,#6808,.T.); +#4243=EDGE_CURVE('',#5703,#5729,#6809,.T.); +#4244=EDGE_CURVE('',#5729,#5715,#6810,.T.); +#4245=EDGE_CURVE('',#5729,#5728,#6811,.F.); +#4246=EDGE_CURVE('',#5700,#5685,#354,.T.); +#4247=EDGE_CURVE('',#5684,#5730,#6812,.T.); +#4248=EDGE_CURVE('',#5730,#5701,#6813,.T.); +#4249=EDGE_CURVE('',#5730,#5731,#6814,.T.); +#4250=EDGE_CURVE('',#5731,#5732,#6815,.T.); +#4251=EDGE_CURVE('',#5732,#5696,#6816,.F.); +#4252=EDGE_CURVE('',#5682,#5732,#6817,.T.); +#4253=EDGE_CURVE('',#5731,#5683,#6818,.T.); +#4254=EDGE_CURVE('',#5733,#5733,#355,.T.); +#4255=EDGE_CURVE('',#5734,#5734,#356,.F.); +#4256=EDGE_CURVE('',#5611,#5719,#6819,.F.); +#4257=EDGE_CURVE('',#5718,#5612,#6820,.F.); +#4258=EDGE_CURVE('',#5543,#5708,#6821,.T.); +#4259=EDGE_CURVE('',#5541,#5710,#6822,.F.); +#4260=EDGE_CURVE('',#5542,#5709,#6823,.T.); +#4261=EDGE_CURVE('',#5714,#5735,#6824,.T.); +#4262=EDGE_CURVE('',#5735,#5706,#6825,.T.); +#4263=EDGE_CURVE('',#5707,#5736,#6826,.T.); +#4264=EDGE_CURVE('',#5736,#5442,#6827,.T.); +#4265=EDGE_CURVE('',#5736,#5735,#6828,.F.); +#4266=EDGE_CURVE('',#5674,#5691,#357,.T.); +#4267=EDGE_CURVE('',#5737,#5690,#6829,.T.); +#4268=EDGE_CURVE('',#5737,#5675,#6830,.T.); +#4269=EDGE_CURVE('',#5738,#5739,#6831,.T.); +#4270=EDGE_CURVE('',#5739,#5677,#6832,.T.); +#4271=EDGE_CURVE('',#5676,#5738,#6833,.T.); +#4272=EDGE_CURVE('',#5695,#5739,#6834,.T.); +#4273=EDGE_CURVE('',#5738,#5737,#6835,.T.); +#4274=EDGE_CURVE('',#5740,#5740,#358,.T.); +#4275=EDGE_CURVE('',#5741,#5741,#359,.F.); +#4276=EDGE_CURVE('',#5726,#5608,#6836,.F.); +#4277=EDGE_CURVE('',#5607,#5727,#6837,.F.); +#4278=EDGE_CURVE('',#5623,#5742,#6838,.F.); +#4279=EDGE_CURVE('',#5742,#5743,#6839,.T.); +#4280=EDGE_CURVE('',#5743,#5624,#6840,.T.); +#4281=EDGE_CURVE('',#5617,#5744,#6841,.F.); +#4282=EDGE_CURVE('',#5744,#5745,#6842,.T.); +#4283=EDGE_CURVE('',#5745,#5618,#6843,.T.); +#4284=EDGE_CURVE('',#5452,#5462,#6844,.T.); +#4285=EDGE_CURVE('',#5664,#5746,#6845,.T.); +#4286=EDGE_CURVE('',#5747,#5665,#6846,.T.); +#4287=EDGE_CURVE('',#5746,#5747,#6847,.T.); +#4288=EDGE_CURVE('',#5597,#5746,#6848,.T.); +#4289=EDGE_CURVE('',#5594,#5747,#6849,.T.); +#4290=EDGE_CURVE('',#5597,#5594,#6850,.T.); +#4291=EDGE_CURVE('',#5661,#5532,#6851,.T.); +#4292=EDGE_CURVE('',#5662,#5531,#6852,.T.); +#4293=EDGE_CURVE('',#5660,#5533,#6853,.T.); +#4294=EDGE_CURVE('',#5663,#5530,#6854,.T.); +#4295=EDGE_CURVE('',#5667,#5548,#6855,.T.); +#4296=EDGE_CURVE('',#5668,#5547,#6856,.T.); +#4297=EDGE_CURVE('',#5666,#5549,#6857,.T.); +#4298=EDGE_CURVE('',#5669,#5546,#6858,.T.); +#4299=EDGE_CURVE('',#5466,#5476,#6859,.T.); +#4300=EDGE_CURVE('',#5654,#5748,#6860,.T.); +#4301=EDGE_CURVE('',#5749,#5655,#6861,.T.); +#4302=EDGE_CURVE('',#5748,#5749,#6862,.T.); +#4303=EDGE_CURVE('',#5593,#5748,#6863,.T.); +#4304=EDGE_CURVE('',#5590,#5749,#6864,.T.); +#4305=EDGE_CURVE('',#5593,#5590,#6865,.T.); +#4306=EDGE_CURVE('',#5651,#5528,#6866,.T.); +#4307=EDGE_CURVE('',#5652,#5527,#6867,.T.); +#4308=EDGE_CURVE('',#5650,#5529,#6868,.T.); +#4309=EDGE_CURVE('',#5653,#5526,#6869,.T.); +#4310=EDGE_CURVE('',#5657,#5552,#6870,.T.); +#4311=EDGE_CURVE('',#5658,#5551,#6871,.T.); +#4312=EDGE_CURVE('',#5656,#5553,#6872,.T.); +#4313=EDGE_CURVE('',#5659,#5550,#6873,.T.); +#4314=EDGE_CURVE('',#5480,#5494,#6874,.T.); +#4315=EDGE_CURVE('',#5644,#5750,#6875,.T.); +#4316=EDGE_CURVE('',#5751,#5645,#6876,.T.); +#4317=EDGE_CURVE('',#5750,#5751,#6877,.T.); +#4318=EDGE_CURVE('',#5587,#5750,#6878,.T.); +#4319=EDGE_CURVE('',#5584,#5751,#6879,.T.); +#4320=EDGE_CURVE('',#5587,#5584,#6880,.T.); +#4321=EDGE_CURVE('',#5641,#5524,#6881,.T.); +#4322=EDGE_CURVE('',#5642,#5523,#6882,.T.); +#4323=EDGE_CURVE('',#5640,#5525,#6883,.T.); +#4324=EDGE_CURVE('',#5643,#5522,#6884,.T.); +#4325=EDGE_CURVE('',#5647,#5556,#6885,.T.); +#4326=EDGE_CURVE('',#5648,#5555,#6886,.T.); +#4327=EDGE_CURVE('',#5646,#5557,#6887,.T.); +#4328=EDGE_CURVE('',#5649,#5554,#6888,.T.); +#4329=EDGE_CURVE('',#5498,#5512,#6889,.T.); +#4330=EDGE_CURVE('',#5634,#5752,#6890,.T.); +#4331=EDGE_CURVE('',#5753,#5635,#6891,.T.); +#4332=EDGE_CURVE('',#5752,#5753,#6892,.T.); +#4333=EDGE_CURVE('',#5583,#5752,#6893,.T.); +#4334=EDGE_CURVE('',#5602,#5753,#6894,.T.); +#4335=EDGE_CURVE('',#5583,#5602,#6895,.T.); +#4336=EDGE_CURVE('',#5631,#5520,#6896,.T.); +#4337=EDGE_CURVE('',#5632,#5519,#6897,.T.); +#4338=EDGE_CURVE('',#5630,#5521,#6898,.T.); +#4339=EDGE_CURVE('',#5633,#5518,#6899,.T.); +#4340=EDGE_CURVE('',#5637,#5560,#6900,.T.); +#4341=EDGE_CURVE('',#5638,#5559,#6901,.T.); +#4342=EDGE_CURVE('',#5636,#5561,#6902,.T.); +#4343=EDGE_CURVE('',#5639,#5558,#6903,.T.); +#4344=EDGE_CURVE('',#5619,#5754,#6904,.T.); +#4345=EDGE_CURVE('',#5754,#5755,#6905,.T.); +#4346=EDGE_CURVE('',#5620,#5755,#6906,.T.); +#4347=EDGE_CURVE('',#5754,#5716,#360,.T.); +#4348=EDGE_CURVE('',#5576,#5516,#6907,.T.); +#4349=EDGE_CURVE('',#5569,#5515,#6908,.T.); +#4350=EDGE_CURVE('',#5568,#5564,#6909,.T.); +#4351=EDGE_CURVE('',#5571,#5563,#6910,.T.); +#4352=EDGE_CURVE('',#5403,#5570,#361,.T.); +#4353=EDGE_CURVE('',#5402,#5404,#6911,.T.); +#4354=EDGE_CURVE('',#5400,#5405,#6912,.T.); +#4355=EDGE_CURVE('',#5401,#5573,#362,.T.); +#4356=EDGE_CURVE('',#5572,#5562,#6913,.T.); +#4357=EDGE_CURVE('',#5566,#5565,#6914,.T.); +#4358=EDGE_CURVE('',#5567,#5514,#6915,.T.); +#4359=EDGE_CURVE('',#5574,#5517,#6916,.T.); +#4360=EDGE_CURVE('',#5755,#5717,#363,.T.); +#4361=EDGE_CURVE('',#5604,#5588,#6917,.T.); +#4362=EDGE_CURVE('',#5589,#5588,#6918,.T.); +#4363=EDGE_CURVE('',#5605,#5589,#6919,.T.); +#4364=EDGE_CURVE('',#5694,#5678,#126,.T.); +#4365=EDGE_CURVE('',#5681,#5697,#127,.F.); +#4366=EDGE_CURVE('',#5744,#5756,#90,.F.); +#4367=EDGE_CURVE('',#5599,#5756,#128,.T.); +#4368=EDGE_CURVE('',#5757,#5598,#129,.F.); +#4369=EDGE_CURVE('',#5757,#5745,#91,.T.); +#4370=EDGE_CURVE('',#5758,#5601,#130,.F.); +#4371=EDGE_CURVE('',#5758,#5629,#92,.T.); +#4372=EDGE_CURVE('',#5382,#5757,#93,.F.); +#4373=EDGE_CURVE('',#5756,#5535,#94,.T.); +#4374=EDGE_CURVE('',#5534,#5759,#95,.F.); +#4375=EDGE_CURVE('',#5600,#5759,#131,.T.); +#4376=EDGE_CURVE('',#5742,#5758,#96,.F.); +#4377=EDGE_CURVE('',#5759,#5743,#97,.T.); +#4378=EDGE_CURVE('',#5760,#5761,#6920,.T.); +#4379=EDGE_CURVE('',#5760,#5762,#6921,.T.); +#4380=EDGE_CURVE('',#5762,#5763,#6922,.T.); +#4381=EDGE_CURVE('',#5763,#5764,#6923,.T.); +#4382=EDGE_CURVE('',#5765,#5764,#6924,.T.); +#4383=EDGE_CURVE('',#5766,#5765,#6925,.T.); +#4384=EDGE_CURVE('',#5767,#5766,#6926,.T.); +#4385=EDGE_CURVE('',#5767,#5761,#6927,.T.); +#4386=EDGE_CURVE('',#5761,#5768,#6928,.T.); +#4387=EDGE_CURVE('',#5769,#5767,#6929,.T.); +#4388=EDGE_CURVE('',#5770,#5769,#6930,.T.); +#4389=EDGE_CURVE('',#5770,#5764,#6931,.T.); +#4390=EDGE_CURVE('',#5763,#5771,#6932,.T.); +#4391=EDGE_CURVE('',#5771,#5768,#6933,.T.); +#4392=EDGE_CURVE('',#5768,#5772,#364,.T.); +#4393=EDGE_CURVE('',#5771,#5773,#365,.T.); +#4394=EDGE_CURVE('',#5773,#5772,#6934,.T.); +#4395=EDGE_CURVE('',#5772,#5774,#6935,.T.); +#4396=EDGE_CURVE('',#5773,#5775,#6936,.T.); +#4397=EDGE_CURVE('',#5775,#5774,#6937,.T.); +#4398=EDGE_CURVE('',#5774,#5776,#366,.T.); +#4399=EDGE_CURVE('',#5775,#5777,#367,.T.); +#4400=EDGE_CURVE('',#5777,#5776,#6938,.T.); +#4401=EDGE_CURVE('',#5776,#5778,#6939,.T.); +#4402=EDGE_CURVE('',#5777,#5779,#6940,.T.); +#4403=EDGE_CURVE('',#5779,#5778,#6941,.T.); +#4404=EDGE_CURVE('',#5778,#5780,#6942,.T.); +#4405=EDGE_CURVE('',#5779,#5781,#6943,.T.); +#4406=EDGE_CURVE('',#5781,#5780,#6944,.T.); +#4407=EDGE_CURVE('',#5780,#5782,#6945,.T.); +#4408=EDGE_CURVE('',#5781,#5783,#6946,.T.); +#4409=EDGE_CURVE('',#5783,#5782,#6947,.T.); +#4410=EDGE_CURVE('',#5782,#5784,#368,.T.); +#4411=EDGE_CURVE('',#5783,#5785,#369,.T.); +#4412=EDGE_CURVE('',#5785,#5784,#6948,.T.); +#4413=EDGE_CURVE('',#5784,#5786,#6949,.T.); +#4414=EDGE_CURVE('',#5785,#5787,#6950,.T.); +#4415=EDGE_CURVE('',#5787,#5786,#6951,.T.); +#4416=EDGE_CURVE('',#5786,#5788,#370,.T.); +#4417=EDGE_CURVE('',#5787,#5789,#371,.T.); +#4418=EDGE_CURVE('',#5789,#5788,#6952,.T.); +#4419=EDGE_CURVE('',#5789,#5790,#6953,.T.); +#4420=EDGE_CURVE('',#5790,#5791,#6954,.T.); +#4421=EDGE_CURVE('',#5788,#5791,#6955,.T.); +#4422=EDGE_CURVE('',#5762,#5790,#6956,.F.); +#4423=EDGE_CURVE('',#5791,#5760,#6957,.F.); +#4424=EDGE_CURVE('',#5792,#5766,#6958,.T.); +#4425=EDGE_CURVE('',#5769,#5792,#6959,.T.); +#4426=EDGE_CURVE('',#5793,#5765,#6960,.T.); +#4427=EDGE_CURVE('',#5792,#5793,#6961,.T.); +#4428=EDGE_CURVE('',#5793,#5770,#6962,.T.); +#4429=EDGE_CURVE('',#5794,#5795,#6963,.T.); +#4430=EDGE_CURVE('',#5794,#5796,#6964,.T.); +#4431=EDGE_CURVE('',#5796,#5797,#6965,.T.); +#4432=EDGE_CURVE('',#5797,#5798,#6966,.T.); +#4433=EDGE_CURVE('',#5799,#5798,#6967,.T.); +#4434=EDGE_CURVE('',#5800,#5799,#6968,.T.); +#4435=EDGE_CURVE('',#5801,#5800,#6969,.T.); +#4436=EDGE_CURVE('',#5801,#5795,#6970,.T.); +#4437=EDGE_CURVE('',#5795,#5802,#6971,.T.); +#4438=EDGE_CURVE('',#5803,#5801,#6972,.T.); +#4439=EDGE_CURVE('',#5804,#5803,#6973,.T.); +#4440=EDGE_CURVE('',#5804,#5798,#6974,.T.); +#4441=EDGE_CURVE('',#5797,#5805,#6975,.T.); +#4442=EDGE_CURVE('',#5805,#5802,#6976,.T.); +#4443=EDGE_CURVE('',#5802,#5806,#372,.T.); +#4444=EDGE_CURVE('',#5805,#5807,#373,.T.); +#4445=EDGE_CURVE('',#5807,#5806,#6977,.T.); +#4446=EDGE_CURVE('',#5806,#5808,#6978,.T.); +#4447=EDGE_CURVE('',#5807,#5809,#6979,.T.); +#4448=EDGE_CURVE('',#5809,#5808,#6980,.T.); +#4449=EDGE_CURVE('',#5808,#5810,#374,.T.); +#4450=EDGE_CURVE('',#5809,#5811,#375,.T.); +#4451=EDGE_CURVE('',#5811,#5810,#6981,.T.); +#4452=EDGE_CURVE('',#5810,#5812,#6982,.T.); +#4453=EDGE_CURVE('',#5811,#5813,#6983,.T.); +#4454=EDGE_CURVE('',#5813,#5812,#6984,.T.); +#4455=EDGE_CURVE('',#5812,#5814,#6985,.T.); +#4456=EDGE_CURVE('',#5813,#5815,#6986,.T.); +#4457=EDGE_CURVE('',#5815,#5814,#6987,.T.); +#4458=EDGE_CURVE('',#5814,#5816,#6988,.T.); +#4459=EDGE_CURVE('',#5815,#5817,#6989,.T.); +#4460=EDGE_CURVE('',#5817,#5816,#6990,.T.); +#4461=EDGE_CURVE('',#5816,#5818,#376,.T.); +#4462=EDGE_CURVE('',#5817,#5819,#377,.T.); +#4463=EDGE_CURVE('',#5819,#5818,#6991,.T.); +#4464=EDGE_CURVE('',#5818,#5820,#6992,.T.); +#4465=EDGE_CURVE('',#5819,#5821,#6993,.T.); +#4466=EDGE_CURVE('',#5821,#5820,#6994,.T.); +#4467=EDGE_CURVE('',#5820,#5822,#378,.T.); +#4468=EDGE_CURVE('',#5821,#5823,#379,.T.); +#4469=EDGE_CURVE('',#5823,#5822,#6995,.T.); +#4470=EDGE_CURVE('',#5823,#5824,#6996,.T.); +#4471=EDGE_CURVE('',#5824,#5825,#6997,.T.); +#4472=EDGE_CURVE('',#5822,#5825,#6998,.T.); +#4473=EDGE_CURVE('',#5796,#5824,#6999,.F.); +#4474=EDGE_CURVE('',#5825,#5794,#7000,.F.); +#4475=EDGE_CURVE('',#5826,#5800,#7001,.T.); +#4476=EDGE_CURVE('',#5803,#5826,#7002,.T.); +#4477=EDGE_CURVE('',#5827,#5799,#7003,.T.); +#4478=EDGE_CURVE('',#5826,#5827,#7004,.T.); +#4479=EDGE_CURVE('',#5827,#5804,#7005,.T.); +#4480=EDGE_CURVE('',#5828,#5829,#7006,.F.); +#4481=EDGE_CURVE('',#5829,#5830,#380,.T.); +#4482=EDGE_CURVE('',#5830,#5831,#7007,.T.); +#4483=EDGE_CURVE('',#5831,#5832,#381,.F.); +#4484=EDGE_CURVE('',#5832,#5833,#7008,.T.); +#4485=EDGE_CURVE('',#5833,#5834,#382,.F.); +#4486=EDGE_CURVE('',#5834,#5835,#7009,.T.); +#4487=EDGE_CURVE('',#5835,#5836,#383,.T.); +#4488=EDGE_CURVE('',#5836,#5837,#7010,.F.); +#4489=EDGE_CURVE('',#5837,#5838,#7011,.F.); +#4490=EDGE_CURVE('',#5839,#5838,#7012,.F.); +#4491=EDGE_CURVE('',#5839,#5840,#384,.T.); +#4492=EDGE_CURVE('',#5840,#5841,#7013,.T.); +#4493=EDGE_CURVE('',#5841,#5842,#385,.F.); +#4494=EDGE_CURVE('',#5842,#5843,#7014,.T.); +#4495=EDGE_CURVE('',#5843,#5844,#386,.F.); +#4496=EDGE_CURVE('',#5844,#5845,#7015,.T.); +#4497=EDGE_CURVE('',#5845,#5846,#387,.T.); +#4498=EDGE_CURVE('',#5847,#5846,#7016,.F.); +#4499=EDGE_CURVE('',#5847,#5848,#388,.T.); +#4500=EDGE_CURVE('',#5848,#5849,#7017,.T.); +#4501=EDGE_CURVE('',#5849,#5850,#389,.F.); +#4502=EDGE_CURVE('',#5850,#5851,#7018,.T.); +#4503=EDGE_CURVE('',#5851,#5852,#390,.F.); +#4504=EDGE_CURVE('',#5852,#5853,#7019,.T.); +#4505=EDGE_CURVE('',#5853,#5854,#391,.T.); +#4506=EDGE_CURVE('',#5855,#5854,#7020,.F.); +#4507=EDGE_CURVE('',#5828,#5855,#7021,.T.); +#4508=EDGE_CURVE('',#5856,#5857,#7022,.T.); +#4509=EDGE_CURVE('',#5857,#5858,#392,.F.); +#4510=EDGE_CURVE('',#5859,#5858,#7023,.T.); +#4511=EDGE_CURVE('',#5859,#5860,#7024,.T.); +#4512=EDGE_CURVE('',#5860,#5861,#7025,.T.); +#4513=EDGE_CURVE('',#5861,#5862,#393,.F.); +#4514=EDGE_CURVE('',#5862,#5863,#7026,.T.); +#4515=EDGE_CURVE('',#5863,#5864,#394,.T.); +#4516=EDGE_CURVE('',#5864,#5865,#7027,.T.); +#4517=EDGE_CURVE('',#5865,#5866,#395,.T.); +#4518=EDGE_CURVE('',#5866,#5867,#7028,.T.); +#4519=EDGE_CURVE('',#5867,#5868,#396,.F.); +#4520=EDGE_CURVE('',#5868,#5869,#7029,.T.); +#4521=EDGE_CURVE('',#5869,#5870,#397,.F.); +#4522=EDGE_CURVE('',#5870,#5871,#7030,.T.); +#4523=EDGE_CURVE('',#5871,#5872,#398,.T.); +#4524=EDGE_CURVE('',#5872,#5873,#7031,.T.); +#4525=EDGE_CURVE('',#5873,#5874,#399,.T.); +#4526=EDGE_CURVE('',#5874,#5875,#7032,.T.); +#4527=EDGE_CURVE('',#5875,#5876,#400,.F.); +#4528=EDGE_CURVE('',#5876,#5877,#7033,.T.); +#4529=EDGE_CURVE('',#5878,#5877,#7034,.T.); +#4530=EDGE_CURVE('',#5879,#5878,#7035,.T.); +#4531=EDGE_CURVE('',#5879,#5880,#401,.F.); +#4532=EDGE_CURVE('',#5880,#5881,#7036,.T.); +#4533=EDGE_CURVE('',#5881,#5882,#402,.T.); +#4534=EDGE_CURVE('',#5882,#5883,#7037,.T.); +#4535=EDGE_CURVE('',#5883,#5856,#403,.T.); +#4536=EDGE_CURVE('',#5836,#5879,#7038,.T.); +#4537=EDGE_CURVE('',#5878,#5884,#404,.T.); +#4538=EDGE_CURVE('',#5884,#5885,#7039,.T.); +#4539=EDGE_CURVE('',#5885,#5886,#7040,.F.); +#4540=EDGE_CURVE('',#5887,#5886,#7041,.F.); +#4541=EDGE_CURVE('',#5837,#5887,#405,.F.); +#4542=EDGE_CURVE('',#5858,#5829,#7042,.T.); +#4543=EDGE_CURVE('',#5888,#5828,#406,.F.); +#4544=EDGE_CURVE('',#5889,#5888,#7043,.F.); +#4545=EDGE_CURVE('',#5889,#5890,#7044,.T.); +#4546=EDGE_CURVE('',#5890,#5891,#7045,.T.); +#4547=EDGE_CURVE('',#5891,#5859,#407,.T.); +#4548=EDGE_CURVE('',#5892,#5893,#7046,.T.); +#4549=EDGE_CURVE('',#5892,#5894,#7047,.T.); +#4550=EDGE_CURVE('',#5894,#5895,#7048,.T.); +#4551=EDGE_CURVE('',#5895,#5896,#7049,.T.); +#4552=EDGE_CURVE('',#5896,#5893,#7050,.T.); +#4553=EDGE_CURVE('',#5868,#5847,#7051,.F.); +#4554=EDGE_CURVE('',#5846,#5869,#7052,.T.); +#4555=EDGE_CURVE('',#5854,#5861,#7053,.T.); +#4556=EDGE_CURVE('',#5897,#5860,#408,.T.); +#4557=EDGE_CURVE('',#5898,#5897,#7054,.T.); +#4558=EDGE_CURVE('',#5898,#5899,#409,.F.); +#4559=EDGE_CURVE('',#5900,#5899,#7055,.T.); +#4560=EDGE_CURVE('',#5900,#5901,#410,.F.); +#4561=EDGE_CURVE('',#5902,#5901,#7056,.T.); +#4562=EDGE_CURVE('',#5902,#5903,#7057,.F.); +#4563=EDGE_CURVE('',#5903,#5904,#7058,.F.); +#4564=EDGE_CURVE('',#5904,#5905,#411,.F.); +#4565=EDGE_CURVE('',#5905,#5906,#7059,.T.); +#4566=EDGE_CURVE('',#5906,#5907,#412,.F.); +#4567=EDGE_CURVE('',#5907,#5908,#7060,.F.); +#4568=EDGE_CURVE('',#5908,#5855,#413,.F.); +#4569=EDGE_CURVE('',#5909,#5910,#7061,.F.); +#4570=EDGE_CURVE('',#5910,#5911,#7062,.T.); +#4571=EDGE_CURVE('',#5912,#5911,#7063,.T.); +#4572=EDGE_CURVE('',#5912,#5909,#7064,.F.); +#4573=EDGE_CURVE('',#5913,#5914,#7065,.F.); +#4574=EDGE_CURVE('',#5914,#5915,#7066,.T.); +#4575=EDGE_CURVE('',#5916,#5915,#7067,.T.); +#4576=EDGE_CURVE('',#5916,#5913,#7068,.F.); +#4577=EDGE_CURVE('',#5917,#5918,#7069,.T.); +#4578=EDGE_CURVE('',#5917,#5919,#7070,.T.); +#4579=EDGE_CURVE('',#5919,#5920,#7071,.T.); +#4580=EDGE_CURVE('',#5920,#5921,#7072,.T.); +#4581=EDGE_CURVE('',#5921,#5918,#7073,.T.); +#4582=EDGE_CURVE('',#5922,#5923,#7074,.F.); +#4583=EDGE_CURVE('',#5923,#5924,#7075,.F.); +#4584=EDGE_CURVE('',#5925,#5924,#7076,.T.); +#4585=EDGE_CURVE('',#5925,#5926,#414,.F.); +#4586=EDGE_CURVE('',#5927,#5926,#7077,.T.); +#4587=EDGE_CURVE('',#5927,#5928,#415,.F.); +#4588=EDGE_CURVE('',#5929,#5928,#7078,.T.); +#4589=EDGE_CURVE('',#5877,#5929,#416,.T.); +#4590=EDGE_CURVE('',#5876,#5839,#7079,.F.); +#4591=EDGE_CURVE('',#5838,#5930,#417,.F.); +#4592=EDGE_CURVE('',#5930,#5931,#7080,.F.); +#4593=EDGE_CURVE('',#5931,#5932,#418,.F.); +#4594=EDGE_CURVE('',#5932,#5933,#7081,.T.); +#4595=EDGE_CURVE('',#5933,#5922,#419,.F.); +#4596=EDGE_CURVE('',#5934,#5935,#7082,.T.); +#4597=EDGE_CURVE('',#5935,#5936,#7083,.F.); +#4598=EDGE_CURVE('',#5937,#5936,#7084,.F.); +#4599=EDGE_CURVE('',#5937,#5934,#7085,.T.); +#4600=EDGE_CURVE('',#5938,#5939,#7086,.T.); +#4601=EDGE_CURVE('',#5939,#5940,#7087,.F.); +#4602=EDGE_CURVE('',#5941,#5940,#7088,.F.); +#4603=EDGE_CURVE('',#5941,#5938,#7089,.T.); +#4604=EDGE_CURVE('',#5903,#5910,#420,.T.); +#4605=EDGE_CURVE('',#5909,#5942,#421,.F.); +#4606=EDGE_CURVE('',#5943,#5942,#7090,.T.); +#4607=EDGE_CURVE('',#5943,#5944,#422,.T.); +#4608=EDGE_CURVE('',#5945,#5944,#7091,.T.); +#4609=EDGE_CURVE('',#5945,#5946,#423,.T.); +#4610=EDGE_CURVE('',#5947,#5946,#7092,.T.); +#4611=EDGE_CURVE('',#5947,#5914,#424,.F.); +#4612=EDGE_CURVE('',#5913,#5948,#425,.F.); +#4613=EDGE_CURVE('',#5948,#5949,#7093,.T.); +#4614=EDGE_CURVE('',#5949,#5950,#426,.T.); +#4615=EDGE_CURVE('',#5950,#5951,#7094,.T.); +#4616=EDGE_CURVE('',#5951,#5889,#427,.T.); +#4617=EDGE_CURVE('',#5888,#5908,#7095,.F.); +#4618=EDGE_CURVE('',#5952,#5907,#7096,.T.); +#4619=EDGE_CURVE('',#5953,#5952,#7097,.T.); +#4620=EDGE_CURVE('',#5904,#5953,#7098,.T.); +#4621=EDGE_CURVE('',#5954,#5895,#7099,.T.); +#4622=EDGE_CURVE('',#5955,#5954,#7100,.T.); +#4623=EDGE_CURVE('',#5956,#5955,#7101,.T.); +#4624=EDGE_CURVE('',#5957,#5956,#7102,.T.); +#4625=EDGE_CURVE('',#5896,#5957,#7103,.T.); +#4626=EDGE_CURVE('',#5887,#5930,#7104,.T.); +#4627=EDGE_CURVE('',#5936,#5923,#428,.T.); +#4628=EDGE_CURVE('',#5958,#5922,#7105,.T.); +#4629=EDGE_CURVE('',#5959,#5958,#7106,.T.); +#4630=EDGE_CURVE('',#5931,#5959,#7107,.T.); +#4631=EDGE_CURVE('',#5886,#5960,#429,.T.); +#4632=EDGE_CURVE('',#5960,#5961,#7108,.T.); +#4633=EDGE_CURVE('',#5961,#5962,#430,.T.); +#4634=EDGE_CURVE('',#5962,#5963,#7109,.T.); +#4635=EDGE_CURVE('',#5963,#5941,#431,.F.); +#4636=EDGE_CURVE('',#5940,#5964,#432,.F.); +#4637=EDGE_CURVE('',#5965,#5964,#7110,.T.); +#4638=EDGE_CURVE('',#5965,#5966,#433,.T.); +#4639=EDGE_CURVE('',#5967,#5966,#7111,.T.); +#4640=EDGE_CURVE('',#5967,#5968,#434,.T.); +#4641=EDGE_CURVE('',#5969,#5968,#7112,.T.); +#4642=EDGE_CURVE('',#5969,#5937,#435,.F.); +#4643=EDGE_CURVE('',#5970,#5920,#7113,.T.); +#4644=EDGE_CURVE('',#5971,#5970,#7114,.T.); +#4645=EDGE_CURVE('',#5972,#5971,#7115,.T.); +#4646=EDGE_CURVE('',#5973,#5972,#7116,.T.); +#4647=EDGE_CURVE('',#5921,#5973,#7117,.T.); +#4648=EDGE_CURVE('',#5911,#5902,#436,.F.); +#4649=EDGE_CURVE('',#5901,#5974,#7118,.T.); +#4650=EDGE_CURVE('',#5974,#5975,#7119,.T.); +#4651=EDGE_CURVE('',#5975,#5898,#7120,.T.); +#4652=EDGE_CURVE('',#5891,#5897,#7121,.T.); +#4653=EDGE_CURVE('',#5890,#5976,#437,.F.); +#4654=EDGE_CURVE('',#5977,#5976,#7122,.T.); +#4655=EDGE_CURVE('',#5977,#5978,#438,.F.); +#4656=EDGE_CURVE('',#5979,#5978,#7123,.T.); +#4657=EDGE_CURVE('',#5979,#5916,#439,.T.); +#4658=EDGE_CURVE('',#5915,#5980,#440,.T.); +#4659=EDGE_CURVE('',#5980,#5981,#7124,.T.); +#4660=EDGE_CURVE('',#5981,#5982,#441,.F.); +#4661=EDGE_CURVE('',#5982,#5983,#7125,.T.); +#4662=EDGE_CURVE('',#5983,#5984,#442,.F.); +#4663=EDGE_CURVE('',#5984,#5985,#7126,.T.); +#4664=EDGE_CURVE('',#5985,#5912,#443,.T.); +#4665=EDGE_CURVE('',#5986,#5892,#7127,.T.); +#4666=EDGE_CURVE('',#5893,#5987,#7128,.T.); +#4667=EDGE_CURVE('',#5987,#5986,#7129,.T.); +#4668=EDGE_CURVE('',#5884,#5929,#7130,.T.); +#4669=EDGE_CURVE('',#5924,#5935,#444,.F.); +#4670=EDGE_CURVE('',#5934,#5988,#445,.T.); +#4671=EDGE_CURVE('',#5988,#5989,#7131,.T.); +#4672=EDGE_CURVE('',#5989,#5990,#446,.F.); +#4673=EDGE_CURVE('',#5990,#5991,#7132,.T.); +#4674=EDGE_CURVE('',#5991,#5992,#447,.F.); +#4675=EDGE_CURVE('',#5992,#5993,#7133,.T.); +#4676=EDGE_CURVE('',#5993,#5939,#448,.T.); +#4677=EDGE_CURVE('',#5938,#5994,#449,.T.); +#4678=EDGE_CURVE('',#5995,#5994,#7134,.T.); +#4679=EDGE_CURVE('',#5995,#5996,#450,.F.); +#4680=EDGE_CURVE('',#5997,#5996,#7135,.T.); +#4681=EDGE_CURVE('',#5997,#5885,#451,.F.); +#4682=EDGE_CURVE('',#5928,#5998,#7136,.T.); +#4683=EDGE_CURVE('',#5998,#5999,#7137,.T.); +#4684=EDGE_CURVE('',#5999,#5925,#7138,.T.); +#4685=EDGE_CURVE('',#6000,#5917,#7139,.T.); +#4686=EDGE_CURVE('',#5918,#6001,#7140,.T.); +#4687=EDGE_CURVE('',#6001,#6000,#7141,.T.); +#4688=EDGE_CURVE('',#5996,#5961,#7142,.F.); +#4689=EDGE_CURVE('',#5960,#5997,#7143,.T.); +#4690=EDGE_CURVE('',#5994,#5963,#7144,.F.); +#4691=EDGE_CURVE('',#5962,#5995,#7145,.T.); +#4692=EDGE_CURVE('',#5988,#5969,#7146,.F.); +#4693=EDGE_CURVE('',#5968,#5989,#7147,.T.); +#4694=EDGE_CURVE('',#5990,#5967,#7148,.F.); +#4695=EDGE_CURVE('',#5966,#5991,#7149,.T.); +#4696=EDGE_CURVE('',#5964,#5993,#7150,.T.); +#4697=EDGE_CURVE('',#5992,#5965,#7151,.F.); +#4698=EDGE_CURVE('',#5870,#5845,#7152,.T.); +#4699=EDGE_CURVE('',#5844,#5871,#7153,.T.); +#4700=EDGE_CURVE('',#5842,#5873,#7154,.T.); +#4701=EDGE_CURVE('',#5872,#5843,#7155,.F.); +#4702=EDGE_CURVE('',#5840,#5875,#7156,.F.); +#4703=EDGE_CURVE('',#5874,#5841,#7157,.F.); +#4704=EDGE_CURVE('',#5973,#6001,#7158,.T.); +#4705=EDGE_CURVE('',#6002,#5972,#7159,.T.); +#4706=EDGE_CURVE('',#6000,#6002,#7160,.T.); +#4707=EDGE_CURVE('',#5972,#6003,#7161,.T.); +#4708=EDGE_CURVE('',#6003,#5971,#7162,.T.); +#4709=EDGE_CURVE('',#6004,#5970,#7163,.T.); +#4710=EDGE_CURVE('',#6003,#6004,#7164,.T.); +#4711=EDGE_CURVE('',#6002,#5919,#7165,.T.); +#4712=EDGE_CURVE('',#5920,#6004,#7166,.T.); +#4713=EDGE_CURVE('',#6005,#6006,#7167,.F.); +#4714=EDGE_CURVE('',#6006,#5933,#7168,.F.); +#4715=EDGE_CURVE('',#5932,#6005,#7169,.F.); +#4716=EDGE_CURVE('',#6007,#5927,#7170,.T.); +#4717=EDGE_CURVE('',#5926,#6008,#7171,.T.); +#4718=EDGE_CURVE('',#6008,#6007,#7172,.T.); +#4719=EDGE_CURVE('',#6005,#5959,#132,.F.); +#4720=EDGE_CURVE('',#6006,#5958,#133,.F.); +#4721=EDGE_CURVE('',#5998,#6007,#134,.T.); +#4722=EDGE_CURVE('',#5999,#6008,#135,.T.); +#4723=EDGE_CURVE('',#5948,#5979,#7173,.F.); +#4724=EDGE_CURVE('',#5978,#5949,#7174,.F.); +#4725=EDGE_CURVE('',#5950,#5977,#7175,.T.); +#4726=EDGE_CURVE('',#5976,#5951,#7176,.F.); +#4727=EDGE_CURVE('',#5942,#5985,#7177,.F.); +#4728=EDGE_CURVE('',#5984,#5943,#7178,.F.); +#4729=EDGE_CURVE('',#5980,#5947,#7179,.T.); +#4730=EDGE_CURVE('',#5946,#5981,#7180,.T.); +#4731=EDGE_CURVE('',#5944,#5983,#7181,.T.); +#4732=EDGE_CURVE('',#5982,#5945,#7182,.F.); +#4733=EDGE_CURVE('',#5848,#5867,#7183,.F.); +#4734=EDGE_CURVE('',#5866,#5849,#7184,.F.); +#4735=EDGE_CURVE('',#5862,#5853,#7185,.T.); +#4736=EDGE_CURVE('',#5852,#5863,#7186,.T.); +#4737=EDGE_CURVE('',#5864,#5851,#7187,.F.); +#4738=EDGE_CURVE('',#5850,#5865,#7188,.T.); +#4739=EDGE_CURVE('',#5957,#5987,#7189,.T.); +#4740=EDGE_CURVE('',#6009,#5956,#7190,.T.); +#4741=EDGE_CURVE('',#5986,#6009,#7191,.T.); +#4742=EDGE_CURVE('',#5956,#6010,#7192,.T.); +#4743=EDGE_CURVE('',#6010,#5955,#7193,.T.); +#4744=EDGE_CURVE('',#6011,#5954,#7194,.T.); +#4745=EDGE_CURVE('',#6010,#6011,#7195,.T.); +#4746=EDGE_CURVE('',#6009,#5894,#7196,.T.); +#4747=EDGE_CURVE('',#5895,#6011,#7197,.T.); +#4748=EDGE_CURVE('',#6012,#6013,#7198,.F.); +#4749=EDGE_CURVE('',#6013,#5906,#7199,.F.); +#4750=EDGE_CURVE('',#5905,#6012,#7200,.F.); +#4751=EDGE_CURVE('',#6014,#5900,#7201,.T.); +#4752=EDGE_CURVE('',#5899,#6015,#7202,.T.); +#4753=EDGE_CURVE('',#6015,#6014,#7203,.T.); +#4754=EDGE_CURVE('',#6012,#5953,#136,.F.); +#4755=EDGE_CURVE('',#6013,#5952,#137,.F.); +#4756=EDGE_CURVE('',#5974,#6014,#138,.T.); +#4757=EDGE_CURVE('',#5975,#6015,#139,.T.); +#4758=EDGE_CURVE('',#5832,#5883,#7204,.T.); +#4759=EDGE_CURVE('',#5882,#5833,#7205,.F.); +#4760=EDGE_CURVE('',#5880,#5835,#7206,.F.); +#4761=EDGE_CURVE('',#5834,#5881,#7207,.T.); +#4762=EDGE_CURVE('',#5856,#5831,#7208,.F.); +#4763=EDGE_CURVE('',#5830,#5857,#7209,.F.); +#4764=EDGE_CURVE('',#6016,#6017,#7210,.T.); +#4765=EDGE_CURVE('',#6018,#6016,#7211,.T.); +#4766=EDGE_CURVE('',#6018,#6019,#7212,.T.); +#4767=EDGE_CURVE('',#6019,#6017,#7213,.T.); +#4768=EDGE_CURVE('',#6020,#6021,#452,.F.); +#4769=EDGE_CURVE('',#6022,#6020,#7214,.T.); +#4770=EDGE_CURVE('',#6023,#6022,#453,.T.); +#4771=EDGE_CURVE('',#6021,#6023,#7215,.F.); +#4772=EDGE_CURVE('',#6024,#6025,#7216,.F.); +#4773=EDGE_CURVE('',#6025,#6026,#454,.F.); +#4774=EDGE_CURVE('',#6026,#6027,#7217,.F.); +#4775=EDGE_CURVE('',#6027,#6028,#455,.F.); +#4776=EDGE_CURVE('',#6028,#6029,#7218,.F.); +#4777=EDGE_CURVE('',#6029,#6030,#456,.F.); +#4778=EDGE_CURVE('',#6030,#6031,#7219,.F.); +#4779=EDGE_CURVE('',#6031,#6024,#457,.F.); +#4780=EDGE_CURVE('',#6032,#6033,#7220,.T.); +#4781=EDGE_CURVE('',#6033,#6034,#458,.T.); +#4782=EDGE_CURVE('',#6034,#6035,#7221,.T.); +#4783=EDGE_CURVE('',#6035,#6036,#459,.F.); +#4784=EDGE_CURVE('',#6036,#6037,#7222,.T.); +#4785=EDGE_CURVE('',#6037,#6038,#460,.F.); +#4786=EDGE_CURVE('',#6038,#6039,#7223,.T.); +#4787=EDGE_CURVE('',#6039,#6040,#461,.F.); +#4788=EDGE_CURVE('',#6040,#6041,#7224,.T.); +#4789=EDGE_CURVE('',#6041,#6042,#462,.F.); +#4790=EDGE_CURVE('',#6042,#6043,#7225,.T.); +#4791=EDGE_CURVE('',#6043,#6044,#463,.T.); +#4792=EDGE_CURVE('',#6044,#6045,#7226,.T.); +#4793=EDGE_CURVE('',#6045,#6046,#464,.F.); +#4794=EDGE_CURVE('',#6046,#6047,#7227,.T.); +#4795=EDGE_CURVE('',#6047,#6032,#465,.F.); +#4796=EDGE_CURVE('',#6048,#6049,#466,.F.); +#4797=EDGE_CURVE('',#6049,#6050,#7228,.F.); +#4798=EDGE_CURVE('',#6050,#6051,#467,.F.); +#4799=EDGE_CURVE('',#6051,#6052,#7229,.F.); +#4800=EDGE_CURVE('',#6052,#6053,#468,.F.); +#4801=EDGE_CURVE('',#6053,#6054,#7230,.F.); +#4802=EDGE_CURVE('',#6054,#6055,#469,.F.); +#4803=EDGE_CURVE('',#6055,#6048,#7231,.F.); +#4804=EDGE_CURVE('',#6056,#6057,#7232,.T.); +#4805=EDGE_CURVE('',#6057,#6058,#470,.T.); +#4806=EDGE_CURVE('',#6058,#6059,#7233,.T.); +#4807=EDGE_CURVE('',#6059,#6060,#471,.F.); +#4808=EDGE_CURVE('',#6060,#6061,#7234,.T.); +#4809=EDGE_CURVE('',#6061,#6062,#472,.F.); +#4810=EDGE_CURVE('',#6062,#6063,#7235,.T.); +#4811=EDGE_CURVE('',#6063,#6064,#473,.T.); +#4812=EDGE_CURVE('',#6064,#6065,#7236,.T.); +#4813=EDGE_CURVE('',#6065,#6066,#474,.F.); +#4814=EDGE_CURVE('',#6066,#6067,#7237,.T.); +#4815=EDGE_CURVE('',#6067,#6068,#475,.F.); +#4816=EDGE_CURVE('',#6068,#6069,#7238,.T.); +#4817=EDGE_CURVE('',#6069,#6070,#476,.F.); +#4818=EDGE_CURVE('',#6070,#6071,#7239,.T.); +#4819=EDGE_CURVE('',#6071,#6056,#477,.F.); +#4820=EDGE_CURVE('',#6072,#6073,#7240,.T.); +#4821=EDGE_CURVE('',#6072,#6074,#478,.T.); +#4822=EDGE_CURVE('',#6074,#6075,#7241,.T.); +#4823=EDGE_CURVE('',#6075,#6076,#7242,.T.); +#4824=EDGE_CURVE('',#6077,#6076,#7243,.T.); +#4825=EDGE_CURVE('',#6078,#6077,#7244,.T.); +#4826=EDGE_CURVE('',#6078,#6079,#7245,.T.); +#4827=EDGE_CURVE('',#6079,#6080,#479,.T.); +#4828=EDGE_CURVE('',#6081,#6080,#7246,.T.); +#4829=EDGE_CURVE('',#6081,#6082,#480,.F.); +#4830=EDGE_CURVE('',#6083,#6082,#7247,.T.); +#4831=EDGE_CURVE('',#6084,#6083,#7248,.T.); +#4832=EDGE_CURVE('',#6085,#6084,#7249,.T.); +#4833=EDGE_CURVE('',#6020,#6085,#7250,.T.); +#4834=EDGE_CURVE('',#6021,#6086,#7251,.T.); +#4835=EDGE_CURVE('',#6086,#6087,#481,.F.); +#4836=EDGE_CURVE('',#6017,#6087,#7252,.T.); +#4837=EDGE_CURVE('',#6019,#6088,#7253,.T.); +#4838=EDGE_CURVE('',#6089,#6088,#7254,.T.); +#4839=EDGE_CURVE('',#6089,#6073,#482,.F.); +#4840=EDGE_CURVE('',#6090,#6091,#7255,.T.); +#4841=EDGE_CURVE('',#6090,#6092,#483,.F.); +#4842=EDGE_CURVE('',#6093,#6092,#7256,.T.); +#4843=EDGE_CURVE('',#6093,#6094,#484,.T.); +#4844=EDGE_CURVE('',#6095,#6094,#7257,.T.); +#4845=EDGE_CURVE('',#6095,#6096,#485,.T.); +#4846=EDGE_CURVE('',#6097,#6096,#7258,.T.); +#4847=EDGE_CURVE('',#6097,#6098,#486,.T.); +#4848=EDGE_CURVE('',#6099,#6098,#7259,.T.); +#4849=EDGE_CURVE('',#6099,#6100,#487,.T.); +#4850=EDGE_CURVE('',#6101,#6100,#7260,.T.); +#4851=EDGE_CURVE('',#6101,#6102,#488,.F.); +#4852=EDGE_CURVE('',#6103,#6102,#7261,.T.); +#4853=EDGE_CURVE('',#6103,#6104,#489,.T.); +#4854=EDGE_CURVE('',#6105,#6104,#7262,.T.); +#4855=EDGE_CURVE('',#6105,#6091,#490,.T.); +#4856=EDGE_CURVE('',#6106,#6107,#7263,.T.); +#4857=EDGE_CURVE('',#6107,#6108,#491,.T.); +#4858=EDGE_CURVE('',#6108,#6109,#7264,.T.); +#4859=EDGE_CURVE('',#6109,#6110,#492,.T.); +#4860=EDGE_CURVE('',#6110,#6111,#7265,.T.); +#4861=EDGE_CURVE('',#6111,#6112,#493,.T.); +#4862=EDGE_CURVE('',#6112,#6113,#7266,.T.); +#4863=EDGE_CURVE('',#6113,#6106,#494,.T.); +#4864=EDGE_CURVE('',#6114,#6115,#7267,.T.); +#4865=EDGE_CURVE('',#6114,#6116,#495,.F.); +#4866=EDGE_CURVE('',#6117,#6116,#7268,.T.); +#4867=EDGE_CURVE('',#6117,#6118,#496,.T.); +#4868=EDGE_CURVE('',#6119,#6118,#7269,.T.); +#4869=EDGE_CURVE('',#6119,#6120,#497,.T.); +#4870=EDGE_CURVE('',#6121,#6120,#7270,.T.); +#4871=EDGE_CURVE('',#6121,#6122,#498,.F.); +#4872=EDGE_CURVE('',#6123,#6122,#7271,.T.); +#4873=EDGE_CURVE('',#6123,#6124,#499,.T.); +#4874=EDGE_CURVE('',#6125,#6124,#7272,.T.); +#4875=EDGE_CURVE('',#6125,#6126,#500,.T.); +#4876=EDGE_CURVE('',#6127,#6126,#7273,.T.); +#4877=EDGE_CURVE('',#6127,#6128,#501,.T.); +#4878=EDGE_CURVE('',#6129,#6128,#7274,.T.); +#4879=EDGE_CURVE('',#6129,#6115,#502,.T.); +#4880=EDGE_CURVE('',#6130,#6131,#503,.T.); +#4881=EDGE_CURVE('',#6131,#6132,#7275,.T.); +#4882=EDGE_CURVE('',#6132,#6133,#504,.T.); +#4883=EDGE_CURVE('',#6133,#6134,#7276,.T.); +#4884=EDGE_CURVE('',#6134,#6135,#505,.T.); +#4885=EDGE_CURVE('',#6135,#6136,#7277,.T.); +#4886=EDGE_CURVE('',#6136,#6137,#506,.T.); +#4887=EDGE_CURVE('',#6137,#6130,#7278,.T.); +#4888=EDGE_CURVE('',#6138,#6139,#7279,.F.); +#4889=EDGE_CURVE('',#6138,#6140,#507,.F.); +#4890=EDGE_CURVE('',#6140,#6141,#7280,.T.); +#4891=EDGE_CURVE('',#6141,#6142,#508,.T.); +#4892=EDGE_CURVE('',#6142,#6143,#7281,.T.); +#4893=EDGE_CURVE('',#6018,#6143,#7282,.T.); +#4894=EDGE_CURVE('',#6016,#6144,#7283,.F.); +#4895=EDGE_CURVE('',#6144,#6145,#509,.T.); +#4896=EDGE_CURVE('',#6023,#6145,#7284,.T.); +#4897=EDGE_CURVE('',#6022,#6146,#7285,.T.); +#4898=EDGE_CURVE('',#6146,#6147,#7286,.T.); +#4899=EDGE_CURVE('',#6147,#6148,#7287,.F.); +#4900=EDGE_CURVE('',#6148,#6149,#7288,.T.); +#4901=EDGE_CURVE('',#6149,#6150,#510,.T.); +#4902=EDGE_CURVE('',#6150,#6151,#7289,.T.); +#4903=EDGE_CURVE('',#6151,#6152,#511,.F.); +#4904=EDGE_CURVE('',#6153,#6152,#7290,.F.); +#4905=EDGE_CURVE('',#6153,#6154,#7291,.T.); +#4906=EDGE_CURVE('',#6154,#6155,#7292,.F.); +#4907=EDGE_CURVE('',#6139,#6155,#7293,.F.); +#4908=EDGE_CURVE('',#6146,#6085,#7294,.T.); +#4909=EDGE_CURVE('',#6147,#6084,#7295,.T.); +#4910=EDGE_CURVE('',#6142,#6089,#7296,.T.); +#4911=EDGE_CURVE('',#6143,#6088,#7297,.T.); +#4912=EDGE_CURVE('',#6082,#6149,#7298,.F.); +#4913=EDGE_CURVE('',#6148,#6083,#7299,.T.); +#4914=EDGE_CURVE('',#6074,#6138,#7300,.F.); +#4915=EDGE_CURVE('',#6139,#6156,#512,.T.); +#4916=EDGE_CURVE('',#6156,#6157,#7301,.F.); +#4917=EDGE_CURVE('',#6157,#6158,#7302,.T.); +#4918=EDGE_CURVE('',#6159,#6158,#7303,.T.); +#4919=EDGE_CURVE('',#6075,#6159,#513,.F.); +#4920=EDGE_CURVE('',#6069,#6096,#7304,.T.); +#4921=EDGE_CURVE('',#6070,#6095,#7305,.F.); +#4922=EDGE_CURVE('',#6160,#6161,#7306,.T.); +#4923=EDGE_CURVE('',#6161,#6162,#7307,.T.); +#4924=EDGE_CURVE('',#6163,#6162,#7308,.T.); +#4925=EDGE_CURVE('',#6160,#6163,#7309,.T.); +#4926=EDGE_CURVE('',#6164,#6165,#7310,.T.); +#4927=EDGE_CURVE('',#6166,#6164,#7311,.T.); +#4928=EDGE_CURVE('',#6166,#6167,#7312,.T.); +#4929=EDGE_CURVE('',#6167,#6165,#7313,.T.); +#4930=EDGE_CURVE('',#6168,#6169,#7314,.T.); +#4931=EDGE_CURVE('',#6170,#6169,#7315,.T.); +#4932=EDGE_CURVE('',#6171,#6170,#7316,.T.); +#4933=EDGE_CURVE('',#6171,#6172,#7317,.T.); +#4934=EDGE_CURVE('',#6172,#6173,#7318,.T.); +#4935=EDGE_CURVE('',#6173,#6174,#7319,.T.); +#4936=EDGE_CURVE('',#6174,#6175,#7320,.T.); +#4937=EDGE_CURVE('',#6175,#6168,#7321,.T.); +#4938=EDGE_CURVE('',#6176,#6177,#7322,.T.); +#4939=EDGE_CURVE('',#6177,#6173,#514,.F.); +#4940=EDGE_CURVE('',#6172,#6176,#515,.F.); +#4941=EDGE_CURVE('',#6168,#6178,#516,.F.); +#4942=EDGE_CURVE('',#6178,#6179,#7323,.T.); +#4943=EDGE_CURVE('',#6169,#6179,#517,.F.); +#4944=EDGE_CURVE('',#6180,#6181,#7324,.T.); +#4945=EDGE_CURVE('',#6181,#6177,#7325,.T.); +#4946=EDGE_CURVE('',#6176,#6182,#7326,.T.); +#4947=EDGE_CURVE('',#6182,#6163,#7327,.T.); +#4948=EDGE_CURVE('',#6162,#6164,#7328,.T.); +#4949=EDGE_CURVE('',#6165,#6183,#518,.T.); +#4950=EDGE_CURVE('',#6183,#6184,#7329,.T.); +#4951=EDGE_CURVE('',#6184,#6185,#7330,.T.); +#4952=EDGE_CURVE('',#6179,#6185,#7331,.T.); +#4953=EDGE_CURVE('',#6178,#6180,#7332,.T.); +#4954=EDGE_CURVE('',#6186,#6187,#7333,.T.); +#4955=EDGE_CURVE('',#6187,#6188,#7334,.F.); +#4956=EDGE_CURVE('',#6189,#6188,#7335,.F.); +#4957=EDGE_CURVE('',#6190,#6189,#7336,.T.); +#4958=EDGE_CURVE('',#6191,#6190,#7337,.T.); +#4959=EDGE_CURVE('',#6192,#6191,#7338,.T.); +#4960=EDGE_CURVE('',#6193,#6192,#7339,.F.); +#4961=EDGE_CURVE('',#6186,#6193,#7340,.T.); +#4962=EDGE_CURVE('',#6194,#6192,#519,.F.); +#4963=EDGE_CURVE('',#6195,#6194,#7341,.T.); +#4964=EDGE_CURVE('',#6193,#6195,#520,.F.); +#4965=EDGE_CURVE('',#6189,#6196,#521,.F.); +#4966=EDGE_CURVE('',#6188,#6197,#522,.T.); +#4967=EDGE_CURVE('',#6196,#6197,#7342,.T.); +#4968=EDGE_CURVE('',#6198,#6194,#7343,.T.); +#4969=EDGE_CURVE('',#6199,#6198,#7344,.T.); +#4970=EDGE_CURVE('',#6196,#6199,#7345,.T.); +#4971=EDGE_CURVE('',#6197,#6200,#7346,.F.); +#4972=EDGE_CURVE('',#6201,#6200,#7347,.F.); +#4973=EDGE_CURVE('',#6202,#6201,#7348,.F.); +#4974=EDGE_CURVE('',#6202,#6167,#523,.F.); +#4975=EDGE_CURVE('',#6161,#6166,#7349,.T.); +#4976=EDGE_CURVE('',#6203,#6160,#7350,.T.); +#4977=EDGE_CURVE('',#6195,#6203,#7351,.T.); +#4978=EDGE_CURVE('',#6039,#6126,#7352,.T.); +#4979=EDGE_CURVE('',#6040,#6125,#7353,.F.); +#4980=EDGE_CURVE('',#6204,#6205,#7354,.T.); +#4981=EDGE_CURVE('',#6206,#6204,#7355,.T.); +#4982=EDGE_CURVE('',#6206,#6207,#7356,.T.); +#4983=EDGE_CURVE('',#6207,#6205,#7357,.T.); +#4984=EDGE_CURVE('',#6208,#6209,#7358,.T.); +#4985=EDGE_CURVE('',#6209,#6210,#7359,.T.); +#4986=EDGE_CURVE('',#6211,#6210,#7360,.T.); +#4987=EDGE_CURVE('',#6211,#6208,#7361,.F.); +#4988=EDGE_CURVE('',#6212,#6213,#7362,.T.); +#4989=EDGE_CURVE('',#6213,#6214,#7363,.T.); +#4990=EDGE_CURVE('',#6214,#6215,#7364,.T.); +#4991=EDGE_CURVE('',#6215,#6216,#7365,.T.); +#4992=EDGE_CURVE('',#6216,#6217,#7366,.T.); +#4993=EDGE_CURVE('',#6218,#6217,#7367,.T.); +#4994=EDGE_CURVE('',#6159,#6218,#7368,.T.); +#4995=EDGE_CURVE('',#6158,#6211,#524,.T.); +#4996=EDGE_CURVE('',#6204,#6210,#7369,.T.); +#4997=EDGE_CURVE('',#6205,#6219,#7370,.T.); +#4998=EDGE_CURVE('',#6219,#6212,#7371,.T.); +#4999=EDGE_CURVE('',#6220,#6221,#7372,.T.); +#5000=EDGE_CURVE('',#6221,#6213,#525,.F.); +#5001=EDGE_CURVE('',#6212,#6220,#526,.F.); +#5002=EDGE_CURVE('',#6216,#6222,#527,.F.); +#5003=EDGE_CURVE('',#6222,#6223,#7373,.T.); +#5004=EDGE_CURVE('',#6217,#6223,#528,.F.); +#5005=EDGE_CURVE('',#6220,#6224,#7374,.T.); +#5006=EDGE_CURVE('',#6224,#6225,#7375,.T.); +#5007=EDGE_CURVE('',#6223,#6225,#7376,.T.); +#5008=EDGE_CURVE('',#6222,#6226,#7377,.T.); +#5009=EDGE_CURVE('',#6226,#6227,#7378,.T.); +#5010=EDGE_CURVE('',#6227,#6221,#7379,.T.); +#5011=EDGE_CURVE('',#6228,#6229,#7380,.T.); +#5012=EDGE_CURVE('',#6230,#6228,#7381,.F.); +#5013=EDGE_CURVE('',#6231,#6230,#7382,.T.); +#5014=EDGE_CURVE('',#6207,#6231,#7383,.T.); +#5015=EDGE_CURVE('',#6206,#6209,#7384,.F.); +#5016=EDGE_CURVE('',#6208,#6157,#529,.F.); +#5017=EDGE_CURVE('',#6156,#6232,#7385,.T.); +#5018=EDGE_CURVE('',#6232,#6233,#7386,.F.); +#5019=EDGE_CURVE('',#6234,#6233,#7387,.F.); +#5020=EDGE_CURVE('',#6235,#6234,#7388,.T.); +#5021=EDGE_CURVE('',#6229,#6235,#7389,.T.); +#5022=EDGE_CURVE('',#6236,#6228,#530,.F.); +#5023=EDGE_CURVE('',#6237,#6236,#7390,.T.); +#5024=EDGE_CURVE('',#6230,#6237,#531,.F.); +#5025=EDGE_CURVE('',#6234,#6238,#532,.F.); +#5026=EDGE_CURVE('',#6233,#6239,#533,.T.); +#5027=EDGE_CURVE('',#6238,#6239,#7391,.T.); +#5028=EDGE_CURVE('',#6240,#6236,#7392,.T.); +#5029=EDGE_CURVE('',#6241,#6240,#7393,.T.); +#5030=EDGE_CURVE('',#6238,#6241,#7394,.T.); +#5031=EDGE_CURVE('',#6239,#6242,#7395,.F.); +#5032=EDGE_CURVE('',#6243,#6242,#7396,.F.); +#5033=EDGE_CURVE('',#6237,#6243,#7397,.T.); +#5034=EDGE_CURVE('',#6152,#6079,#7398,.F.); +#5035=EDGE_CURVE('',#6184,#6078,#534,.F.); +#5036=EDGE_CURVE('',#6183,#6202,#7399,.F.); +#5037=EDGE_CURVE('',#6201,#6153,#535,.T.); +#5038=EDGE_CURVE('',#6244,#6245,#7400,.T.); +#5039=EDGE_CURVE('',#6244,#6246,#7401,.T.); +#5040=EDGE_CURVE('',#6246,#6247,#7402,.F.); +#5041=EDGE_CURVE('',#6247,#6245,#7403,.T.); +#5042=EDGE_CURVE('',#6248,#6249,#7404,.F.); +#5043=EDGE_CURVE('',#6248,#6250,#7405,.F.); +#5044=EDGE_CURVE('',#6250,#6251,#7406,.T.); +#5045=EDGE_CURVE('',#6249,#6251,#7407,.T.); +#5046=EDGE_CURVE('',#6252,#6253,#7408,.T.); +#5047=EDGE_CURVE('',#6251,#6253,#7409,.T.); +#5048=EDGE_CURVE('',#6252,#6250,#7410,.T.); +#5049=EDGE_CURVE('',#6253,#6170,#536,.F.); +#5050=EDGE_CURVE('',#6252,#6171,#537,.F.); +#5051=EDGE_CURVE('',#6225,#6254,#538,.F.); +#5052=EDGE_CURVE('',#6224,#6255,#539,.F.); +#5053=EDGE_CURVE('',#6255,#6254,#7411,.T.); +#5054=EDGE_CURVE('',#6254,#6245,#7412,.T.); +#5055=EDGE_CURVE('',#6244,#6255,#7413,.T.); +#5056=EDGE_CURVE('',#6249,#6256,#7414,.F.); +#5057=EDGE_CURVE('',#6257,#6256,#7415,.F.); +#5058=EDGE_CURVE('',#6248,#6257,#7416,.T.); +#5059=EDGE_CURVE('',#6256,#6187,#540,.T.); +#5060=EDGE_CURVE('',#6257,#6186,#541,.F.); +#5061=EDGE_CURVE('',#6242,#6258,#542,.T.); +#5062=EDGE_CURVE('',#6259,#6258,#7417,.T.); +#5063=EDGE_CURVE('',#6243,#6259,#543,.F.); +#5064=EDGE_CURVE('',#6258,#6247,#7418,.F.); +#5065=EDGE_CURVE('',#6259,#6246,#7419,.T.); +#5066=EDGE_CURVE('',#6200,#6154,#544,.T.); +#5067=EDGE_CURVE('',#6185,#6077,#545,.F.); +#5068=EDGE_CURVE('',#6076,#6218,#546,.F.); +#5069=EDGE_CURVE('',#6155,#6232,#547,.T.); +#5070=EDGE_CURVE('',#6182,#6203,#7420,.T.); +#5071=EDGE_CURVE('',#6231,#6219,#7421,.T.); +#5072=EDGE_CURVE('',#6240,#6227,#7422,.T.); +#5073=EDGE_CURVE('',#6229,#6214,#7423,.T.); +#5074=EDGE_CURVE('',#6241,#6226,#7424,.T.); +#5075=EDGE_CURVE('',#6235,#6215,#7425,.T.); +#5076=EDGE_CURVE('',#6124,#6041,#7426,.T.); +#5077=EDGE_CURVE('',#6036,#6129,#7427,.F.); +#5078=EDGE_CURVE('',#6128,#6037,#7428,.T.); +#5079=EDGE_CURVE('',#6122,#6043,#7429,.T.); +#5080=EDGE_CURVE('',#6042,#6123,#7430,.F.); +#5081=EDGE_CURVE('',#6120,#6045,#7431,.T.); +#5082=EDGE_CURVE('',#6044,#6121,#7432,.F.); +#5083=EDGE_CURVE('',#6046,#6119,#7433,.F.); +#5084=EDGE_CURVE('',#6118,#6047,#7434,.T.); +#5085=EDGE_CURVE('',#6032,#6117,#7435,.F.); +#5086=EDGE_CURVE('',#6116,#6033,#7436,.T.); +#5087=EDGE_CURVE('',#6115,#6035,#7437,.T.); +#5088=EDGE_CURVE('',#6034,#6114,#7438,.F.); +#5089=EDGE_CURVE('',#6038,#6127,#7439,.T.); +#5090=EDGE_CURVE('',#6260,#6261,#548,.T.); +#5091=EDGE_CURVE('',#6262,#6260,#7440,.F.); +#5092=EDGE_CURVE('',#6263,#6262,#549,.F.); +#5093=EDGE_CURVE('',#6261,#6263,#7441,.T.); +#5094=EDGE_CURVE('',#6263,#6264,#7442,.T.); +#5095=EDGE_CURVE('',#6264,#6265,#7443,.T.); +#5096=EDGE_CURVE('',#6261,#6265,#7444,.T.); +#5097=EDGE_CURVE('',#6265,#6266,#550,.T.); +#5098=EDGE_CURVE('',#6267,#6264,#551,.F.); +#5099=EDGE_CURVE('',#6266,#6267,#7445,.T.); +#5100=EDGE_CURVE('',#6268,#6267,#7446,.T.); +#5101=EDGE_CURVE('',#6268,#6269,#552,.F.); +#5102=EDGE_CURVE('',#6269,#6270,#7447,.T.); +#5103=EDGE_CURVE('',#6271,#6270,#553,.T.); +#5104=EDGE_CURVE('',#6271,#6266,#7448,.T.); +#5105=EDGE_CURVE('',#6272,#6271,#7449,.T.); +#5106=EDGE_CURVE('',#6272,#6260,#7450,.T.); +#5107=EDGE_CURVE('',#6273,#6272,#554,.T.); +#5108=EDGE_CURVE('',#6274,#6273,#7451,.F.); +#5109=EDGE_CURVE('',#6274,#6275,#555,.T.); +#5110=EDGE_CURVE('',#6275,#6262,#7452,.T.); +#5111=EDGE_CURVE('',#6275,#6268,#7453,.T.); +#5112=EDGE_CURVE('',#6206,#6269,#98,.T.); +#5113=EDGE_CURVE('',#6204,#6270,#99,.T.); +#5114=EDGE_CURVE('',#6274,#6209,#100,.T.); +#5115=EDGE_CURVE('',#6273,#6210,#101,.T.); +#5116=EDGE_CURVE('',#6276,#6277,#7454,.T.); +#5117=EDGE_CURVE('',#6276,#6278,#7455,.T.); +#5118=EDGE_CURVE('',#6278,#6279,#7456,.T.); +#5119=EDGE_CURVE('',#6277,#6279,#7457,.T.); +#5120=EDGE_CURVE('',#6278,#6280,#102,.T.); +#5121=EDGE_CURVE('',#6280,#6281,#7458,.F.); +#5122=EDGE_CURVE('',#6279,#6281,#103,.T.); +#5123=EDGE_CURVE('',#6282,#6283,#7459,.T.); +#5124=EDGE_CURVE('',#6281,#6283,#556,.T.); +#5125=EDGE_CURVE('',#6280,#6282,#557,.F.); +#5126=EDGE_CURVE('',#6282,#6038,#104,.T.); +#5127=EDGE_CURVE('',#6283,#6127,#105,.T.); +#5128=EDGE_CURVE('',#6284,#6285,#7460,.F.); +#5129=EDGE_CURVE('',#6285,#6126,#106,.T.); +#5130=EDGE_CURVE('',#6284,#6039,#107,.T.); +#5131=EDGE_CURVE('',#6286,#6287,#7461,.T.); +#5132=EDGE_CURVE('',#6285,#6287,#558,.T.); +#5133=EDGE_CURVE('',#6284,#6286,#559,.T.); +#5134=EDGE_CURVE('',#6286,#6276,#108,.T.); +#5135=EDGE_CURVE('',#6287,#6277,#109,.T.); +#5136=EDGE_CURVE('',#6288,#6289,#560,.T.); +#5137=EDGE_CURVE('',#6289,#6290,#7462,.T.); +#5138=EDGE_CURVE('',#6290,#6291,#561,.T.); +#5139=EDGE_CURVE('',#6291,#6292,#7463,.T.); +#5140=EDGE_CURVE('',#6292,#6293,#562,.T.); +#5141=EDGE_CURVE('',#6293,#6294,#7464,.T.); +#5142=EDGE_CURVE('',#6294,#6295,#563,.T.); +#5143=EDGE_CURVE('',#6295,#6288,#7465,.T.); +#5144=EDGE_CURVE('',#6295,#6296,#7466,.T.); +#5145=EDGE_CURVE('',#6296,#6297,#7467,.T.); +#5146=EDGE_CURVE('',#6288,#6297,#7468,.T.); +#5147=EDGE_CURVE('',#6294,#6298,#7469,.F.); +#5148=EDGE_CURVE('',#6298,#6296,#564,.T.); +#5149=EDGE_CURVE('',#6293,#6299,#7470,.T.); +#5150=EDGE_CURVE('',#6299,#6298,#7471,.T.); +#5151=EDGE_CURVE('',#6292,#6300,#7472,.T.); +#5152=EDGE_CURVE('',#6300,#6299,#565,.T.); +#5153=EDGE_CURVE('',#6291,#6301,#7473,.T.); +#5154=EDGE_CURVE('',#6301,#6300,#7474,.T.); +#5155=EDGE_CURVE('',#6290,#6302,#7475,.T.); +#5156=EDGE_CURVE('',#6302,#6301,#566,.T.); +#5157=EDGE_CURVE('',#6289,#6303,#7476,.T.); +#5158=EDGE_CURVE('',#6303,#6302,#7477,.T.); +#5159=EDGE_CURVE('',#6297,#6303,#567,.T.); +#5160=EDGE_CURVE('',#6304,#6108,#7478,.T.); +#5161=EDGE_CURVE('',#6304,#6305,#7479,.T.); +#5162=EDGE_CURVE('',#6305,#6109,#7480,.T.); +#5163=EDGE_CURVE('',#6305,#6306,#568,.T.); +#5164=EDGE_CURVE('',#6306,#6110,#7481,.T.); +#5165=EDGE_CURVE('',#6306,#6307,#7482,.T.); +#5166=EDGE_CURVE('',#6307,#6111,#7483,.T.); +#5167=EDGE_CURVE('',#6307,#6308,#569,.T.); +#5168=EDGE_CURVE('',#6308,#6112,#7484,.T.); +#5169=EDGE_CURVE('',#6308,#6309,#7485,.T.); +#5170=EDGE_CURVE('',#6309,#6113,#7486,.F.); +#5171=EDGE_CURVE('',#6310,#6106,#7487,.T.); +#5172=EDGE_CURVE('',#6310,#6311,#7488,.T.); +#5173=EDGE_CURVE('',#6311,#6107,#7489,.T.); +#5174=EDGE_CURVE('',#6311,#6304,#570,.T.); +#5175=EDGE_CURVE('',#6309,#6310,#571,.T.); +#5176=EDGE_CURVE('',#6312,#6313,#572,.F.); +#5177=EDGE_CURVE('',#6313,#6314,#7490,.F.); +#5178=EDGE_CURVE('',#6314,#6315,#573,.F.); +#5179=EDGE_CURVE('',#6315,#6316,#7491,.F.); +#5180=EDGE_CURVE('',#6316,#6317,#574,.F.); +#5181=EDGE_CURVE('',#6317,#6318,#7492,.F.); +#5182=EDGE_CURVE('',#6318,#6319,#575,.F.); +#5183=EDGE_CURVE('',#6319,#6312,#7493,.F.); +#5184=EDGE_CURVE('',#6318,#6304,#576,.T.); +#5185=EDGE_CURVE('',#6305,#6317,#577,.T.); +#5186=EDGE_CURVE('',#6306,#6316,#578,.T.); +#5187=EDGE_CURVE('',#6319,#6311,#579,.T.); +#5188=EDGE_CURVE('',#6307,#6315,#580,.T.); +#5189=EDGE_CURVE('',#6312,#6310,#581,.T.); +#5190=EDGE_CURVE('',#6308,#6314,#582,.T.); +#5191=EDGE_CURVE('',#6313,#6309,#583,.T.); +#5192=EDGE_CURVE('',#6054,#6303,#584,.T.); +#5193=EDGE_CURVE('',#6302,#6053,#585,.T.); +#5194=EDGE_CURVE('',#6301,#6052,#586,.T.); +#5195=EDGE_CURVE('',#6055,#6297,#587,.T.); +#5196=EDGE_CURVE('',#6300,#6051,#588,.T.); +#5197=EDGE_CURVE('',#6048,#6296,#589,.T.); +#5198=EDGE_CURVE('',#6299,#6050,#590,.T.); +#5199=EDGE_CURVE('',#6049,#6298,#591,.T.); +#5200=EDGE_CURVE('',#6190,#6175,#7494,.T.); +#5201=EDGE_CURVE('',#6191,#6174,#7495,.T.); +#5202=EDGE_CURVE('',#6198,#6181,#7496,.T.); +#5203=EDGE_CURVE('',#6199,#6180,#7497,.T.); +#5204=EDGE_CURVE('',#6062,#6103,#7498,.F.); +#5205=EDGE_CURVE('',#6102,#6063,#7499,.T.); +#5206=EDGE_CURVE('',#6064,#6101,#7500,.F.); +#5207=EDGE_CURVE('',#6100,#6065,#7501,.T.); +#5208=EDGE_CURVE('',#6094,#6071,#7502,.T.); +#5209=EDGE_CURVE('',#6056,#6093,#7503,.F.); +#5210=EDGE_CURVE('',#6092,#6057,#7504,.T.); +#5211=EDGE_CURVE('',#6091,#6059,#7505,.T.); +#5212=EDGE_CURVE('',#6058,#6090,#7506,.F.); +#5213=EDGE_CURVE('',#6104,#6061,#7507,.T.); +#5214=EDGE_CURVE('',#6060,#6105,#7508,.F.); +#5215=EDGE_CURVE('',#6066,#6099,#7509,.F.); +#5216=EDGE_CURVE('',#6098,#6067,#7510,.T.); +#5217=EDGE_CURVE('',#6068,#6097,#7511,.T.); +#5218=EDGE_CURVE('',#6320,#6321,#592,.T.); +#5219=EDGE_CURVE('',#6322,#6320,#7512,.F.); +#5220=EDGE_CURVE('',#6323,#6322,#593,.F.); +#5221=EDGE_CURVE('',#6321,#6323,#7513,.F.); +#5222=EDGE_CURVE('',#6320,#6324,#7514,.T.); +#5223=EDGE_CURVE('',#6324,#6325,#7515,.F.); +#5224=EDGE_CURVE('',#6322,#6325,#7516,.T.); +#5225=EDGE_CURVE('',#6326,#6324,#594,.T.); +#5226=EDGE_CURVE('',#6327,#6326,#7517,.T.); +#5227=EDGE_CURVE('',#6325,#6327,#595,.F.); +#5228=EDGE_CURVE('',#6326,#6328,#7518,.T.); +#5229=EDGE_CURVE('',#6321,#6329,#7519,.T.); +#5230=EDGE_CURVE('',#6329,#6328,#7520,.T.); +#5231=EDGE_CURVE('',#6328,#6330,#596,.T.); +#5232=EDGE_CURVE('',#6331,#6330,#7521,.F.); +#5233=EDGE_CURVE('',#6332,#6331,#597,.F.); +#5234=EDGE_CURVE('',#6327,#6332,#7522,.T.); +#5235=EDGE_CURVE('',#6333,#6332,#7523,.T.); +#5236=EDGE_CURVE('',#6323,#6333,#7524,.T.); +#5237=EDGE_CURVE('',#6334,#6333,#598,.T.); +#5238=EDGE_CURVE('',#6334,#6335,#7525,.T.); +#5239=EDGE_CURVE('',#6335,#6329,#599,.T.); +#5240=EDGE_CURVE('',#6331,#6161,#110,.T.); +#5241=EDGE_CURVE('',#6330,#6162,#111,.T.); +#5242=EDGE_CURVE('',#6166,#6334,#112,.T.); +#5243=EDGE_CURVE('',#6164,#6335,#113,.T.); +#5244=EDGE_CURVE('',#6336,#6337,#7526,.T.); +#5245=EDGE_CURVE('',#6336,#6068,#114,.T.); +#5246=EDGE_CURVE('',#6337,#6097,#115,.T.); +#5247=EDGE_CURVE('',#6338,#6069,#116,.T.); +#5248=EDGE_CURVE('',#6338,#6339,#7527,.F.); +#5249=EDGE_CURVE('',#6339,#6096,#117,.T.); +#5250=EDGE_CURVE('',#6340,#6338,#600,.F.); +#5251=EDGE_CURVE('',#6340,#6341,#7528,.T.); +#5252=EDGE_CURVE('',#6341,#6339,#601,.T.); +#5253=EDGE_CURVE('',#6342,#6340,#118,.T.); +#5254=EDGE_CURVE('',#6342,#6343,#7529,.T.); +#5255=EDGE_CURVE('',#6343,#6341,#119,.T.); +#5256=EDGE_CURVE('',#6344,#6342,#7530,.F.); +#5257=EDGE_CURVE('',#6344,#6345,#7531,.T.); +#5258=EDGE_CURVE('',#6345,#6343,#7532,.T.); +#5259=EDGE_CURVE('',#6346,#6344,#120,.T.); +#5260=EDGE_CURVE('',#6346,#6347,#7533,.F.); +#5261=EDGE_CURVE('',#6347,#6345,#121,.T.); +#5262=EDGE_CURVE('',#6336,#6346,#602,.T.); +#5263=EDGE_CURVE('',#6337,#6347,#603,.T.); +#5264=EDGE_CURVE('',#6348,#6349,#7534,.T.); +#5265=EDGE_CURVE('',#6349,#6350,#604,.T.); +#5266=EDGE_CURVE('',#6350,#6351,#7535,.T.); +#5267=EDGE_CURVE('',#6351,#6352,#605,.T.); +#5268=EDGE_CURVE('',#6352,#6353,#7536,.T.); +#5269=EDGE_CURVE('',#6353,#6354,#606,.T.); +#5270=EDGE_CURVE('',#6354,#6355,#7537,.T.); +#5271=EDGE_CURVE('',#6355,#6348,#607,.T.); +#5272=EDGE_CURVE('',#6355,#6356,#7538,.T.); +#5273=EDGE_CURVE('',#6356,#6357,#608,.T.); +#5274=EDGE_CURVE('',#6348,#6357,#7539,.T.); +#5275=EDGE_CURVE('',#6354,#6358,#7540,.T.); +#5276=EDGE_CURVE('',#6358,#6356,#7541,.T.); +#5277=EDGE_CURVE('',#6353,#6359,#7542,.F.); +#5278=EDGE_CURVE('',#6359,#6358,#609,.T.); +#5279=EDGE_CURVE('',#6352,#6360,#7543,.T.); +#5280=EDGE_CURVE('',#6360,#6359,#7544,.T.); +#5281=EDGE_CURVE('',#6351,#6361,#7545,.T.); +#5282=EDGE_CURVE('',#6361,#6360,#610,.T.); +#5283=EDGE_CURVE('',#6350,#6362,#7546,.T.); +#5284=EDGE_CURVE('',#6362,#6361,#7547,.T.); +#5285=EDGE_CURVE('',#6349,#6363,#7548,.T.); +#5286=EDGE_CURVE('',#6363,#6362,#611,.T.); +#5287=EDGE_CURVE('',#6357,#6363,#7549,.T.); +#5288=EDGE_CURVE('',#6364,#6132,#7550,.T.); +#5289=EDGE_CURVE('',#6364,#6365,#612,.T.); +#5290=EDGE_CURVE('',#6365,#6133,#7551,.T.); +#5291=EDGE_CURVE('',#6365,#6366,#7552,.T.); +#5292=EDGE_CURVE('',#6366,#6134,#7553,.T.); +#5293=EDGE_CURVE('',#6366,#6367,#613,.T.); +#5294=EDGE_CURVE('',#6367,#6135,#7554,.T.); +#5295=EDGE_CURVE('',#6367,#6368,#7555,.T.); +#5296=EDGE_CURVE('',#6368,#6136,#7556,.F.); +#5297=EDGE_CURVE('',#6368,#6369,#614,.T.); +#5298=EDGE_CURVE('',#6369,#6137,#7557,.T.); +#5299=EDGE_CURVE('',#6370,#6130,#7558,.T.); +#5300=EDGE_CURVE('',#6370,#6371,#615,.T.); +#5301=EDGE_CURVE('',#6371,#6131,#7559,.T.); +#5302=EDGE_CURVE('',#6371,#6364,#7560,.T.); +#5303=EDGE_CURVE('',#6369,#6370,#7561,.T.); +#5304=EDGE_CURVE('',#6372,#6373,#7562,.F.); +#5305=EDGE_CURVE('',#6373,#6374,#616,.F.); +#5306=EDGE_CURVE('',#6374,#6375,#7563,.F.); +#5307=EDGE_CURVE('',#6375,#6376,#617,.F.); +#5308=EDGE_CURVE('',#6376,#6377,#7564,.F.); +#5309=EDGE_CURVE('',#6377,#6378,#618,.F.); +#5310=EDGE_CURVE('',#6378,#6379,#7565,.F.); +#5311=EDGE_CURVE('',#6379,#6372,#619,.F.); +#5312=EDGE_CURVE('',#6378,#6364,#620,.T.); +#5313=EDGE_CURVE('',#6365,#6377,#621,.T.); +#5314=EDGE_CURVE('',#6366,#6376,#622,.T.); +#5315=EDGE_CURVE('',#6379,#6371,#623,.T.); +#5316=EDGE_CURVE('',#6367,#6375,#624,.T.); +#5317=EDGE_CURVE('',#6372,#6370,#625,.T.); +#5318=EDGE_CURVE('',#6368,#6374,#626,.T.); +#5319=EDGE_CURVE('',#6373,#6369,#627,.T.); +#5320=EDGE_CURVE('',#6030,#6363,#628,.T.); +#5321=EDGE_CURVE('',#6362,#6029,#629,.T.); +#5322=EDGE_CURVE('',#6361,#6028,#630,.T.); +#5323=EDGE_CURVE('',#6031,#6357,#631,.T.); +#5324=EDGE_CURVE('',#6360,#6027,#632,.T.); +#5325=EDGE_CURVE('',#6024,#6356,#633,.T.); +#5326=EDGE_CURVE('',#6359,#6026,#634,.T.); +#5327=EDGE_CURVE('',#6025,#6358,#635,.T.); +#5328=EDGE_CURVE('',#6073,#6141,#7566,.F.); +#5329=EDGE_CURVE('',#6140,#6072,#7567,.T.); +#5330=EDGE_CURVE('',#6150,#6081,#7568,.T.); +#5331=EDGE_CURVE('',#6080,#6151,#7569,.T.); +#5332=EDGE_CURVE('',#6145,#6086,#7570,.T.); +#5333=EDGE_CURVE('',#6144,#6087,#7571,.T.); +#5334=EDGE_CURVE('',#6380,#6144,#122,.T.); +#5335=EDGE_CURVE('',#6380,#6381,#7572,.F.); +#5336=EDGE_CURVE('',#6381,#6087,#123,.T.); +#5337=EDGE_CURVE('',#6380,#6382,#636,.T.); +#5338=EDGE_CURVE('',#6382,#6383,#7573,.T.); +#5339=EDGE_CURVE('',#6383,#6384,#7574,.T.); +#5340=EDGE_CURVE('',#6385,#6384,#7575,.T.); +#5341=EDGE_CURVE('',#6381,#6385,#637,.T.); +#5342=EDGE_CURVE('',#6386,#6017,#638,.T.); +#5343=EDGE_CURVE('',#6387,#6386,#7576,.T.); +#5344=EDGE_CURVE('',#6387,#6388,#7577,.T.); +#5345=EDGE_CURVE('',#6388,#6389,#7578,.T.); +#5346=EDGE_CURVE('',#6389,#6016,#639,.F.); +#5347=EDGE_CURVE('',#6386,#6385,#7579,.T.); +#5348=EDGE_CURVE('',#6389,#6382,#7580,.T.); +#5349=EDGE_CURVE('',#6390,#6391,#640,.T.); +#5350=EDGE_CURVE('',#6392,#6390,#7581,.F.); +#5351=EDGE_CURVE('',#6393,#6392,#641,.F.); +#5352=EDGE_CURVE('',#6391,#6393,#7582,.T.); +#5353=EDGE_CURVE('',#6394,#6395,#642,.T.); +#5354=EDGE_CURVE('',#6396,#6394,#7583,.F.); +#5355=EDGE_CURVE('',#6397,#6396,#643,.F.); +#5356=EDGE_CURVE('',#6395,#6397,#7584,.T.); +#5357=EDGE_CURVE('',#6398,#6397,#7585,.T.); +#5358=EDGE_CURVE('',#6398,#6399,#7586,.F.); +#5359=EDGE_CURVE('',#6399,#6395,#7587,.T.); +#5360=EDGE_CURVE('',#6399,#6383,#644,.T.); +#5361=EDGE_CURVE('',#6384,#6398,#645,.F.); +#5362=EDGE_CURVE('',#6400,#6387,#646,.T.); +#5363=EDGE_CURVE('',#6401,#6400,#7588,.F.); +#5364=EDGE_CURVE('',#6388,#6401,#647,.F.); +#5365=EDGE_CURVE('',#6393,#6401,#7589,.T.); +#5366=EDGE_CURVE('',#6391,#6400,#7590,.T.); +#5367=EDGE_CURVE('',#6396,#6402,#7591,.T.); +#5368=EDGE_CURVE('',#6402,#6403,#7592,.T.); +#5369=EDGE_CURVE('',#6403,#6390,#7593,.T.); +#5370=EDGE_CURVE('',#6404,#6392,#7594,.T.); +#5371=EDGE_CURVE('',#6405,#6404,#7595,.T.); +#5372=EDGE_CURVE('',#6394,#6405,#7596,.T.); +#5373=EDGE_CURVE('',#6405,#6406,#648,.F.); +#5374=EDGE_CURVE('',#6406,#6407,#7597,.T.); +#5375=EDGE_CURVE('',#6402,#6407,#649,.T.); +#5376=EDGE_CURVE('',#6085,#6403,#650,.T.); +#5377=EDGE_CURVE('',#6146,#6404,#651,.T.); +#5378=EDGE_CURVE('',#6022,#6406,#124,.T.); +#5379=EDGE_CURVE('',#6020,#6407,#125,.T.); +#5380=VERTEX_POINT('',#14722); +#5381=VERTEX_POINT('',#14723); +#5382=VERTEX_POINT('',#14725); +#5383=VERTEX_POINT('',#14727); +#5384=VERTEX_POINT('',#14729); +#5385=VERTEX_POINT('',#14731); +#5386=VERTEX_POINT('',#14733); +#5387=VERTEX_POINT('',#14735); +#5388=VERTEX_POINT('',#14737); +#5389=VERTEX_POINT('',#14739); +#5390=VERTEX_POINT('',#14741); +#5391=VERTEX_POINT('',#14743); +#5392=VERTEX_POINT('',#14747); +#5393=VERTEX_POINT('',#14748); +#5394=VERTEX_POINT('',#14750); +#5395=VERTEX_POINT('',#14752); +#5396=VERTEX_POINT('',#14756); +#5397=VERTEX_POINT('',#14757); +#5398=VERTEX_POINT('',#14759); +#5399=VERTEX_POINT('',#14761); +#5400=VERTEX_POINT('',#14765); +#5401=VERTEX_POINT('',#14766); +#5402=VERTEX_POINT('',#14768); +#5403=VERTEX_POINT('',#14770); +#5404=VERTEX_POINT('',#14774); +#5405=VERTEX_POINT('',#14776); +#5406=VERTEX_POINT('',#14779); +#5407=VERTEX_POINT('',#14781); +#5408=VERTEX_POINT('',#14783); +#5409=VERTEX_POINT('',#14785); +#5410=VERTEX_POINT('',#14787); +#5411=VERTEX_POINT('',#14789); +#5412=VERTEX_POINT('',#14791); +#5413=VERTEX_POINT('',#14793); +#5414=VERTEX_POINT('',#14795); +#5415=VERTEX_POINT('',#14797); +#5416=VERTEX_POINT('',#14799); +#5417=VERTEX_POINT('',#14801); +#5418=VERTEX_POINT('',#14803); +#5419=VERTEX_POINT('',#14805); +#5420=VERTEX_POINT('',#14807); +#5421=VERTEX_POINT('',#14809); +#5422=VERTEX_POINT('',#14811); +#5423=VERTEX_POINT('',#14813); +#5424=VERTEX_POINT('',#14817); +#5425=VERTEX_POINT('',#14819); +#5426=VERTEX_POINT('',#14823); +#5427=VERTEX_POINT('',#14825); +#5428=VERTEX_POINT('',#14829); +#5429=VERTEX_POINT('',#14830); +#5430=VERTEX_POINT('',#14832); +#5431=VERTEX_POINT('',#14834); +#5432=VERTEX_POINT('',#14836); +#5433=VERTEX_POINT('',#14838); +#5434=VERTEX_POINT('',#14840); +#5435=VERTEX_POINT('',#14842); +#5436=VERTEX_POINT('',#14846); +#5437=VERTEX_POINT('',#14847); +#5438=VERTEX_POINT('',#14849); +#5439=VERTEX_POINT('',#14851); +#5440=VERTEX_POINT('',#14853); +#5441=VERTEX_POINT('',#14855); +#5442=VERTEX_POINT('',#14857); +#5443=VERTEX_POINT('',#14859); +#5444=VERTEX_POINT('',#14863); +#5445=VERTEX_POINT('',#14865); +#5446=VERTEX_POINT('',#14869); +#5447=VERTEX_POINT('',#14871); +#5448=VERTEX_POINT('',#14875); +#5449=VERTEX_POINT('',#14877); +#5450=VERTEX_POINT('',#14881); +#5451=VERTEX_POINT('',#14883); +#5452=VERTEX_POINT('',#14885); +#5453=VERTEX_POINT('',#14887); +#5454=VERTEX_POINT('',#14890); +#5455=VERTEX_POINT('',#14892); +#5456=VERTEX_POINT('',#14894); +#5457=VERTEX_POINT('',#14898); +#5458=VERTEX_POINT('',#14900); +#5459=VERTEX_POINT('',#14902); +#5460=VERTEX_POINT('',#14904); +#5461=VERTEX_POINT('',#14907); +#5462=VERTEX_POINT('',#14909); +#5463=VERTEX_POINT('',#14911); +#5464=VERTEX_POINT('',#14917); +#5465=VERTEX_POINT('',#14919); +#5466=VERTEX_POINT('',#14921); +#5467=VERTEX_POINT('',#14923); +#5468=VERTEX_POINT('',#14926); +#5469=VERTEX_POINT('',#14928); +#5470=VERTEX_POINT('',#14930); +#5471=VERTEX_POINT('',#14934); +#5472=VERTEX_POINT('',#14936); +#5473=VERTEX_POINT('',#14938); +#5474=VERTEX_POINT('',#14940); +#5475=VERTEX_POINT('',#14943); +#5476=VERTEX_POINT('',#14945); +#5477=VERTEX_POINT('',#14947); +#5478=VERTEX_POINT('',#14953); +#5479=VERTEX_POINT('',#14955); +#5480=VERTEX_POINT('',#14957); +#5481=VERTEX_POINT('',#14959); +#5482=VERTEX_POINT('',#14961); +#5483=VERTEX_POINT('',#14963); +#5484=VERTEX_POINT('',#14965); +#5485=VERTEX_POINT('',#14967); +#5486=VERTEX_POINT('',#14969); +#5487=VERTEX_POINT('',#14973); +#5488=VERTEX_POINT('',#14975); +#5489=VERTEX_POINT('',#14977); +#5490=VERTEX_POINT('',#14979); +#5491=VERTEX_POINT('',#14981); +#5492=VERTEX_POINT('',#14983); +#5493=VERTEX_POINT('',#14985); +#5494=VERTEX_POINT('',#14987); +#5495=VERTEX_POINT('',#14989); +#5496=VERTEX_POINT('',#14995); +#5497=VERTEX_POINT('',#14997); +#5498=VERTEX_POINT('',#14999); +#5499=VERTEX_POINT('',#15001); +#5500=VERTEX_POINT('',#15003); +#5501=VERTEX_POINT('',#15005); +#5502=VERTEX_POINT('',#15007); +#5503=VERTEX_POINT('',#15009); +#5504=VERTEX_POINT('',#15011); +#5505=VERTEX_POINT('',#15015); +#5506=VERTEX_POINT('',#15017); +#5507=VERTEX_POINT('',#15019); +#5508=VERTEX_POINT('',#15021); +#5509=VERTEX_POINT('',#15023); +#5510=VERTEX_POINT('',#15025); +#5511=VERTEX_POINT('',#15027); +#5512=VERTEX_POINT('',#15029); +#5513=VERTEX_POINT('',#15031); +#5514=VERTEX_POINT('',#15037); +#5515=VERTEX_POINT('',#15038); +#5516=VERTEX_POINT('',#15040); +#5517=VERTEX_POINT('',#15042); +#5518=VERTEX_POINT('',#15045); +#5519=VERTEX_POINT('',#15046); +#5520=VERTEX_POINT('',#15048); +#5521=VERTEX_POINT('',#15050); +#5522=VERTEX_POINT('',#15053); +#5523=VERTEX_POINT('',#15054); +#5524=VERTEX_POINT('',#15056); +#5525=VERTEX_POINT('',#15058); +#5526=VERTEX_POINT('',#15061); +#5527=VERTEX_POINT('',#15062); +#5528=VERTEX_POINT('',#15064); +#5529=VERTEX_POINT('',#15066); +#5530=VERTEX_POINT('',#15069); +#5531=VERTEX_POINT('',#15070); +#5532=VERTEX_POINT('',#15072); +#5533=VERTEX_POINT('',#15074); +#5534=VERTEX_POINT('',#15077); +#5535=VERTEX_POINT('',#15078); +#5536=VERTEX_POINT('',#15080); +#5537=VERTEX_POINT('',#15082); +#5538=VERTEX_POINT('',#15084); +#5539=VERTEX_POINT('',#15086); +#5540=VERTEX_POINT('',#15088); +#5541=VERTEX_POINT('',#15090); +#5542=VERTEX_POINT('',#15092); +#5543=VERTEX_POINT('',#15094); +#5544=VERTEX_POINT('',#15096); +#5545=VERTEX_POINT('',#15098); +#5546=VERTEX_POINT('',#15101); +#5547=VERTEX_POINT('',#15102); +#5548=VERTEX_POINT('',#15104); +#5549=VERTEX_POINT('',#15106); +#5550=VERTEX_POINT('',#15109); +#5551=VERTEX_POINT('',#15110); +#5552=VERTEX_POINT('',#15112); +#5553=VERTEX_POINT('',#15114); +#5554=VERTEX_POINT('',#15117); +#5555=VERTEX_POINT('',#15118); +#5556=VERTEX_POINT('',#15120); +#5557=VERTEX_POINT('',#15122); +#5558=VERTEX_POINT('',#15125); +#5559=VERTEX_POINT('',#15126); +#5560=VERTEX_POINT('',#15128); +#5561=VERTEX_POINT('',#15130); +#5562=VERTEX_POINT('',#15133); +#5563=VERTEX_POINT('',#15134); +#5564=VERTEX_POINT('',#15136); +#5565=VERTEX_POINT('',#15138); +#5566=VERTEX_POINT('',#15142); +#5567=VERTEX_POINT('',#15143); +#5568=VERTEX_POINT('',#15145); +#5569=VERTEX_POINT('',#15147); +#5570=VERTEX_POINT('',#15151); +#5571=VERTEX_POINT('',#15152); +#5572=VERTEX_POINT('',#15154); +#5573=VERTEX_POINT('',#15156); +#5574=VERTEX_POINT('',#15160); +#5575=VERTEX_POINT('',#15161); +#5576=VERTEX_POINT('',#15163); +#5577=VERTEX_POINT('',#15165); +#5578=VERTEX_POINT('',#15167); +#5579=VERTEX_POINT('',#15169); +#5580=VERTEX_POINT('',#15171); +#5581=VERTEX_POINT('',#15173); +#5582=VERTEX_POINT('',#15177); +#5583=VERTEX_POINT('',#15178); +#5584=VERTEX_POINT('',#15180); +#5585=VERTEX_POINT('',#15182); +#5586=VERTEX_POINT('',#15184); +#5587=VERTEX_POINT('',#15186); +#5588=VERTEX_POINT('',#15188); +#5589=VERTEX_POINT('',#15191); +#5590=VERTEX_POINT('',#15193); +#5591=VERTEX_POINT('',#15195); +#5592=VERTEX_POINT('',#15197); +#5593=VERTEX_POINT('',#15199); +#5594=VERTEX_POINT('',#15201); +#5595=VERTEX_POINT('',#15203); +#5596=VERTEX_POINT('',#15205); +#5597=VERTEX_POINT('',#15207); +#5598=VERTEX_POINT('',#15209); +#5599=VERTEX_POINT('',#15211); +#5600=VERTEX_POINT('',#15213); +#5601=VERTEX_POINT('',#15215); +#5602=VERTEX_POINT('',#15217); +#5603=VERTEX_POINT('',#15219); +#5604=VERTEX_POINT('',#15223); +#5605=VERTEX_POINT('',#15225); +#5606=VERTEX_POINT('',#15229); +#5607=VERTEX_POINT('',#15233); +#5608=VERTEX_POINT('',#15234); +#5609=VERTEX_POINT('',#15236); +#5610=VERTEX_POINT('',#15238); +#5611=VERTEX_POINT('',#15240); +#5612=VERTEX_POINT('',#15242); +#5613=VERTEX_POINT('',#15244); +#5614=VERTEX_POINT('',#15246); +#5615=VERTEX_POINT('',#15248); +#5616=VERTEX_POINT('',#15250); +#5617=VERTEX_POINT('',#15253); +#5618=VERTEX_POINT('',#15255); +#5619=VERTEX_POINT('',#15260); +#5620=VERTEX_POINT('',#15262); +#5621=VERTEX_POINT('',#15264); +#5622=VERTEX_POINT('',#15270); +#5623=VERTEX_POINT('',#15272); +#5624=VERTEX_POINT('',#15274); +#5625=VERTEX_POINT('',#15277); +#5626=VERTEX_POINT('',#15279); +#5627=VERTEX_POINT('',#15281); +#5628=VERTEX_POINT('',#15283); +#5629=VERTEX_POINT('',#15287); +#5630=VERTEX_POINT('',#15296); +#5631=VERTEX_POINT('',#15297); +#5632=VERTEX_POINT('',#15299); +#5633=VERTEX_POINT('',#15301); +#5634=VERTEX_POINT('',#15305); +#5635=VERTEX_POINT('',#15308); +#5636=VERTEX_POINT('',#15311); +#5637=VERTEX_POINT('',#15312); +#5638=VERTEX_POINT('',#15314); +#5639=VERTEX_POINT('',#15316); +#5640=VERTEX_POINT('',#15322); +#5641=VERTEX_POINT('',#15323); +#5642=VERTEX_POINT('',#15325); +#5643=VERTEX_POINT('',#15327); +#5644=VERTEX_POINT('',#15331); +#5645=VERTEX_POINT('',#15334); +#5646=VERTEX_POINT('',#15337); +#5647=VERTEX_POINT('',#15338); +#5648=VERTEX_POINT('',#15340); +#5649=VERTEX_POINT('',#15342); +#5650=VERTEX_POINT('',#15348); +#5651=VERTEX_POINT('',#15349); +#5652=VERTEX_POINT('',#15351); +#5653=VERTEX_POINT('',#15353); +#5654=VERTEX_POINT('',#15357); +#5655=VERTEX_POINT('',#15360); +#5656=VERTEX_POINT('',#15363); +#5657=VERTEX_POINT('',#15364); +#5658=VERTEX_POINT('',#15366); +#5659=VERTEX_POINT('',#15368); +#5660=VERTEX_POINT('',#15374); +#5661=VERTEX_POINT('',#15375); +#5662=VERTEX_POINT('',#15377); +#5663=VERTEX_POINT('',#15379); +#5664=VERTEX_POINT('',#15383); +#5665=VERTEX_POINT('',#15386); +#5666=VERTEX_POINT('',#15389); +#5667=VERTEX_POINT('',#15390); +#5668=VERTEX_POINT('',#15392); +#5669=VERTEX_POINT('',#15394); +#5670=VERTEX_POINT('',#15398); +#5671=VERTEX_POINT('',#15400); +#5672=VERTEX_POINT('',#15402); +#5673=VERTEX_POINT('',#15403); +#5674=VERTEX_POINT('',#15405); +#5675=VERTEX_POINT('',#15407); +#5676=VERTEX_POINT('',#15409); +#5677=VERTEX_POINT('',#15411); +#5678=VERTEX_POINT('',#15413); +#5679=VERTEX_POINT('',#15416); +#5680=VERTEX_POINT('',#15418); +#5681=VERTEX_POINT('',#15421); +#5682=VERTEX_POINT('',#15423); +#5683=VERTEX_POINT('',#15425); +#5684=VERTEX_POINT('',#15427); +#5685=VERTEX_POINT('',#15429); +#5686=VERTEX_POINT('',#15431); +#5687=VERTEX_POINT('',#15433); +#5688=VERTEX_POINT('',#15435); +#5689=VERTEX_POINT('',#15437); +#5690=VERTEX_POINT('',#15441); +#5691=VERTEX_POINT('',#15442); +#5692=VERTEX_POINT('',#15444); +#5693=VERTEX_POINT('',#15446); +#5694=VERTEX_POINT('',#15450); +#5695=VERTEX_POINT('',#15452); +#5696=VERTEX_POINT('',#15456); +#5697=VERTEX_POINT('',#15457); +#5698=VERTEX_POINT('',#15461); +#5699=VERTEX_POINT('',#15463); +#5700=VERTEX_POINT('',#15465); +#5701=VERTEX_POINT('',#15467); +#5702=VERTEX_POINT('',#15472); +#5703=VERTEX_POINT('',#15474); +#5704=VERTEX_POINT('',#15476); +#5705=VERTEX_POINT('',#15478); +#5706=VERTEX_POINT('',#15480); +#5707=VERTEX_POINT('',#15482); +#5708=VERTEX_POINT('',#15486); +#5709=VERTEX_POINT('',#15488); +#5710=VERTEX_POINT('',#15490); +#5711=VERTEX_POINT('',#15492); +#5712=VERTEX_POINT('',#15494); +#5713=VERTEX_POINT('',#15496); +#5714=VERTEX_POINT('',#15500); +#5715=VERTEX_POINT('',#15508); +#5716=VERTEX_POINT('',#15513); +#5717=VERTEX_POINT('',#15519); +#5718=VERTEX_POINT('',#15524); +#5719=VERTEX_POINT('',#15526); +#5720=VERTEX_POINT('',#15528); +#5721=VERTEX_POINT('',#15530); +#5722=VERTEX_POINT('',#15534); +#5723=VERTEX_POINT('',#15538); +#5724=VERTEX_POINT('',#15542); +#5725=VERTEX_POINT('',#15547); +#5726=VERTEX_POINT('',#15549); +#5727=VERTEX_POINT('',#15551); +#5728=VERTEX_POINT('',#15564); +#5729=VERTEX_POINT('',#15568); +#5730=VERTEX_POINT('',#15575); +#5731=VERTEX_POINT('',#15579); +#5732=VERTEX_POINT('',#15581); +#5733=VERTEX_POINT('',#15589); +#5734=VERTEX_POINT('',#15592); +#5735=VERTEX_POINT('',#15605); +#5736=VERTEX_POINT('',#15609); +#5737=VERTEX_POINT('',#15616); +#5738=VERTEX_POINT('',#15620); +#5739=VERTEX_POINT('',#15621); +#5740=VERTEX_POINT('',#15630); +#5741=VERTEX_POINT('',#15633); +#5742=VERTEX_POINT('',#15641); +#5743=VERTEX_POINT('',#15643); +#5744=VERTEX_POINT('',#15647); +#5745=VERTEX_POINT('',#15649); +#5746=VERTEX_POINT('',#15655); +#5747=VERTEX_POINT('',#15657); +#5748=VERTEX_POINT('',#15687); +#5749=VERTEX_POINT('',#15689); +#5750=VERTEX_POINT('',#15719); +#5751=VERTEX_POINT('',#15721); +#5752=VERTEX_POINT('',#15751); +#5753=VERTEX_POINT('',#15753); +#5754=VERTEX_POINT('',#15781); +#5755=VERTEX_POINT('',#15783); +#5756=VERTEX_POINT('',#15828); +#5757=VERTEX_POINT('',#15831); +#5758=VERTEX_POINT('',#15838); +#5759=VERTEX_POINT('',#15856); +#5760=VERTEX_POINT('',#15881); +#5761=VERTEX_POINT('',#15882); +#5762=VERTEX_POINT('',#15884); +#5763=VERTEX_POINT('',#15886); +#5764=VERTEX_POINT('',#15888); +#5765=VERTEX_POINT('',#15890); +#5766=VERTEX_POINT('',#15892); +#5767=VERTEX_POINT('',#15894); +#5768=VERTEX_POINT('',#15898); +#5769=VERTEX_POINT('',#15900); +#5770=VERTEX_POINT('',#15902); +#5771=VERTEX_POINT('',#15905); +#5772=VERTEX_POINT('',#15909); +#5773=VERTEX_POINT('',#15911); +#5774=VERTEX_POINT('',#15915); +#5775=VERTEX_POINT('',#15917); +#5776=VERTEX_POINT('',#15921); +#5777=VERTEX_POINT('',#15923); +#5778=VERTEX_POINT('',#15927); +#5779=VERTEX_POINT('',#15929); +#5780=VERTEX_POINT('',#15933); +#5781=VERTEX_POINT('',#15935); +#5782=VERTEX_POINT('',#15939); +#5783=VERTEX_POINT('',#15941); +#5784=VERTEX_POINT('',#15945); +#5785=VERTEX_POINT('',#15947); +#5786=VERTEX_POINT('',#15951); +#5787=VERTEX_POINT('',#15953); +#5788=VERTEX_POINT('',#15957); +#5789=VERTEX_POINT('',#15959); +#5790=VERTEX_POINT('',#15963); +#5791=VERTEX_POINT('',#15965); +#5792=VERTEX_POINT('',#15973); +#5793=VERTEX_POINT('',#15977); +#5794=VERTEX_POINT('',#15989); +#5795=VERTEX_POINT('',#15990); +#5796=VERTEX_POINT('',#15992); +#5797=VERTEX_POINT('',#15994); +#5798=VERTEX_POINT('',#15996); +#5799=VERTEX_POINT('',#15998); +#5800=VERTEX_POINT('',#16000); +#5801=VERTEX_POINT('',#16002); +#5802=VERTEX_POINT('',#16006); +#5803=VERTEX_POINT('',#16008); +#5804=VERTEX_POINT('',#16010); +#5805=VERTEX_POINT('',#16013); +#5806=VERTEX_POINT('',#16017); +#5807=VERTEX_POINT('',#16019); +#5808=VERTEX_POINT('',#16023); +#5809=VERTEX_POINT('',#16025); +#5810=VERTEX_POINT('',#16029); +#5811=VERTEX_POINT('',#16031); +#5812=VERTEX_POINT('',#16035); +#5813=VERTEX_POINT('',#16037); +#5814=VERTEX_POINT('',#16041); +#5815=VERTEX_POINT('',#16043); +#5816=VERTEX_POINT('',#16047); +#5817=VERTEX_POINT('',#16049); +#5818=VERTEX_POINT('',#16053); +#5819=VERTEX_POINT('',#16055); +#5820=VERTEX_POINT('',#16059); +#5821=VERTEX_POINT('',#16061); +#5822=VERTEX_POINT('',#16065); +#5823=VERTEX_POINT('',#16067); +#5824=VERTEX_POINT('',#16071); +#5825=VERTEX_POINT('',#16073); +#5826=VERTEX_POINT('',#16081); +#5827=VERTEX_POINT('',#16085); +#5828=VERTEX_POINT('',#16094); +#5829=VERTEX_POINT('',#16095); +#5830=VERTEX_POINT('',#16097); +#5831=VERTEX_POINT('',#16099); +#5832=VERTEX_POINT('',#16101); +#5833=VERTEX_POINT('',#16103); +#5834=VERTEX_POINT('',#16105); +#5835=VERTEX_POINT('',#16107); +#5836=VERTEX_POINT('',#16109); +#5837=VERTEX_POINT('',#16111); +#5838=VERTEX_POINT('',#16113); +#5839=VERTEX_POINT('',#16115); +#5840=VERTEX_POINT('',#16117); +#5841=VERTEX_POINT('',#16119); +#5842=VERTEX_POINT('',#16121); +#5843=VERTEX_POINT('',#16123); +#5844=VERTEX_POINT('',#16125); +#5845=VERTEX_POINT('',#16127); +#5846=VERTEX_POINT('',#16129); +#5847=VERTEX_POINT('',#16131); +#5848=VERTEX_POINT('',#16133); +#5849=VERTEX_POINT('',#16135); +#5850=VERTEX_POINT('',#16137); +#5851=VERTEX_POINT('',#16139); +#5852=VERTEX_POINT('',#16141); +#5853=VERTEX_POINT('',#16143); +#5854=VERTEX_POINT('',#16145); +#5855=VERTEX_POINT('',#16147); +#5856=VERTEX_POINT('',#16151); +#5857=VERTEX_POINT('',#16152); +#5858=VERTEX_POINT('',#16154); +#5859=VERTEX_POINT('',#16156); +#5860=VERTEX_POINT('',#16158); +#5861=VERTEX_POINT('',#16160); +#5862=VERTEX_POINT('',#16162); +#5863=VERTEX_POINT('',#16164); +#5864=VERTEX_POINT('',#16166); +#5865=VERTEX_POINT('',#16168); +#5866=VERTEX_POINT('',#16170); +#5867=VERTEX_POINT('',#16172); +#5868=VERTEX_POINT('',#16174); +#5869=VERTEX_POINT('',#16176); +#5870=VERTEX_POINT('',#16178); +#5871=VERTEX_POINT('',#16180); +#5872=VERTEX_POINT('',#16182); +#5873=VERTEX_POINT('',#16184); +#5874=VERTEX_POINT('',#16186); +#5875=VERTEX_POINT('',#16188); +#5876=VERTEX_POINT('',#16190); +#5877=VERTEX_POINT('',#16192); +#5878=VERTEX_POINT('',#16194); +#5879=VERTEX_POINT('',#16196); +#5880=VERTEX_POINT('',#16198); +#5881=VERTEX_POINT('',#16200); +#5882=VERTEX_POINT('',#16202); +#5883=VERTEX_POINT('',#16204); +#5884=VERTEX_POINT('',#16209); +#5885=VERTEX_POINT('',#16211); +#5886=VERTEX_POINT('',#16213); +#5887=VERTEX_POINT('',#16215); +#5888=VERTEX_POINT('',#16220); +#5889=VERTEX_POINT('',#16222); +#5890=VERTEX_POINT('',#16224); +#5891=VERTEX_POINT('',#16226); +#5892=VERTEX_POINT('',#16230); +#5893=VERTEX_POINT('',#16231); +#5894=VERTEX_POINT('',#16233); +#5895=VERTEX_POINT('',#16235); +#5896=VERTEX_POINT('',#16237); +#5897=VERTEX_POINT('',#16245); +#5898=VERTEX_POINT('',#16247); +#5899=VERTEX_POINT('',#16249); +#5900=VERTEX_POINT('',#16251); +#5901=VERTEX_POINT('',#16253); +#5902=VERTEX_POINT('',#16255); +#5903=VERTEX_POINT('',#16257); +#5904=VERTEX_POINT('',#16259); +#5905=VERTEX_POINT('',#16261); +#5906=VERTEX_POINT('',#16263); +#5907=VERTEX_POINT('',#16265); +#5908=VERTEX_POINT('',#16267); +#5909=VERTEX_POINT('',#16271); +#5910=VERTEX_POINT('',#16272); +#5911=VERTEX_POINT('',#16274); +#5912=VERTEX_POINT('',#16276); +#5913=VERTEX_POINT('',#16280); +#5914=VERTEX_POINT('',#16281); +#5915=VERTEX_POINT('',#16283); +#5916=VERTEX_POINT('',#16285); +#5917=VERTEX_POINT('',#16289); +#5918=VERTEX_POINT('',#16290); +#5919=VERTEX_POINT('',#16292); +#5920=VERTEX_POINT('',#16294); +#5921=VERTEX_POINT('',#16296); +#5922=VERTEX_POINT('',#16300); +#5923=VERTEX_POINT('',#16301); +#5924=VERTEX_POINT('',#16303); +#5925=VERTEX_POINT('',#16305); +#5926=VERTEX_POINT('',#16307); +#5927=VERTEX_POINT('',#16309); +#5928=VERTEX_POINT('',#16311); +#5929=VERTEX_POINT('',#16313); +#5930=VERTEX_POINT('',#16317); +#5931=VERTEX_POINT('',#16319); +#5932=VERTEX_POINT('',#16321); +#5933=VERTEX_POINT('',#16323); +#5934=VERTEX_POINT('',#16327); +#5935=VERTEX_POINT('',#16328); +#5936=VERTEX_POINT('',#16330); +#5937=VERTEX_POINT('',#16332); +#5938=VERTEX_POINT('',#16336); +#5939=VERTEX_POINT('',#16337); +#5940=VERTEX_POINT('',#16339); +#5941=VERTEX_POINT('',#16341); +#5942=VERTEX_POINT('',#16346); +#5943=VERTEX_POINT('',#16348); +#5944=VERTEX_POINT('',#16350); +#5945=VERTEX_POINT('',#16352); +#5946=VERTEX_POINT('',#16354); +#5947=VERTEX_POINT('',#16356); +#5948=VERTEX_POINT('',#16359); +#5949=VERTEX_POINT('',#16361); +#5950=VERTEX_POINT('',#16363); +#5951=VERTEX_POINT('',#16365); +#5952=VERTEX_POINT('',#16369); +#5953=VERTEX_POINT('',#16371); +#5954=VERTEX_POINT('',#16374); +#5955=VERTEX_POINT('',#16376); +#5956=VERTEX_POINT('',#16378); +#5957=VERTEX_POINT('',#16380); +#5958=VERTEX_POINT('',#16388); +#5959=VERTEX_POINT('',#16390); +#5960=VERTEX_POINT('',#16393); +#5961=VERTEX_POINT('',#16395); +#5962=VERTEX_POINT('',#16397); +#5963=VERTEX_POINT('',#16399); +#5964=VERTEX_POINT('',#16402); +#5965=VERTEX_POINT('',#16404); +#5966=VERTEX_POINT('',#16406); +#5967=VERTEX_POINT('',#16408); +#5968=VERTEX_POINT('',#16410); +#5969=VERTEX_POINT('',#16412); +#5970=VERTEX_POINT('',#16415); +#5971=VERTEX_POINT('',#16417); +#5972=VERTEX_POINT('',#16419); +#5973=VERTEX_POINT('',#16421); +#5974=VERTEX_POINT('',#16426); +#5975=VERTEX_POINT('',#16428); +#5976=VERTEX_POINT('',#16432); +#5977=VERTEX_POINT('',#16434); +#5978=VERTEX_POINT('',#16436); +#5979=VERTEX_POINT('',#16438); +#5980=VERTEX_POINT('',#16441); +#5981=VERTEX_POINT('',#16443); +#5982=VERTEX_POINT('',#16445); +#5983=VERTEX_POINT('',#16447); +#5984=VERTEX_POINT('',#16449); +#5985=VERTEX_POINT('',#16451); +#5986=VERTEX_POINT('',#16454); +#5987=VERTEX_POINT('',#16456); +#5988=VERTEX_POINT('',#16464); +#5989=VERTEX_POINT('',#16466); +#5990=VERTEX_POINT('',#16468); +#5991=VERTEX_POINT('',#16470); +#5992=VERTEX_POINT('',#16472); +#5993=VERTEX_POINT('',#16474); +#5994=VERTEX_POINT('',#16477); +#5995=VERTEX_POINT('',#16479); +#5996=VERTEX_POINT('',#16481); +#5997=VERTEX_POINT('',#16483); +#5998=VERTEX_POINT('',#16486); +#5999=VERTEX_POINT('',#16488); +#6000=VERTEX_POINT('',#16491); +#6001=VERTEX_POINT('',#16493); +#6002=VERTEX_POINT('',#16523); +#6003=VERTEX_POINT('',#16527); +#6004=VERTEX_POINT('',#16531); +#6005=VERTEX_POINT('',#16540); +#6006=VERTEX_POINT('',#16541); +#6007=VERTEX_POINT('',#16546); +#6008=VERTEX_POINT('',#16548); +#6009=VERTEX_POINT('',#16597); +#6010=VERTEX_POINT('',#16601); +#6011=VERTEX_POINT('',#16605); +#6012=VERTEX_POINT('',#16614); +#6013=VERTEX_POINT('',#16615); +#6014=VERTEX_POINT('',#16620); +#6015=VERTEX_POINT('',#16622); +#6016=VERTEX_POINT('',#16665); +#6017=VERTEX_POINT('',#16666); +#6018=VERTEX_POINT('',#16668); +#6019=VERTEX_POINT('',#16670); +#6020=VERTEX_POINT('',#16674); +#6021=VERTEX_POINT('',#16675); +#6022=VERTEX_POINT('',#16677); +#6023=VERTEX_POINT('',#16679); +#6024=VERTEX_POINT('',#16683); +#6025=VERTEX_POINT('',#16684); +#6026=VERTEX_POINT('',#16686); +#6027=VERTEX_POINT('',#16688); +#6028=VERTEX_POINT('',#16690); +#6029=VERTEX_POINT('',#16692); +#6030=VERTEX_POINT('',#16694); +#6031=VERTEX_POINT('',#16696); +#6032=VERTEX_POINT('',#16699); +#6033=VERTEX_POINT('',#16700); +#6034=VERTEX_POINT('',#16702); +#6035=VERTEX_POINT('',#16704); +#6036=VERTEX_POINT('',#16706); +#6037=VERTEX_POINT('',#16708); +#6038=VERTEX_POINT('',#16710); +#6039=VERTEX_POINT('',#16712); +#6040=VERTEX_POINT('',#16714); +#6041=VERTEX_POINT('',#16716); +#6042=VERTEX_POINT('',#16718); +#6043=VERTEX_POINT('',#16720); +#6044=VERTEX_POINT('',#16722); +#6045=VERTEX_POINT('',#16724); +#6046=VERTEX_POINT('',#16726); +#6047=VERTEX_POINT('',#16728); +#6048=VERTEX_POINT('',#16731); +#6049=VERTEX_POINT('',#16732); +#6050=VERTEX_POINT('',#16734); +#6051=VERTEX_POINT('',#16736); +#6052=VERTEX_POINT('',#16738); +#6053=VERTEX_POINT('',#16740); +#6054=VERTEX_POINT('',#16742); +#6055=VERTEX_POINT('',#16744); +#6056=VERTEX_POINT('',#16747); +#6057=VERTEX_POINT('',#16748); +#6058=VERTEX_POINT('',#16750); +#6059=VERTEX_POINT('',#16752); +#6060=VERTEX_POINT('',#16754); +#6061=VERTEX_POINT('',#16756); +#6062=VERTEX_POINT('',#16758); +#6063=VERTEX_POINT('',#16760); +#6064=VERTEX_POINT('',#16762); +#6065=VERTEX_POINT('',#16764); +#6066=VERTEX_POINT('',#16766); +#6067=VERTEX_POINT('',#16768); +#6068=VERTEX_POINT('',#16770); +#6069=VERTEX_POINT('',#16772); +#6070=VERTEX_POINT('',#16774); +#6071=VERTEX_POINT('',#16776); +#6072=VERTEX_POINT('',#16779); +#6073=VERTEX_POINT('',#16780); +#6074=VERTEX_POINT('',#16782); +#6075=VERTEX_POINT('',#16784); +#6076=VERTEX_POINT('',#16786); +#6077=VERTEX_POINT('',#16788); +#6078=VERTEX_POINT('',#16790); +#6079=VERTEX_POINT('',#16792); +#6080=VERTEX_POINT('',#16794); +#6081=VERTEX_POINT('',#16796); +#6082=VERTEX_POINT('',#16798); +#6083=VERTEX_POINT('',#16800); +#6084=VERTEX_POINT('',#16802); +#6085=VERTEX_POINT('',#16804); +#6086=VERTEX_POINT('',#16807); +#6087=VERTEX_POINT('',#16809); +#6088=VERTEX_POINT('',#16812); +#6089=VERTEX_POINT('',#16814); +#6090=VERTEX_POINT('',#16818); +#6091=VERTEX_POINT('',#16819); +#6092=VERTEX_POINT('',#16821); +#6093=VERTEX_POINT('',#16823); +#6094=VERTEX_POINT('',#16825); +#6095=VERTEX_POINT('',#16827); +#6096=VERTEX_POINT('',#16829); +#6097=VERTEX_POINT('',#16831); +#6098=VERTEX_POINT('',#16833); +#6099=VERTEX_POINT('',#16835); +#6100=VERTEX_POINT('',#16837); +#6101=VERTEX_POINT('',#16839); +#6102=VERTEX_POINT('',#16841); +#6103=VERTEX_POINT('',#16843); +#6104=VERTEX_POINT('',#16845); +#6105=VERTEX_POINT('',#16847); +#6106=VERTEX_POINT('',#16850); +#6107=VERTEX_POINT('',#16851); +#6108=VERTEX_POINT('',#16853); +#6109=VERTEX_POINT('',#16855); +#6110=VERTEX_POINT('',#16857); +#6111=VERTEX_POINT('',#16859); +#6112=VERTEX_POINT('',#16861); +#6113=VERTEX_POINT('',#16863); +#6114=VERTEX_POINT('',#16866); +#6115=VERTEX_POINT('',#16867); +#6116=VERTEX_POINT('',#16869); +#6117=VERTEX_POINT('',#16871); +#6118=VERTEX_POINT('',#16873); +#6119=VERTEX_POINT('',#16875); +#6120=VERTEX_POINT('',#16877); +#6121=VERTEX_POINT('',#16879); +#6122=VERTEX_POINT('',#16881); +#6123=VERTEX_POINT('',#16883); +#6124=VERTEX_POINT('',#16885); +#6125=VERTEX_POINT('',#16887); +#6126=VERTEX_POINT('',#16889); +#6127=VERTEX_POINT('',#16891); +#6128=VERTEX_POINT('',#16893); +#6129=VERTEX_POINT('',#16895); +#6130=VERTEX_POINT('',#16898); +#6131=VERTEX_POINT('',#16899); +#6132=VERTEX_POINT('',#16901); +#6133=VERTEX_POINT('',#16903); +#6134=VERTEX_POINT('',#16905); +#6135=VERTEX_POINT('',#16907); +#6136=VERTEX_POINT('',#16909); +#6137=VERTEX_POINT('',#16911); +#6138=VERTEX_POINT('',#16914); +#6139=VERTEX_POINT('',#16915); +#6140=VERTEX_POINT('',#16917); +#6141=VERTEX_POINT('',#16919); +#6142=VERTEX_POINT('',#16921); +#6143=VERTEX_POINT('',#16923); +#6144=VERTEX_POINT('',#16926); +#6145=VERTEX_POINT('',#16928); +#6146=VERTEX_POINT('',#16931); +#6147=VERTEX_POINT('',#16933); +#6148=VERTEX_POINT('',#16935); +#6149=VERTEX_POINT('',#16937); +#6150=VERTEX_POINT('',#16939); +#6151=VERTEX_POINT('',#16941); +#6152=VERTEX_POINT('',#16943); +#6153=VERTEX_POINT('',#16945); +#6154=VERTEX_POINT('',#16947); +#6155=VERTEX_POINT('',#16949); +#6156=VERTEX_POINT('',#16963); +#6157=VERTEX_POINT('',#16965); +#6158=VERTEX_POINT('',#16967); +#6159=VERTEX_POINT('',#16969); +#6160=VERTEX_POINT('',#16976); +#6161=VERTEX_POINT('',#16977); +#6162=VERTEX_POINT('',#16979); +#6163=VERTEX_POINT('',#16981); +#6164=VERTEX_POINT('',#16985); +#6165=VERTEX_POINT('',#16986); +#6166=VERTEX_POINT('',#16988); +#6167=VERTEX_POINT('',#16990); +#6168=VERTEX_POINT('',#16994); +#6169=VERTEX_POINT('',#16995); +#6170=VERTEX_POINT('',#16997); +#6171=VERTEX_POINT('',#16999); +#6172=VERTEX_POINT('',#17001); +#6173=VERTEX_POINT('',#17003); +#6174=VERTEX_POINT('',#17005); +#6175=VERTEX_POINT('',#17007); +#6176=VERTEX_POINT('',#17011); +#6177=VERTEX_POINT('',#17012); +#6178=VERTEX_POINT('',#17017); +#6179=VERTEX_POINT('',#17019); +#6180=VERTEX_POINT('',#17023); +#6181=VERTEX_POINT('',#17024); +#6182=VERTEX_POINT('',#17027); +#6183=VERTEX_POINT('',#17031); +#6184=VERTEX_POINT('',#17033); +#6185=VERTEX_POINT('',#17035); +#6186=VERTEX_POINT('',#17040); +#6187=VERTEX_POINT('',#17041); +#6188=VERTEX_POINT('',#17043); +#6189=VERTEX_POINT('',#17045); +#6190=VERTEX_POINT('',#17047); +#6191=VERTEX_POINT('',#17049); +#6192=VERTEX_POINT('',#17051); +#6193=VERTEX_POINT('',#17053); +#6194=VERTEX_POINT('',#17057); +#6195=VERTEX_POINT('',#17059); +#6196=VERTEX_POINT('',#17063); +#6197=VERTEX_POINT('',#17065); +#6198=VERTEX_POINT('',#17069); +#6199=VERTEX_POINT('',#17071); +#6200=VERTEX_POINT('',#17074); +#6201=VERTEX_POINT('',#17076); +#6202=VERTEX_POINT('',#17078); +#6203=VERTEX_POINT('',#17082); +#6204=VERTEX_POINT('',#17089); +#6205=VERTEX_POINT('',#17090); +#6206=VERTEX_POINT('',#17092); +#6207=VERTEX_POINT('',#17094); +#6208=VERTEX_POINT('',#17098); +#6209=VERTEX_POINT('',#17099); +#6210=VERTEX_POINT('',#17101); +#6211=VERTEX_POINT('',#17103); +#6212=VERTEX_POINT('',#17107); +#6213=VERTEX_POINT('',#17108); +#6214=VERTEX_POINT('',#17110); +#6215=VERTEX_POINT('',#17112); +#6216=VERTEX_POINT('',#17114); +#6217=VERTEX_POINT('',#17116); +#6218=VERTEX_POINT('',#17118); +#6219=VERTEX_POINT('',#17123); +#6220=VERTEX_POINT('',#17127); +#6221=VERTEX_POINT('',#17128); +#6222=VERTEX_POINT('',#17133); +#6223=VERTEX_POINT('',#17135); +#6224=VERTEX_POINT('',#17139); +#6225=VERTEX_POINT('',#17141); +#6226=VERTEX_POINT('',#17144); +#6227=VERTEX_POINT('',#17146); +#6228=VERTEX_POINT('',#17150); +#6229=VERTEX_POINT('',#17151); +#6230=VERTEX_POINT('',#17153); +#6231=VERTEX_POINT('',#17155); +#6232=VERTEX_POINT('',#17160); +#6233=VERTEX_POINT('',#17162); +#6234=VERTEX_POINT('',#17164); +#6235=VERTEX_POINT('',#17166); +#6236=VERTEX_POINT('',#17170); +#6237=VERTEX_POINT('',#17172); +#6238=VERTEX_POINT('',#17176); +#6239=VERTEX_POINT('',#17178); +#6240=VERTEX_POINT('',#17182); +#6241=VERTEX_POINT('',#17184); +#6242=VERTEX_POINT('',#17187); +#6243=VERTEX_POINT('',#17189); +#6244=VERTEX_POINT('',#17198); +#6245=VERTEX_POINT('',#17199); +#6246=VERTEX_POINT('',#17201); +#6247=VERTEX_POINT('',#17203); +#6248=VERTEX_POINT('',#17207); +#6249=VERTEX_POINT('',#17208); +#6250=VERTEX_POINT('',#17210); +#6251=VERTEX_POINT('',#17212); +#6252=VERTEX_POINT('',#17216); +#6253=VERTEX_POINT('',#17217); +#6254=VERTEX_POINT('',#17225); +#6255=VERTEX_POINT('',#17227); +#6256=VERTEX_POINT('',#17234); +#6257=VERTEX_POINT('',#17236); +#6258=VERTEX_POINT('',#17243); +#6259=VERTEX_POINT('',#17245); +#6260=VERTEX_POINT('',#17304); +#6261=VERTEX_POINT('',#17305); +#6262=VERTEX_POINT('',#17307); +#6263=VERTEX_POINT('',#17309); +#6264=VERTEX_POINT('',#17313); +#6265=VERTEX_POINT('',#17315); +#6266=VERTEX_POINT('',#17319); +#6267=VERTEX_POINT('',#17321); +#6268=VERTEX_POINT('',#17325); +#6269=VERTEX_POINT('',#17327); +#6270=VERTEX_POINT('',#17329); +#6271=VERTEX_POINT('',#17331); +#6272=VERTEX_POINT('',#17335); +#6273=VERTEX_POINT('',#17339); +#6274=VERTEX_POINT('',#17341); +#6275=VERTEX_POINT('',#17343); +#6276=VERTEX_POINT('',#17391); +#6277=VERTEX_POINT('',#17392); +#6278=VERTEX_POINT('',#17394); +#6279=VERTEX_POINT('',#17396); +#6280=VERTEX_POINT('',#17414); +#6281=VERTEX_POINT('',#17416); +#6282=VERTEX_POINT('',#17423); +#6283=VERTEX_POINT('',#17424); +#6284=VERTEX_POINT('',#17460); +#6285=VERTEX_POINT('',#17461); +#6286=VERTEX_POINT('',#17472); +#6287=VERTEX_POINT('',#17473); +#6288=VERTEX_POINT('',#17500); +#6289=VERTEX_POINT('',#17501); +#6290=VERTEX_POINT('',#17503); +#6291=VERTEX_POINT('',#17505); +#6292=VERTEX_POINT('',#17507); +#6293=VERTEX_POINT('',#17509); +#6294=VERTEX_POINT('',#17511); +#6295=VERTEX_POINT('',#17513); +#6296=VERTEX_POINT('',#17517); +#6297=VERTEX_POINT('',#17519); +#6298=VERTEX_POINT('',#17523); +#6299=VERTEX_POINT('',#17527); +#6300=VERTEX_POINT('',#17531); +#6301=VERTEX_POINT('',#17535); +#6302=VERTEX_POINT('',#17539); +#6303=VERTEX_POINT('',#17543); +#6304=VERTEX_POINT('',#17549); +#6305=VERTEX_POINT('',#17551); +#6306=VERTEX_POINT('',#17555); +#6307=VERTEX_POINT('',#17559); +#6308=VERTEX_POINT('',#17563); +#6309=VERTEX_POINT('',#17567); +#6310=VERTEX_POINT('',#17571); +#6311=VERTEX_POINT('',#17573); +#6312=VERTEX_POINT('',#17581); +#6313=VERTEX_POINT('',#17582); +#6314=VERTEX_POINT('',#17584); +#6315=VERTEX_POINT('',#17586); +#6316=VERTEX_POINT('',#17588); +#6317=VERTEX_POINT('',#17590); +#6318=VERTEX_POINT('',#17592); +#6319=VERTEX_POINT('',#17594); +#6320=VERTEX_POINT('',#17667); +#6321=VERTEX_POINT('',#17668); +#6322=VERTEX_POINT('',#17670); +#6323=VERTEX_POINT('',#17672); +#6324=VERTEX_POINT('',#17676); +#6325=VERTEX_POINT('',#17678); +#6326=VERTEX_POINT('',#17682); +#6327=VERTEX_POINT('',#17684); +#6328=VERTEX_POINT('',#17688); +#6329=VERTEX_POINT('',#17690); +#6330=VERTEX_POINT('',#17694); +#6331=VERTEX_POINT('',#17696); +#6332=VERTEX_POINT('',#17698); +#6333=VERTEX_POINT('',#17702); +#6334=VERTEX_POINT('',#17706); +#6335=VERTEX_POINT('',#17708); +#6336=VERTEX_POINT('',#17765); +#6337=VERTEX_POINT('',#17766); +#6338=VERTEX_POINT('',#17791); +#6339=VERTEX_POINT('',#17793); +#6340=VERTEX_POINT('',#17800); +#6341=VERTEX_POINT('',#17802); +#6342=VERTEX_POINT('',#17820); +#6343=VERTEX_POINT('',#17822); +#6344=VERTEX_POINT('',#17829); +#6345=VERTEX_POINT('',#17831); +#6346=VERTEX_POINT('',#17849); +#6347=VERTEX_POINT('',#17851); +#6348=VERTEX_POINT('',#17863); +#6349=VERTEX_POINT('',#17864); +#6350=VERTEX_POINT('',#17866); +#6351=VERTEX_POINT('',#17868); +#6352=VERTEX_POINT('',#17870); +#6353=VERTEX_POINT('',#17872); +#6354=VERTEX_POINT('',#17874); +#6355=VERTEX_POINT('',#17876); +#6356=VERTEX_POINT('',#17880); +#6357=VERTEX_POINT('',#17882); +#6358=VERTEX_POINT('',#17886); +#6359=VERTEX_POINT('',#17890); +#6360=VERTEX_POINT('',#17894); +#6361=VERTEX_POINT('',#17898); +#6362=VERTEX_POINT('',#17902); +#6363=VERTEX_POINT('',#17906); +#6364=VERTEX_POINT('',#17912); +#6365=VERTEX_POINT('',#17914); +#6366=VERTEX_POINT('',#17918); +#6367=VERTEX_POINT('',#17922); +#6368=VERTEX_POINT('',#17926); +#6369=VERTEX_POINT('',#17930); +#6370=VERTEX_POINT('',#17934); +#6371=VERTEX_POINT('',#17936); +#6372=VERTEX_POINT('',#17944); +#6373=VERTEX_POINT('',#17945); +#6374=VERTEX_POINT('',#17947); +#6375=VERTEX_POINT('',#17949); +#6376=VERTEX_POINT('',#17951); +#6377=VERTEX_POINT('',#17953); +#6378=VERTEX_POINT('',#17955); +#6379=VERTEX_POINT('',#17957); +#6380=VERTEX_POINT('',#18015); +#6381=VERTEX_POINT('',#18017); +#6382=VERTEX_POINT('',#18024); +#6383=VERTEX_POINT('',#18026); +#6384=VERTEX_POINT('',#18028); +#6385=VERTEX_POINT('',#18030); +#6386=VERTEX_POINT('',#18034); +#6387=VERTEX_POINT('',#18036); +#6388=VERTEX_POINT('',#18038); +#6389=VERTEX_POINT('',#18040); +#6390=VERTEX_POINT('',#18048); +#6391=VERTEX_POINT('',#18049); +#6392=VERTEX_POINT('',#18051); +#6393=VERTEX_POINT('',#18053); +#6394=VERTEX_POINT('',#18057); +#6395=VERTEX_POINT('',#18058); +#6396=VERTEX_POINT('',#18060); +#6397=VERTEX_POINT('',#18062); +#6398=VERTEX_POINT('',#18066); +#6399=VERTEX_POINT('',#18068); +#6400=VERTEX_POINT('',#18075); +#6401=VERTEX_POINT('',#18077); +#6402=VERTEX_POINT('',#18084); +#6403=VERTEX_POINT('',#18086); +#6404=VERTEX_POINT('',#18090); +#6405=VERTEX_POINT('',#18092); +#6406=VERTEX_POINT('',#18096); +#6407=VERTEX_POINT('',#18098); +#6408=LINE('',#14721,#7598); +#6409=LINE('',#14724,#7599); +#6410=LINE('',#14726,#7600); +#6411=LINE('',#14728,#7601); +#6412=LINE('',#14730,#7602); +#6413=LINE('',#14732,#7603); +#6414=LINE('',#14734,#7604); +#6415=LINE('',#14736,#7605); +#6416=LINE('',#14738,#7606); +#6417=LINE('',#14740,#7607); +#6418=LINE('',#14742,#7608); +#6419=LINE('',#14744,#7609); +#6420=LINE('',#14746,#7610); +#6421=LINE('',#14749,#7611); +#6422=LINE('',#14751,#7612); +#6423=LINE('',#14753,#7613); +#6424=LINE('',#14755,#7614); +#6425=LINE('',#14758,#7615); +#6426=LINE('',#14760,#7616); +#6427=LINE('',#14762,#7617); +#6428=LINE('',#14764,#7618); +#6429=LINE('',#14767,#7619); +#6430=LINE('',#14769,#7620); +#6431=LINE('',#14771,#7621); +#6432=LINE('',#14773,#7622); +#6433=LINE('',#14775,#7623); +#6434=LINE('',#14777,#7624); +#6435=LINE('',#14778,#7625); +#6436=LINE('',#14780,#7626); +#6437=LINE('',#14782,#7627); +#6438=LINE('',#14784,#7628); +#6439=LINE('',#14786,#7629); +#6440=LINE('',#14788,#7630); +#6441=LINE('',#14790,#7631); +#6442=LINE('',#14792,#7632); +#6443=LINE('',#14794,#7633); +#6444=LINE('',#14796,#7634); +#6445=LINE('',#14798,#7635); +#6446=LINE('',#14800,#7636); +#6447=LINE('',#14802,#7637); +#6448=LINE('',#14804,#7638); +#6449=LINE('',#14806,#7639); +#6450=LINE('',#14808,#7640); +#6451=LINE('',#14810,#7641); +#6452=LINE('',#14812,#7642); +#6453=LINE('',#14814,#7643); +#6454=LINE('',#14816,#7644); +#6455=LINE('',#14818,#7645); +#6456=LINE('',#14820,#7646); +#6457=LINE('',#14822,#7647); +#6458=LINE('',#14824,#7648); +#6459=LINE('',#14826,#7649); +#6460=LINE('',#14828,#7650); +#6461=LINE('',#14833,#7651); +#6462=LINE('',#14835,#7652); +#6463=LINE('',#14837,#7653); +#6464=LINE('',#14839,#7654); +#6465=LINE('',#14841,#7655); +#6466=LINE('',#14845,#7656); +#6467=LINE('',#14850,#7657); +#6468=LINE('',#14854,#7658); +#6469=LINE('',#14856,#7659); +#6470=LINE('',#14858,#7660); +#6471=LINE('',#14860,#7661); +#6472=LINE('',#14862,#7662); +#6473=LINE('',#14864,#7663); +#6474=LINE('',#14866,#7664); +#6475=LINE('',#14868,#7665); +#6476=LINE('',#14870,#7666); +#6477=LINE('',#14872,#7667); +#6478=LINE('',#14874,#7668); +#6479=LINE('',#14876,#7669); +#6480=LINE('',#14878,#7670); +#6481=LINE('',#14880,#7671); +#6482=LINE('',#14882,#7672); +#6483=LINE('',#14886,#7673); +#6484=LINE('',#14888,#7674); +#6485=LINE('',#14889,#7675); +#6486=LINE('',#14891,#7676); +#6487=LINE('',#14895,#7677); +#6488=LINE('',#14897,#7678); +#6489=LINE('',#14899,#7679); +#6490=LINE('',#14903,#7680); +#6491=LINE('',#14905,#7681); +#6492=LINE('',#14906,#7682); +#6493=LINE('',#14908,#7683); +#6494=LINE('',#14912,#7684); +#6495=LINE('',#14914,#7685); +#6496=LINE('',#14916,#7686); +#6497=LINE('',#14918,#7687); +#6498=LINE('',#14922,#7688); +#6499=LINE('',#14924,#7689); +#6500=LINE('',#14925,#7690); +#6501=LINE('',#14927,#7691); +#6502=LINE('',#14931,#7692); +#6503=LINE('',#14933,#7693); +#6504=LINE('',#14935,#7694); +#6505=LINE('',#14939,#7695); +#6506=LINE('',#14941,#7696); +#6507=LINE('',#14942,#7697); +#6508=LINE('',#14944,#7698); +#6509=LINE('',#14948,#7699); +#6510=LINE('',#14950,#7700); +#6511=LINE('',#14952,#7701); +#6512=LINE('',#14954,#7702); +#6513=LINE('',#14958,#7703); +#6514=LINE('',#14960,#7704); +#6515=LINE('',#14962,#7705); +#6516=LINE('',#14964,#7706); +#6517=LINE('',#14966,#7707); +#6518=LINE('',#14970,#7708); +#6519=LINE('',#14972,#7709); +#6520=LINE('',#14974,#7710); +#6521=LINE('',#14978,#7711); +#6522=LINE('',#14980,#7712); +#6523=LINE('',#14982,#7713); +#6524=LINE('',#14984,#7714); +#6525=LINE('',#14986,#7715); +#6526=LINE('',#14990,#7716); +#6527=LINE('',#14992,#7717); +#6528=LINE('',#14994,#7718); +#6529=LINE('',#14996,#7719); +#6530=LINE('',#15000,#7720); +#6531=LINE('',#15002,#7721); +#6532=LINE('',#15004,#7722); +#6533=LINE('',#15006,#7723); +#6534=LINE('',#15008,#7724); +#6535=LINE('',#15012,#7725); +#6536=LINE('',#15014,#7726); +#6537=LINE('',#15016,#7727); +#6538=LINE('',#15020,#7728); +#6539=LINE('',#15022,#7729); +#6540=LINE('',#15024,#7730); +#6541=LINE('',#15026,#7731); +#6542=LINE('',#15028,#7732); +#6543=LINE('',#15032,#7733); +#6544=LINE('',#15034,#7734); +#6545=LINE('',#15036,#7735); +#6546=LINE('',#15039,#7736); +#6547=LINE('',#15041,#7737); +#6548=LINE('',#15043,#7738); +#6549=LINE('',#15044,#7739); +#6550=LINE('',#15047,#7740); +#6551=LINE('',#15049,#7741); +#6552=LINE('',#15051,#7742); +#6553=LINE('',#15052,#7743); +#6554=LINE('',#15055,#7744); +#6555=LINE('',#15057,#7745); +#6556=LINE('',#15059,#7746); +#6557=LINE('',#15060,#7747); +#6558=LINE('',#15063,#7748); +#6559=LINE('',#15065,#7749); +#6560=LINE('',#15067,#7750); +#6561=LINE('',#15068,#7751); +#6562=LINE('',#15071,#7752); +#6563=LINE('',#15073,#7753); +#6564=LINE('',#15075,#7754); +#6565=LINE('',#15076,#7755); +#6566=LINE('',#15079,#7756); +#6567=LINE('',#15081,#7757); +#6568=LINE('',#15083,#7758); +#6569=LINE('',#15085,#7759); +#6570=LINE('',#15087,#7760); +#6571=LINE('',#15089,#7761); +#6572=LINE('',#15091,#7762); +#6573=LINE('',#15093,#7763); +#6574=LINE('',#15095,#7764); +#6575=LINE('',#15097,#7765); +#6576=LINE('',#15099,#7766); +#6577=LINE('',#15100,#7767); +#6578=LINE('',#15103,#7768); +#6579=LINE('',#15105,#7769); +#6580=LINE('',#15107,#7770); +#6581=LINE('',#15108,#7771); +#6582=LINE('',#15111,#7772); +#6583=LINE('',#15113,#7773); +#6584=LINE('',#15115,#7774); +#6585=LINE('',#15116,#7775); +#6586=LINE('',#15119,#7776); +#6587=LINE('',#15121,#7777); +#6588=LINE('',#15123,#7778); +#6589=LINE('',#15124,#7779); +#6590=LINE('',#15127,#7780); +#6591=LINE('',#15129,#7781); +#6592=LINE('',#15131,#7782); +#6593=LINE('',#15132,#7783); +#6594=LINE('',#15135,#7784); +#6595=LINE('',#15137,#7785); +#6596=LINE('',#15139,#7786); +#6597=LINE('',#15141,#7787); +#6598=LINE('',#15144,#7788); +#6599=LINE('',#15146,#7789); +#6600=LINE('',#15148,#7790); +#6601=LINE('',#15150,#7791); +#6602=LINE('',#15153,#7792); +#6603=LINE('',#15155,#7793); +#6604=LINE('',#15157,#7794); +#6605=LINE('',#15159,#7795); +#6606=LINE('',#15162,#7796); +#6607=LINE('',#15164,#7797); +#6608=LINE('',#15166,#7798); +#6609=LINE('',#15168,#7799); +#6610=LINE('',#15170,#7800); +#6611=LINE('',#15172,#7801); +#6612=LINE('',#15174,#7802); +#6613=LINE('',#15176,#7803); +#6614=LINE('',#15179,#7804); +#6615=LINE('',#15181,#7805); +#6616=LINE('',#15183,#7806); +#6617=LINE('',#15185,#7807); +#6618=LINE('',#15187,#7808); +#6619=LINE('',#15189,#7809); +#6620=LINE('',#15190,#7810); +#6621=LINE('',#15192,#7811); +#6622=LINE('',#15194,#7812); +#6623=LINE('',#15196,#7813); +#6624=LINE('',#15198,#7814); +#6625=LINE('',#15200,#7815); +#6626=LINE('',#15202,#7816); +#6627=LINE('',#15204,#7817); +#6628=LINE('',#15206,#7818); +#6629=LINE('',#15208,#7819); +#6630=LINE('',#15210,#7820); +#6631=LINE('',#15212,#7821); +#6632=LINE('',#15214,#7822); +#6633=LINE('',#15216,#7823); +#6634=LINE('',#15218,#7824); +#6635=LINE('',#15220,#7825); +#6636=LINE('',#15222,#7826); +#6637=LINE('',#15224,#7827); +#6638=LINE('',#15226,#7828); +#6639=LINE('',#15227,#7829); +#6640=LINE('',#15228,#7830); +#6641=LINE('',#15230,#7831); +#6642=LINE('',#15232,#7832); +#6643=LINE('',#15237,#7833); +#6644=LINE('',#15241,#7834); +#6645=LINE('',#15245,#7835); +#6646=LINE('',#15249,#7836); +#6647=LINE('',#15251,#7837); +#6648=LINE('',#15254,#7838); +#6649=LINE('',#15257,#7839); +#6650=LINE('',#15258,#7840); +#6651=LINE('',#15259,#7841); +#6652=LINE('',#15261,#7842); +#6653=LINE('',#15263,#7843); +#6654=LINE('',#15265,#7844); +#6655=LINE('',#15266,#7845); +#6656=LINE('',#15267,#7846); +#6657=LINE('',#15268,#7847); +#6658=LINE('',#15269,#7848); +#6659=LINE('',#15273,#7849); +#6660=LINE('',#15276,#7850); +#6661=LINE('',#15278,#7851); +#6662=LINE('',#15282,#7852); +#6663=LINE('',#15286,#7853); +#6664=LINE('',#15288,#7854); +#6665=LINE('',#15289,#7855); +#6666=LINE('',#15290,#7856); +#6667=LINE('',#15291,#7857); +#6668=LINE('',#15293,#7858); +#6669=LINE('',#15295,#7859); +#6670=LINE('',#15298,#7860); +#6671=LINE('',#15300,#7861); +#6672=LINE('',#15302,#7862); +#6673=LINE('',#15303,#7863); +#6674=LINE('',#15304,#7864); +#6675=LINE('',#15306,#7865); +#6676=LINE('',#15307,#7866); +#6677=LINE('',#15309,#7867); +#6678=LINE('',#15310,#7868); +#6679=LINE('',#15313,#7869); +#6680=LINE('',#15315,#7870); +#6681=LINE('',#15317,#7871); +#6682=LINE('',#15319,#7872); +#6683=LINE('',#15321,#7873); +#6684=LINE('',#15324,#7874); +#6685=LINE('',#15326,#7875); +#6686=LINE('',#15328,#7876); +#6687=LINE('',#15329,#7877); +#6688=LINE('',#15330,#7878); +#6689=LINE('',#15332,#7879); +#6690=LINE('',#15333,#7880); +#6691=LINE('',#15335,#7881); +#6692=LINE('',#15336,#7882); +#6693=LINE('',#15339,#7883); +#6694=LINE('',#15341,#7884); +#6695=LINE('',#15343,#7885); +#6696=LINE('',#15345,#7886); +#6697=LINE('',#15347,#7887); +#6698=LINE('',#15350,#7888); +#6699=LINE('',#15352,#7889); +#6700=LINE('',#15354,#7890); +#6701=LINE('',#15355,#7891); +#6702=LINE('',#15356,#7892); +#6703=LINE('',#15358,#7893); +#6704=LINE('',#15359,#7894); +#6705=LINE('',#15361,#7895); +#6706=LINE('',#15362,#7896); +#6707=LINE('',#15365,#7897); +#6708=LINE('',#15367,#7898); +#6709=LINE('',#15369,#7899); +#6710=LINE('',#15371,#7900); +#6711=LINE('',#15373,#7901); +#6712=LINE('',#15376,#7902); +#6713=LINE('',#15378,#7903); +#6714=LINE('',#15380,#7904); +#6715=LINE('',#15381,#7905); +#6716=LINE('',#15382,#7906); +#6717=LINE('',#15384,#7907); +#6718=LINE('',#15385,#7908); +#6719=LINE('',#15387,#7909); +#6720=LINE('',#15388,#7910); +#6721=LINE('',#15391,#7911); +#6722=LINE('',#15393,#7912); +#6723=LINE('',#15395,#7913); +#6724=LINE('',#15401,#7914); +#6725=LINE('',#15404,#7915); +#6726=LINE('',#15406,#7916); +#6727=LINE('',#15408,#7917); +#6728=LINE('',#15410,#7918); +#6729=LINE('',#15412,#7919); +#6730=LINE('',#15414,#7920); +#6731=LINE('',#15415,#7921); +#6732=LINE('',#15417,#7922); +#6733=LINE('',#15419,#7923); +#6734=LINE('',#15420,#7924); +#6735=LINE('',#15422,#7925); +#6736=LINE('',#15424,#7926); +#6737=LINE('',#15426,#7927); +#6738=LINE('',#15428,#7928); +#6739=LINE('',#15430,#7929); +#6740=LINE('',#15432,#7930); +#6741=LINE('',#15434,#7931); +#6742=LINE('',#15436,#7932); +#6743=LINE('',#15438,#7933); +#6744=LINE('',#15440,#7934); +#6745=LINE('',#15443,#7935); +#6746=LINE('',#15445,#7936); +#6747=LINE('',#15447,#7937); +#6748=LINE('',#15448,#7938); +#6749=LINE('',#15449,#7939); +#6750=LINE('',#15451,#7940); +#6751=LINE('',#15453,#7941); +#6752=LINE('',#15455,#7942); +#6753=LINE('',#15458,#7943); +#6754=LINE('',#15459,#7944); +#6755=LINE('',#15460,#7945); +#6756=LINE('',#15462,#7946); +#6757=LINE('',#15464,#7947); +#6758=LINE('',#15466,#7948); +#6759=LINE('',#15468,#7949); +#6760=LINE('',#15470,#7950); +#6761=LINE('',#15471,#7951); +#6762=LINE('',#15473,#7952); +#6763=LINE('',#15475,#7953); +#6764=LINE('',#15477,#7954); +#6765=LINE('',#15479,#7955); +#6766=LINE('',#15481,#7956); +#6767=LINE('',#15483,#7957); +#6768=LINE('',#15484,#7958); +#6769=LINE('',#15485,#7959); +#6770=LINE('',#15487,#7960); +#6771=LINE('',#15489,#7961); +#6772=LINE('',#15491,#7962); +#6773=LINE('',#15493,#7963); +#6774=LINE('',#15495,#7964); +#6775=LINE('',#15497,#7965); +#6776=LINE('',#15499,#7966); +#6777=LINE('',#15501,#7967); +#6778=LINE('',#15502,#7968); +#6779=LINE('',#15503,#7969); +#6780=LINE('',#15505,#7970); +#6781=LINE('',#15507,#7971); +#6782=LINE('',#15509,#7972); +#6783=LINE('',#15510,#7973); +#6784=LINE('',#15512,#7974); +#6785=LINE('',#15514,#7975); +#6786=LINE('',#15515,#7976); +#6787=LINE('',#15516,#7977); +#6788=LINE('',#15517,#7978); +#6789=LINE('',#15518,#7979); +#6790=LINE('',#15520,#7980); +#6791=LINE('',#15525,#7981); +#6792=LINE('',#15529,#7982); +#6793=LINE('',#15531,#7983); +#6794=LINE('',#15533,#7984); +#6795=LINE('',#15535,#7985); +#6796=LINE('',#15537,#7986); +#6797=LINE('',#15539,#7987); +#6798=LINE('',#15541,#7988); +#6799=LINE('',#15543,#7989); +#6800=LINE('',#15546,#7990); +#6801=LINE('',#15550,#7991); +#6802=LINE('',#15554,#7992); +#6803=LINE('',#15555,#7993); +#6804=LINE('',#15557,#7994); +#6805=LINE('',#15559,#7995); +#6806=LINE('',#15561,#7996); +#6807=LINE('',#15563,#7997); +#6808=LINE('',#15565,#7998); +#6809=LINE('',#15567,#7999); +#6810=LINE('',#15569,#8000); +#6811=LINE('',#15571,#8001); +#6812=LINE('',#15574,#8002); +#6813=LINE('',#15576,#8003); +#6814=LINE('',#15578,#8004); +#6815=LINE('',#15580,#8005); +#6816=LINE('',#15582,#8006); +#6817=LINE('',#15584,#8007); +#6818=LINE('',#15585,#8008); +#6819=LINE('',#15595,#8009); +#6820=LINE('',#15596,#8010); +#6821=LINE('',#15598,#8011); +#6822=LINE('',#15600,#8012); +#6823=LINE('',#15601,#8013); +#6824=LINE('',#15604,#8014); +#6825=LINE('',#15606,#8015); +#6826=LINE('',#15608,#8016); +#6827=LINE('',#15610,#8017); +#6828=LINE('',#15612,#8018); +#6829=LINE('',#15615,#8019); +#6830=LINE('',#15617,#8020); +#6831=LINE('',#15619,#8021); +#6832=LINE('',#15622,#8022); +#6833=LINE('',#15623,#8023); +#6834=LINE('',#15625,#8024); +#6835=LINE('',#15626,#8025); +#6836=LINE('',#15636,#8026); +#6837=LINE('',#15637,#8027); +#6838=LINE('',#15640,#8028); +#6839=LINE('',#15642,#8029); +#6840=LINE('',#15644,#8030); +#6841=LINE('',#15646,#8031); +#6842=LINE('',#15648,#8032); +#6843=LINE('',#15650,#8033); +#6844=LINE('',#15652,#8034); +#6845=LINE('',#15654,#8035); +#6846=LINE('',#15656,#8036); +#6847=LINE('',#15658,#8037); +#6848=LINE('',#15662,#8038); +#6849=LINE('',#15664,#8039); +#6850=LINE('',#15665,#8040); +#6851=LINE('',#15668,#8041); +#6852=LINE('',#15669,#8042); +#6853=LINE('',#15671,#8043); +#6854=LINE('',#15673,#8044); +#6855=LINE('',#15676,#8045); +#6856=LINE('',#15677,#8046); +#6857=LINE('',#15679,#8047); +#6858=LINE('',#15681,#8048); +#6859=LINE('',#15684,#8049); +#6860=LINE('',#15686,#8050); +#6861=LINE('',#15688,#8051); +#6862=LINE('',#15690,#8052); +#6863=LINE('',#15694,#8053); +#6864=LINE('',#15696,#8054); +#6865=LINE('',#15697,#8055); +#6866=LINE('',#15700,#8056); +#6867=LINE('',#15701,#8057); +#6868=LINE('',#15703,#8058); +#6869=LINE('',#15705,#8059); +#6870=LINE('',#15708,#8060); +#6871=LINE('',#15709,#8061); +#6872=LINE('',#15711,#8062); +#6873=LINE('',#15713,#8063); +#6874=LINE('',#15716,#8064); +#6875=LINE('',#15718,#8065); +#6876=LINE('',#15720,#8066); +#6877=LINE('',#15722,#8067); +#6878=LINE('',#15726,#8068); +#6879=LINE('',#15728,#8069); +#6880=LINE('',#15729,#8070); +#6881=LINE('',#15732,#8071); +#6882=LINE('',#15733,#8072); +#6883=LINE('',#15735,#8073); +#6884=LINE('',#15737,#8074); +#6885=LINE('',#15740,#8075); +#6886=LINE('',#15741,#8076); +#6887=LINE('',#15743,#8077); +#6888=LINE('',#15745,#8078); +#6889=LINE('',#15748,#8079); +#6890=LINE('',#15750,#8080); +#6891=LINE('',#15752,#8081); +#6892=LINE('',#15754,#8082); +#6893=LINE('',#15758,#8083); +#6894=LINE('',#15760,#8084); +#6895=LINE('',#15761,#8085); +#6896=LINE('',#15764,#8086); +#6897=LINE('',#15765,#8087); +#6898=LINE('',#15767,#8088); +#6899=LINE('',#15769,#8089); +#6900=LINE('',#15772,#8090); +#6901=LINE('',#15773,#8091); +#6902=LINE('',#15775,#8092); +#6903=LINE('',#15777,#8093); +#6904=LINE('',#15780,#8094); +#6905=LINE('',#15782,#8095); +#6906=LINE('',#15784,#8096); +#6907=LINE('',#15787,#8097); +#6908=LINE('',#15788,#8098); +#6909=LINE('',#15789,#8099); +#6910=LINE('',#15790,#8100); +#6911=LINE('',#15792,#8101); +#6912=LINE('',#15794,#8102); +#6913=LINE('',#15796,#8103); +#6914=LINE('',#15797,#8104); +#6915=LINE('',#15798,#8105); +#6916=LINE('',#15799,#8106); +#6917=LINE('',#15804,#8107); +#6918=LINE('',#15805,#8108); +#6919=LINE('',#15806,#8109); +#6920=LINE('',#15880,#8110); +#6921=LINE('',#15883,#8111); +#6922=LINE('',#15885,#8112); +#6923=LINE('',#15887,#8113); +#6924=LINE('',#15889,#8114); +#6925=LINE('',#15891,#8115); +#6926=LINE('',#15893,#8116); +#6927=LINE('',#15895,#8117); +#6928=LINE('',#15897,#8118); +#6929=LINE('',#15899,#8119); +#6930=LINE('',#15901,#8120); +#6931=LINE('',#15903,#8121); +#6932=LINE('',#15904,#8122); +#6933=LINE('',#15906,#8123); +#6934=LINE('',#15912,#8124); +#6935=LINE('',#15914,#8125); +#6936=LINE('',#15916,#8126); +#6937=LINE('',#15918,#8127); +#6938=LINE('',#15924,#8128); +#6939=LINE('',#15926,#8129); +#6940=LINE('',#15928,#8130); +#6941=LINE('',#15930,#8131); +#6942=LINE('',#15932,#8132); +#6943=LINE('',#15934,#8133); +#6944=LINE('',#15936,#8134); +#6945=LINE('',#15938,#8135); +#6946=LINE('',#15940,#8136); +#6947=LINE('',#15942,#8137); +#6948=LINE('',#15948,#8138); +#6949=LINE('',#15950,#8139); +#6950=LINE('',#15952,#8140); +#6951=LINE('',#15954,#8141); +#6952=LINE('',#15960,#8142); +#6953=LINE('',#15962,#8143); +#6954=LINE('',#15964,#8144); +#6955=LINE('',#15966,#8145); +#6956=LINE('',#15968,#8146); +#6957=LINE('',#15970,#8147); +#6958=LINE('',#15972,#8148); +#6959=LINE('',#15974,#8149); +#6960=LINE('',#15976,#8150); +#6961=LINE('',#15978,#8151); +#6962=LINE('',#15980,#8152); +#6963=LINE('',#15988,#8153); +#6964=LINE('',#15991,#8154); +#6965=LINE('',#15993,#8155); +#6966=LINE('',#15995,#8156); +#6967=LINE('',#15997,#8157); +#6968=LINE('',#15999,#8158); +#6969=LINE('',#16001,#8159); +#6970=LINE('',#16003,#8160); +#6971=LINE('',#16005,#8161); +#6972=LINE('',#16007,#8162); +#6973=LINE('',#16009,#8163); +#6974=LINE('',#16011,#8164); +#6975=LINE('',#16012,#8165); +#6976=LINE('',#16014,#8166); +#6977=LINE('',#16020,#8167); +#6978=LINE('',#16022,#8168); +#6979=LINE('',#16024,#8169); +#6980=LINE('',#16026,#8170); +#6981=LINE('',#16032,#8171); +#6982=LINE('',#16034,#8172); +#6983=LINE('',#16036,#8173); +#6984=LINE('',#16038,#8174); +#6985=LINE('',#16040,#8175); +#6986=LINE('',#16042,#8176); +#6987=LINE('',#16044,#8177); +#6988=LINE('',#16046,#8178); +#6989=LINE('',#16048,#8179); +#6990=LINE('',#16050,#8180); +#6991=LINE('',#16056,#8181); +#6992=LINE('',#16058,#8182); +#6993=LINE('',#16060,#8183); +#6994=LINE('',#16062,#8184); +#6995=LINE('',#16068,#8185); +#6996=LINE('',#16070,#8186); +#6997=LINE('',#16072,#8187); +#6998=LINE('',#16074,#8188); +#6999=LINE('',#16076,#8189); +#7000=LINE('',#16078,#8190); +#7001=LINE('',#16080,#8191); +#7002=LINE('',#16082,#8192); +#7003=LINE('',#16084,#8193); +#7004=LINE('',#16086,#8194); +#7005=LINE('',#16088,#8195); +#7006=LINE('',#16093,#8196); +#7007=LINE('',#16098,#8197); +#7008=LINE('',#16102,#8198); +#7009=LINE('',#16106,#8199); +#7010=LINE('',#16110,#8200); +#7011=LINE('',#16112,#8201); +#7012=LINE('',#16114,#8202); +#7013=LINE('',#16118,#8203); +#7014=LINE('',#16122,#8204); +#7015=LINE('',#16126,#8205); +#7016=LINE('',#16130,#8206); +#7017=LINE('',#16134,#8207); +#7018=LINE('',#16138,#8208); +#7019=LINE('',#16142,#8209); +#7020=LINE('',#16146,#8210); +#7021=LINE('',#16148,#8211); +#7022=LINE('',#16150,#8212); +#7023=LINE('',#16155,#8213); +#7024=LINE('',#16157,#8214); +#7025=LINE('',#16159,#8215); +#7026=LINE('',#16163,#8216); +#7027=LINE('',#16167,#8217); +#7028=LINE('',#16171,#8218); +#7029=LINE('',#16175,#8219); +#7030=LINE('',#16179,#8220); +#7031=LINE('',#16183,#8221); +#7032=LINE('',#16187,#8222); +#7033=LINE('',#16191,#8223); +#7034=LINE('',#16193,#8224); +#7035=LINE('',#16195,#8225); +#7036=LINE('',#16199,#8226); +#7037=LINE('',#16203,#8227); +#7038=LINE('',#16207,#8228); +#7039=LINE('',#16210,#8229); +#7040=LINE('',#16212,#8230); +#7041=LINE('',#16214,#8231); +#7042=LINE('',#16218,#8232); +#7043=LINE('',#16221,#8233); +#7044=LINE('',#16223,#8234); +#7045=LINE('',#16225,#8235); +#7046=LINE('',#16229,#8236); +#7047=LINE('',#16232,#8237); +#7048=LINE('',#16234,#8238); +#7049=LINE('',#16236,#8239); +#7050=LINE('',#16238,#8240); +#7051=LINE('',#16240,#8241); +#7052=LINE('',#16241,#8242); +#7053=LINE('',#16243,#8243); +#7054=LINE('',#16246,#8244); +#7055=LINE('',#16250,#8245); +#7056=LINE('',#16254,#8246); +#7057=LINE('',#16256,#8247); +#7058=LINE('',#16258,#8248); +#7059=LINE('',#16262,#8249); +#7060=LINE('',#16266,#8250); +#7061=LINE('',#16270,#8251); +#7062=LINE('',#16273,#8252); +#7063=LINE('',#16275,#8253); +#7064=LINE('',#16277,#8254); +#7065=LINE('',#16279,#8255); +#7066=LINE('',#16282,#8256); +#7067=LINE('',#16284,#8257); +#7068=LINE('',#16286,#8258); +#7069=LINE('',#16288,#8259); +#7070=LINE('',#16291,#8260); +#7071=LINE('',#16293,#8261); +#7072=LINE('',#16295,#8262); +#7073=LINE('',#16297,#8263); +#7074=LINE('',#16299,#8264); +#7075=LINE('',#16302,#8265); +#7076=LINE('',#16304,#8266); +#7077=LINE('',#16308,#8267); +#7078=LINE('',#16312,#8268); +#7079=LINE('',#16315,#8269); +#7080=LINE('',#16318,#8270); +#7081=LINE('',#16322,#8271); +#7082=LINE('',#16326,#8272); +#7083=LINE('',#16329,#8273); +#7084=LINE('',#16331,#8274); +#7085=LINE('',#16333,#8275); +#7086=LINE('',#16335,#8276); +#7087=LINE('',#16338,#8277); +#7088=LINE('',#16340,#8278); +#7089=LINE('',#16342,#8279); +#7090=LINE('',#16347,#8280); +#7091=LINE('',#16351,#8281); +#7092=LINE('',#16355,#8282); +#7093=LINE('',#16360,#8283); +#7094=LINE('',#16364,#8284); +#7095=LINE('',#16367,#8285); +#7096=LINE('',#16368,#8286); +#7097=LINE('',#16370,#8287); +#7098=LINE('',#16372,#8288); +#7099=LINE('',#16373,#8289); +#7100=LINE('',#16375,#8290); +#7101=LINE('',#16377,#8291); +#7102=LINE('',#16379,#8292); +#7103=LINE('',#16381,#8293); +#7104=LINE('',#16384,#8294); +#7105=LINE('',#16387,#8295); +#7106=LINE('',#16389,#8296); +#7107=LINE('',#16391,#8297); +#7108=LINE('',#16394,#8298); +#7109=LINE('',#16398,#8299); +#7110=LINE('',#16403,#8300); +#7111=LINE('',#16407,#8301); +#7112=LINE('',#16411,#8302); +#7113=LINE('',#16414,#8303); +#7114=LINE('',#16416,#8304); +#7115=LINE('',#16418,#8305); +#7116=LINE('',#16420,#8306); +#7117=LINE('',#16422,#8307); +#7118=LINE('',#16425,#8308); +#7119=LINE('',#16427,#8309); +#7120=LINE('',#16429,#8310); +#7121=LINE('',#16430,#8311); +#7122=LINE('',#16433,#8312); +#7123=LINE('',#16437,#8313); +#7124=LINE('',#16442,#8314); +#7125=LINE('',#16446,#8315); +#7126=LINE('',#16450,#8316); +#7127=LINE('',#16453,#8317); +#7128=LINE('',#16455,#8318); +#7129=LINE('',#16457,#8319); +#7130=LINE('',#16460,#8320); +#7131=LINE('',#16465,#8321); +#7132=LINE('',#16469,#8322); +#7133=LINE('',#16473,#8323); +#7134=LINE('',#16478,#8324); +#7135=LINE('',#16482,#8325); +#7136=LINE('',#16485,#8326); +#7137=LINE('',#16487,#8327); +#7138=LINE('',#16489,#8328); +#7139=LINE('',#16490,#8329); +#7140=LINE('',#16492,#8330); +#7141=LINE('',#16494,#8331); +#7142=LINE('',#16496,#8332); +#7143=LINE('',#16497,#8333); +#7144=LINE('',#16499,#8334); +#7145=LINE('',#16500,#8335); +#7146=LINE('',#16502,#8336); +#7147=LINE('',#16503,#8337); +#7148=LINE('',#16505,#8338); +#7149=LINE('',#16506,#8339); +#7150=LINE('',#16508,#8340); +#7151=LINE('',#16509,#8341); +#7152=LINE('',#16511,#8342); +#7153=LINE('',#16512,#8343); +#7154=LINE('',#16514,#8344); +#7155=LINE('',#16515,#8345); +#7156=LINE('',#16517,#8346); +#7157=LINE('',#16518,#8347); +#7158=LINE('',#16520,#8348); +#7159=LINE('',#16522,#8349); +#7160=LINE('',#16524,#8350); +#7161=LINE('',#16526,#8351); +#7162=LINE('',#16528,#8352); +#7163=LINE('',#16530,#8353); +#7164=LINE('',#16532,#8354); +#7165=LINE('',#16534,#8355); +#7166=LINE('',#16536,#8356); +#7167=LINE('',#16539,#8357); +#7168=LINE('',#16542,#8358); +#7169=LINE('',#16543,#8359); +#7170=LINE('',#16545,#8360); +#7171=LINE('',#16547,#8361); +#7172=LINE('',#16549,#8362); +#7173=LINE('',#16570,#8363); +#7174=LINE('',#16571,#8364); +#7175=LINE('',#16573,#8365); +#7176=LINE('',#16574,#8366); +#7177=LINE('',#16576,#8367); +#7178=LINE('',#16577,#8368); +#7179=LINE('',#16579,#8369); +#7180=LINE('',#16580,#8370); +#7181=LINE('',#16582,#8371); +#7182=LINE('',#16583,#8372); +#7183=LINE('',#16585,#8373); +#7184=LINE('',#16586,#8374); +#7185=LINE('',#16588,#8375); +#7186=LINE('',#16589,#8376); +#7187=LINE('',#16591,#8377); +#7188=LINE('',#16592,#8378); +#7189=LINE('',#16594,#8379); +#7190=LINE('',#16596,#8380); +#7191=LINE('',#16598,#8381); +#7192=LINE('',#16600,#8382); +#7193=LINE('',#16602,#8383); +#7194=LINE('',#16604,#8384); +#7195=LINE('',#16606,#8385); +#7196=LINE('',#16608,#8386); +#7197=LINE('',#16610,#8387); +#7198=LINE('',#16613,#8388); +#7199=LINE('',#16616,#8389); +#7200=LINE('',#16617,#8390); +#7201=LINE('',#16619,#8391); +#7202=LINE('',#16621,#8392); +#7203=LINE('',#16623,#8393); +#7204=LINE('',#16644,#8394); +#7205=LINE('',#16645,#8395); +#7206=LINE('',#16647,#8396); +#7207=LINE('',#16648,#8397); +#7208=LINE('',#16650,#8398); +#7209=LINE('',#16651,#8399); +#7210=LINE('',#16664,#8400); +#7211=LINE('',#16667,#8401); +#7212=LINE('',#16669,#8402); +#7213=LINE('',#16671,#8403); +#7214=LINE('',#16676,#8404); +#7215=LINE('',#16680,#8405); +#7216=LINE('',#16682,#8406); +#7217=LINE('',#16687,#8407); +#7218=LINE('',#16691,#8408); +#7219=LINE('',#16695,#8409); +#7220=LINE('',#16698,#8410); +#7221=LINE('',#16703,#8411); +#7222=LINE('',#16707,#8412); +#7223=LINE('',#16711,#8413); +#7224=LINE('',#16715,#8414); +#7225=LINE('',#16719,#8415); +#7226=LINE('',#16723,#8416); +#7227=LINE('',#16727,#8417); +#7228=LINE('',#16733,#8418); +#7229=LINE('',#16737,#8419); +#7230=LINE('',#16741,#8420); +#7231=LINE('',#16745,#8421); +#7232=LINE('',#16746,#8422); +#7233=LINE('',#16751,#8423); +#7234=LINE('',#16755,#8424); +#7235=LINE('',#16759,#8425); +#7236=LINE('',#16763,#8426); +#7237=LINE('',#16767,#8427); +#7238=LINE('',#16771,#8428); +#7239=LINE('',#16775,#8429); +#7240=LINE('',#16778,#8430); +#7241=LINE('',#16783,#8431); +#7242=LINE('',#16785,#8432); +#7243=LINE('',#16787,#8433); +#7244=LINE('',#16789,#8434); +#7245=LINE('',#16791,#8435); +#7246=LINE('',#16795,#8436); +#7247=LINE('',#16799,#8437); +#7248=LINE('',#16801,#8438); +#7249=LINE('',#16803,#8439); +#7250=LINE('',#16805,#8440); +#7251=LINE('',#16806,#8441); +#7252=LINE('',#16810,#8442); +#7253=LINE('',#16811,#8443); +#7254=LINE('',#16813,#8444); +#7255=LINE('',#16817,#8445); +#7256=LINE('',#16822,#8446); +#7257=LINE('',#16826,#8447); +#7258=LINE('',#16830,#8448); +#7259=LINE('',#16834,#8449); +#7260=LINE('',#16838,#8450); +#7261=LINE('',#16842,#8451); +#7262=LINE('',#16846,#8452); +#7263=LINE('',#16849,#8453); +#7264=LINE('',#16854,#8454); +#7265=LINE('',#16858,#8455); +#7266=LINE('',#16862,#8456); +#7267=LINE('',#16865,#8457); +#7268=LINE('',#16870,#8458); +#7269=LINE('',#16874,#8459); +#7270=LINE('',#16878,#8460); +#7271=LINE('',#16882,#8461); +#7272=LINE('',#16886,#8462); +#7273=LINE('',#16890,#8463); +#7274=LINE('',#16894,#8464); +#7275=LINE('',#16900,#8465); +#7276=LINE('',#16904,#8466); +#7277=LINE('',#16908,#8467); +#7278=LINE('',#16912,#8468); +#7279=LINE('',#16913,#8469); +#7280=LINE('',#16918,#8470); +#7281=LINE('',#16922,#8471); +#7282=LINE('',#16924,#8472); +#7283=LINE('',#16925,#8473); +#7284=LINE('',#16929,#8474); +#7285=LINE('',#16930,#8475); +#7286=LINE('',#16932,#8476); +#7287=LINE('',#16934,#8477); +#7288=LINE('',#16936,#8478); +#7289=LINE('',#16940,#8479); +#7290=LINE('',#16944,#8480); +#7291=LINE('',#16946,#8481); +#7292=LINE('',#16948,#8482); +#7293=LINE('',#16950,#8483); +#7294=LINE('',#16952,#8484); +#7295=LINE('',#16953,#8485); +#7296=LINE('',#16955,#8486); +#7297=LINE('',#16956,#8487); +#7298=LINE('',#16958,#8488); +#7299=LINE('',#16959,#8489); +#7300=LINE('',#16961,#8490); +#7301=LINE('',#16964,#8491); +#7302=LINE('',#16966,#8492); +#7303=LINE('',#16968,#8493); +#7304=LINE('',#16972,#8494); +#7305=LINE('',#16973,#8495); +#7306=LINE('',#16975,#8496); +#7307=LINE('',#16978,#8497); +#7308=LINE('',#16980,#8498); +#7309=LINE('',#16982,#8499); +#7310=LINE('',#16984,#8500); +#7311=LINE('',#16987,#8501); +#7312=LINE('',#16989,#8502); +#7313=LINE('',#16991,#8503); +#7314=LINE('',#16993,#8504); +#7315=LINE('',#16996,#8505); +#7316=LINE('',#16998,#8506); +#7317=LINE('',#17000,#8507); +#7318=LINE('',#17002,#8508); +#7319=LINE('',#17004,#8509); +#7320=LINE('',#17006,#8510); +#7321=LINE('',#17008,#8511); +#7322=LINE('',#17010,#8512); +#7323=LINE('',#17018,#8513); +#7324=LINE('',#17022,#8514); +#7325=LINE('',#17025,#8515); +#7326=LINE('',#17026,#8516); +#7327=LINE('',#17028,#8517); +#7328=LINE('',#17029,#8518); +#7329=LINE('',#17032,#8519); +#7330=LINE('',#17034,#8520); +#7331=LINE('',#17036,#8521); +#7332=LINE('',#17037,#8522); +#7333=LINE('',#17039,#8523); +#7334=LINE('',#17042,#8524); +#7335=LINE('',#17044,#8525); +#7336=LINE('',#17046,#8526); +#7337=LINE('',#17048,#8527); +#7338=LINE('',#17050,#8528); +#7339=LINE('',#17052,#8529); +#7340=LINE('',#17054,#8530); +#7341=LINE('',#17058,#8531); +#7342=LINE('',#17066,#8532); +#7343=LINE('',#17068,#8533); +#7344=LINE('',#17070,#8534); +#7345=LINE('',#17072,#8535); +#7346=LINE('',#17073,#8536); +#7347=LINE('',#17075,#8537); +#7348=LINE('',#17077,#8538); +#7349=LINE('',#17080,#8539); +#7350=LINE('',#17081,#8540); +#7351=LINE('',#17083,#8541); +#7352=LINE('',#17085,#8542); +#7353=LINE('',#17086,#8543); +#7354=LINE('',#17088,#8544); +#7355=LINE('',#17091,#8545); +#7356=LINE('',#17093,#8546); +#7357=LINE('',#17095,#8547); +#7358=LINE('',#17097,#8548); +#7359=LINE('',#17100,#8549); +#7360=LINE('',#17102,#8550); +#7361=LINE('',#17104,#8551); +#7362=LINE('',#17106,#8552); +#7363=LINE('',#17109,#8553); +#7364=LINE('',#17111,#8554); +#7365=LINE('',#17113,#8555); +#7366=LINE('',#17115,#8556); +#7367=LINE('',#17117,#8557); +#7368=LINE('',#17119,#8558); +#7369=LINE('',#17121,#8559); +#7370=LINE('',#17122,#8560); +#7371=LINE('',#17124,#8561); +#7372=LINE('',#17126,#8562); +#7373=LINE('',#17134,#8563); +#7374=LINE('',#17138,#8564); +#7375=LINE('',#17140,#8565); +#7376=LINE('',#17142,#8566); +#7377=LINE('',#17143,#8567); +#7378=LINE('',#17145,#8568); +#7379=LINE('',#17147,#8569); +#7380=LINE('',#17149,#8570); +#7381=LINE('',#17152,#8571); +#7382=LINE('',#17154,#8572); +#7383=LINE('',#17156,#8573); +#7384=LINE('',#17157,#8574); +#7385=LINE('',#17159,#8575); +#7386=LINE('',#17161,#8576); +#7387=LINE('',#17163,#8577); +#7388=LINE('',#17165,#8578); +#7389=LINE('',#17167,#8579); +#7390=LINE('',#17171,#8580); +#7391=LINE('',#17179,#8581); +#7392=LINE('',#17181,#8582); +#7393=LINE('',#17183,#8583); +#7394=LINE('',#17185,#8584); +#7395=LINE('',#17186,#8585); +#7396=LINE('',#17188,#8586); +#7397=LINE('',#17190,#8587); +#7398=LINE('',#17192,#8588); +#7399=LINE('',#17194,#8589); +#7400=LINE('',#17197,#8590); +#7401=LINE('',#17200,#8591); +#7402=LINE('',#17202,#8592); +#7403=LINE('',#17204,#8593); +#7404=LINE('',#17206,#8594); +#7405=LINE('',#17209,#8595); +#7406=LINE('',#17211,#8596); +#7407=LINE('',#17213,#8597); +#7408=LINE('',#17215,#8598); +#7409=LINE('',#17218,#8599); +#7410=LINE('',#17219,#8600); +#7411=LINE('',#17228,#8601); +#7412=LINE('',#17230,#8602); +#7413=LINE('',#17231,#8603); +#7414=LINE('',#17233,#8604); +#7415=LINE('',#17235,#8605); +#7416=LINE('',#17237,#8606); +#7417=LINE('',#17244,#8607); +#7418=LINE('',#17248,#8608); +#7419=LINE('',#17249,#8609); +#7420=LINE('',#17260,#8610); +#7421=LINE('',#17263,#8611); +#7422=LINE('',#17267,#8612); +#7423=LINE('',#17268,#8613); +#7424=LINE('',#17270,#8614); +#7425=LINE('',#17271,#8615); +#7426=LINE('',#17275,#8616); +#7427=LINE('',#17277,#8617); +#7428=LINE('',#17278,#8618); +#7429=LINE('',#17280,#8619); +#7430=LINE('',#17281,#8620); +#7431=LINE('',#17283,#8621); +#7432=LINE('',#17284,#8622); +#7433=LINE('',#17286,#8623); +#7434=LINE('',#17287,#8624); +#7435=LINE('',#17289,#8625); +#7436=LINE('',#17290,#8626); +#7437=LINE('',#17292,#8627); +#7438=LINE('',#17293,#8628); +#7439=LINE('',#17301,#8629); +#7440=LINE('',#17306,#8630); +#7441=LINE('',#17310,#8631); +#7442=LINE('',#17312,#8632); +#7443=LINE('',#17314,#8633); +#7444=LINE('',#17316,#8634); +#7445=LINE('',#17322,#8635); +#7446=LINE('',#17324,#8636); +#7447=LINE('',#17328,#8637); +#7448=LINE('',#17332,#8638); +#7449=LINE('',#17334,#8639); +#7450=LINE('',#17336,#8640); +#7451=LINE('',#17340,#8641); +#7452=LINE('',#17344,#8642); +#7453=LINE('',#17346,#8643); +#7454=LINE('',#17390,#8644); +#7455=LINE('',#17393,#8645); +#7456=LINE('',#17395,#8646); +#7457=LINE('',#17397,#8647); +#7458=LINE('',#17415,#8648); +#7459=LINE('',#17422,#8649); +#7460=LINE('',#17459,#8650); +#7461=LINE('',#17471,#8651); +#7462=LINE('',#17502,#8652); +#7463=LINE('',#17506,#8653); +#7464=LINE('',#17510,#8654); +#7465=LINE('',#17514,#8655); +#7466=LINE('',#17516,#8656); +#7467=LINE('',#17518,#8657); +#7468=LINE('',#17520,#8658); +#7469=LINE('',#17522,#8659); +#7470=LINE('',#17526,#8660); +#7471=LINE('',#17528,#8661); +#7472=LINE('',#17530,#8662); +#7473=LINE('',#17534,#8663); +#7474=LINE('',#17536,#8664); +#7475=LINE('',#17538,#8665); +#7476=LINE('',#17542,#8666); +#7477=LINE('',#17544,#8667); +#7478=LINE('',#17548,#8668); +#7479=LINE('',#17550,#8669); +#7480=LINE('',#17552,#8670); +#7481=LINE('',#17556,#8671); +#7482=LINE('',#17558,#8672); +#7483=LINE('',#17560,#8673); +#7484=LINE('',#17564,#8674); +#7485=LINE('',#17566,#8675); +#7486=LINE('',#17568,#8676); +#7487=LINE('',#17570,#8677); +#7488=LINE('',#17572,#8678); +#7489=LINE('',#17574,#8679); +#7490=LINE('',#17583,#8680); +#7491=LINE('',#17587,#8681); +#7492=LINE('',#17591,#8682); +#7493=LINE('',#17595,#8683); +#7494=LINE('',#17630,#8684); +#7495=LINE('',#17631,#8685); +#7496=LINE('',#17633,#8686); +#7497=LINE('',#17635,#8687); +#7498=LINE('',#17638,#8688); +#7499=LINE('',#17639,#8689); +#7500=LINE('',#17641,#8690); +#7501=LINE('',#17642,#8691); +#7502=LINE('',#17644,#8692); +#7503=LINE('',#17646,#8693); +#7504=LINE('',#17647,#8694); +#7505=LINE('',#17649,#8695); +#7506=LINE('',#17650,#8696); +#7507=LINE('',#17652,#8697); +#7508=LINE('',#17653,#8698); +#7509=LINE('',#17655,#8699); +#7510=LINE('',#17656,#8700); +#7511=LINE('',#17664,#8701); +#7512=LINE('',#17669,#8702); +#7513=LINE('',#17673,#8703); +#7514=LINE('',#17675,#8704); +#7515=LINE('',#17677,#8705); +#7516=LINE('',#17679,#8706); +#7517=LINE('',#17683,#8707); +#7518=LINE('',#17687,#8708); +#7519=LINE('',#17689,#8709); +#7520=LINE('',#17691,#8710); +#7521=LINE('',#17695,#8711); +#7522=LINE('',#17699,#8712); +#7523=LINE('',#17701,#8713); +#7524=LINE('',#17703,#8714); +#7525=LINE('',#17707,#8715); +#7526=LINE('',#17764,#8716); +#7527=LINE('',#17792,#8717); +#7528=LINE('',#17801,#8718); +#7529=LINE('',#17821,#8719); +#7530=LINE('',#17828,#8720); +#7531=LINE('',#17830,#8721); +#7532=LINE('',#17832,#8722); +#7533=LINE('',#17850,#8723); +#7534=LINE('',#17862,#8724); +#7535=LINE('',#17867,#8725); +#7536=LINE('',#17871,#8726); +#7537=LINE('',#17875,#8727); +#7538=LINE('',#17879,#8728); +#7539=LINE('',#17883,#8729); +#7540=LINE('',#17885,#8730); +#7541=LINE('',#17887,#8731); +#7542=LINE('',#17889,#8732); +#7543=LINE('',#17893,#8733); +#7544=LINE('',#17895,#8734); +#7545=LINE('',#17897,#8735); +#7546=LINE('',#17901,#8736); +#7547=LINE('',#17903,#8737); +#7548=LINE('',#17905,#8738); +#7549=LINE('',#17909,#8739); +#7550=LINE('',#17911,#8740); +#7551=LINE('',#17915,#8741); +#7552=LINE('',#17917,#8742); +#7553=LINE('',#17919,#8743); +#7554=LINE('',#17923,#8744); +#7555=LINE('',#17925,#8745); +#7556=LINE('',#17927,#8746); +#7557=LINE('',#17931,#8747); +#7558=LINE('',#17933,#8748); +#7559=LINE('',#17937,#8749); +#7560=LINE('',#17939,#8750); +#7561=LINE('',#17941,#8751); +#7562=LINE('',#17943,#8752); +#7563=LINE('',#17948,#8753); +#7564=LINE('',#17952,#8754); +#7565=LINE('',#17956,#8755); +#7566=LINE('',#17992,#8756); +#7567=LINE('',#17993,#8757); +#7568=LINE('',#17995,#8758); +#7569=LINE('',#17996,#8759); +#7570=LINE('',#18000,#8760); +#7571=LINE('',#18002,#8761); +#7572=LINE('',#18016,#8762); +#7573=LINE('',#18025,#8763); +#7574=LINE('',#18027,#8764); +#7575=LINE('',#18029,#8765); +#7576=LINE('',#18035,#8766); +#7577=LINE('',#18037,#8767); +#7578=LINE('',#18039,#8768); +#7579=LINE('',#18043,#8769); +#7580=LINE('',#18045,#8770); +#7581=LINE('',#18050,#8771); +#7582=LINE('',#18054,#8772); +#7583=LINE('',#18059,#8773); +#7584=LINE('',#18063,#8774); +#7585=LINE('',#18065,#8775); +#7586=LINE('',#18067,#8776); +#7587=LINE('',#18069,#8777); +#7588=LINE('',#18076,#8778); +#7589=LINE('',#18080,#8779); +#7590=LINE('',#18081,#8780); +#7591=LINE('',#18083,#8781); +#7592=LINE('',#18085,#8782); +#7593=LINE('',#18087,#8783); +#7594=LINE('',#18089,#8784); +#7595=LINE('',#18091,#8785); +#7596=LINE('',#18093,#8786); +#7597=LINE('',#18097,#8787); +#7598=VECTOR('',#11763,1000.); +#7599=VECTOR('',#11764,1000.); +#7600=VECTOR('',#11765,1000.); +#7601=VECTOR('',#11766,1000.); +#7602=VECTOR('',#11767,1000.); +#7603=VECTOR('',#11768,1000.); +#7604=VECTOR('',#11769,1000.); +#7605=VECTOR('',#11770,1000.); +#7606=VECTOR('',#11771,1000.); +#7607=VECTOR('',#11772,1000.); +#7608=VECTOR('',#11773,1000.); +#7609=VECTOR('',#11774,1000.); +#7610=VECTOR('',#11777,1000.); +#7611=VECTOR('',#11778,1000.); +#7612=VECTOR('',#11779,1000.); +#7613=VECTOR('',#11780,1000.); +#7614=VECTOR('',#11783,1000.); +#7615=VECTOR('',#11784,1000.); +#7616=VECTOR('',#11785,1000.); +#7617=VECTOR('',#11786,1000.); +#7618=VECTOR('',#11789,1000.); +#7619=VECTOR('',#11790,1000.); +#7620=VECTOR('',#11791,1000.); +#7621=VECTOR('',#11792,1000.); +#7622=VECTOR('',#11795,1000.); +#7623=VECTOR('',#11796,1000.); +#7624=VECTOR('',#11797,1000.); +#7625=VECTOR('',#11798,1000.); +#7626=VECTOR('',#11799,1000.); +#7627=VECTOR('',#11800,1000.); +#7628=VECTOR('',#11801,1000.); +#7629=VECTOR('',#11802,1000.); +#7630=VECTOR('',#11803,1000.); +#7631=VECTOR('',#11804,1000.); +#7632=VECTOR('',#11805,1000.); +#7633=VECTOR('',#11806,1000.); +#7634=VECTOR('',#11807,1000.); +#7635=VECTOR('',#11808,1000.); +#7636=VECTOR('',#11809,1000.); +#7637=VECTOR('',#11810,1000.); +#7638=VECTOR('',#11811,1000.); +#7639=VECTOR('',#11812,1000.); +#7640=VECTOR('',#11813,1000.); +#7641=VECTOR('',#11814,1000.); +#7642=VECTOR('',#11815,1000.); +#7643=VECTOR('',#11816,1000.); +#7644=VECTOR('',#11819,1000.); +#7645=VECTOR('',#11820,1000.); +#7646=VECTOR('',#11821,1000.); +#7647=VECTOR('',#11824,1000.); +#7648=VECTOR('',#11825,1000.); +#7649=VECTOR('',#11826,1000.); +#7650=VECTOR('',#11829,1000.); +#7651=VECTOR('',#11832,1000.); +#7652=VECTOR('',#11833,1000.); +#7653=VECTOR('',#11834,1000.); +#7654=VECTOR('',#11835,1000.); +#7655=VECTOR('',#11836,1000.); +#7656=VECTOR('',#11841,1000.); +#7657=VECTOR('',#11844,1000.); +#7658=VECTOR('',#11847,1000.); +#7659=VECTOR('',#11848,1000.); +#7660=VECTOR('',#11849,1000.); +#7661=VECTOR('',#11850,1000.); +#7662=VECTOR('',#11853,1000.); +#7663=VECTOR('',#11854,1000.); +#7664=VECTOR('',#11855,1000.); +#7665=VECTOR('',#11858,1000.); +#7666=VECTOR('',#11859,1000.); +#7667=VECTOR('',#11860,1000.); +#7668=VECTOR('',#11863,1000.); +#7669=VECTOR('',#11864,1000.); +#7670=VECTOR('',#11865,1000.); +#7671=VECTOR('',#11868,1000.); +#7672=VECTOR('',#11869,1000.); +#7673=VECTOR('',#11872,1000.); +#7674=VECTOR('',#11873,1000.); +#7675=VECTOR('',#11874,1000.); +#7676=VECTOR('',#11875,1000.); +#7677=VECTOR('',#11878,1000.); +#7678=VECTOR('',#11881,1000.); +#7679=VECTOR('',#11882,1000.); +#7680=VECTOR('',#11885,1000.); +#7681=VECTOR('',#11886,1000.); +#7682=VECTOR('',#11887,1000.); +#7683=VECTOR('',#11888,1000.); +#7684=VECTOR('',#11891,1000.); +#7685=VECTOR('',#11894,1000.); +#7686=VECTOR('',#11897,1000.); +#7687=VECTOR('',#11898,1000.); +#7688=VECTOR('',#11901,1000.); +#7689=VECTOR('',#11902,1000.); +#7690=VECTOR('',#11903,1000.); +#7691=VECTOR('',#11904,1000.); +#7692=VECTOR('',#11907,1000.); +#7693=VECTOR('',#11910,1000.); +#7694=VECTOR('',#11911,1000.); +#7695=VECTOR('',#11914,1000.); +#7696=VECTOR('',#11915,1000.); +#7697=VECTOR('',#11916,1000.); +#7698=VECTOR('',#11917,1000.); +#7699=VECTOR('',#11920,1000.); +#7700=VECTOR('',#11923,1000.); +#7701=VECTOR('',#11926,1000.); +#7702=VECTOR('',#11927,1000.); +#7703=VECTOR('',#11930,1000.); +#7704=VECTOR('',#11931,1000.); +#7705=VECTOR('',#11932,1000.); +#7706=VECTOR('',#11933,1000.); +#7707=VECTOR('',#11934,1000.); +#7708=VECTOR('',#11937,1000.); +#7709=VECTOR('',#11940,1000.); +#7710=VECTOR('',#11941,1000.); +#7711=VECTOR('',#11944,1000.); +#7712=VECTOR('',#11945,1000.); +#7713=VECTOR('',#11946,1000.); +#7714=VECTOR('',#11947,1000.); +#7715=VECTOR('',#11948,1000.); +#7716=VECTOR('',#11951,1000.); +#7717=VECTOR('',#11954,1000.); +#7718=VECTOR('',#11957,1000.); +#7719=VECTOR('',#11958,1000.); +#7720=VECTOR('',#11961,1000.); +#7721=VECTOR('',#11962,1000.); +#7722=VECTOR('',#11963,1000.); +#7723=VECTOR('',#11964,1000.); +#7724=VECTOR('',#11965,1000.); +#7725=VECTOR('',#11968,1000.); +#7726=VECTOR('',#11971,1000.); +#7727=VECTOR('',#11972,1000.); +#7728=VECTOR('',#11975,1000.); +#7729=VECTOR('',#11976,1000.); +#7730=VECTOR('',#11977,1000.); +#7731=VECTOR('',#11978,1000.); +#7732=VECTOR('',#11979,1000.); +#7733=VECTOR('',#11982,1000.); +#7734=VECTOR('',#11985,1000.); +#7735=VECTOR('',#11988,1000.); +#7736=VECTOR('',#11989,1000.); +#7737=VECTOR('',#11990,1000.); +#7738=VECTOR('',#11991,1000.); +#7739=VECTOR('',#11992,1000.); +#7740=VECTOR('',#11993,1000.); +#7741=VECTOR('',#11994,1000.); +#7742=VECTOR('',#11995,1000.); +#7743=VECTOR('',#11996,1000.); +#7744=VECTOR('',#11997,1000.); +#7745=VECTOR('',#11998,1000.); +#7746=VECTOR('',#11999,1000.); +#7747=VECTOR('',#12000,1000.); +#7748=VECTOR('',#12001,1000.); +#7749=VECTOR('',#12002,1000.); +#7750=VECTOR('',#12003,1000.); +#7751=VECTOR('',#12004,1000.); +#7752=VECTOR('',#12005,1000.); +#7753=VECTOR('',#12006,1000.); +#7754=VECTOR('',#12007,1000.); +#7755=VECTOR('',#12008,1000.); +#7756=VECTOR('',#12009,1000.); +#7757=VECTOR('',#12010,1000.); +#7758=VECTOR('',#12011,1000.); +#7759=VECTOR('',#12012,1000.); +#7760=VECTOR('',#12013,1000.); +#7761=VECTOR('',#12014,1000.); +#7762=VECTOR('',#12015,1000.); +#7763=VECTOR('',#12016,1000.); +#7764=VECTOR('',#12017,1000.); +#7765=VECTOR('',#12018,1000.); +#7766=VECTOR('',#12019,1000.); +#7767=VECTOR('',#12020,1000.); +#7768=VECTOR('',#12021,1000.); +#7769=VECTOR('',#12022,1000.); +#7770=VECTOR('',#12023,1000.); +#7771=VECTOR('',#12024,1000.); +#7772=VECTOR('',#12025,1000.); +#7773=VECTOR('',#12026,1000.); +#7774=VECTOR('',#12027,1000.); +#7775=VECTOR('',#12028,1000.); +#7776=VECTOR('',#12029,1000.); +#7777=VECTOR('',#12030,1000.); +#7778=VECTOR('',#12031,1000.); +#7779=VECTOR('',#12032,1000.); +#7780=VECTOR('',#12033,1000.); +#7781=VECTOR('',#12034,1000.); +#7782=VECTOR('',#12035,1000.); +#7783=VECTOR('',#12036,1000.); +#7784=VECTOR('',#12037,1000.); +#7785=VECTOR('',#12038,1000.); +#7786=VECTOR('',#12039,1000.); +#7787=VECTOR('',#12042,1000.); +#7788=VECTOR('',#12043,1000.); +#7789=VECTOR('',#12044,1000.); +#7790=VECTOR('',#12045,1000.); +#7791=VECTOR('',#12048,1000.); +#7792=VECTOR('',#12049,1000.); +#7793=VECTOR('',#12050,1000.); +#7794=VECTOR('',#12051,1000.); +#7795=VECTOR('',#12054,1000.); +#7796=VECTOR('',#12055,1000.); +#7797=VECTOR('',#12056,1000.); +#7798=VECTOR('',#12057,1000.); +#7799=VECTOR('',#12058,1000.); +#7800=VECTOR('',#12059,1000.); +#7801=VECTOR('',#12060,1000.); +#7802=VECTOR('',#12061,1000.); +#7803=VECTOR('',#12064,1000.); +#7804=VECTOR('',#12065,1000.); +#7805=VECTOR('',#12066,1000.); +#7806=VECTOR('',#12067,1000.); +#7807=VECTOR('',#12068,1000.); +#7808=VECTOR('',#12069,1000.); +#7809=VECTOR('',#12070,1000.); +#7810=VECTOR('',#12071,1000.); +#7811=VECTOR('',#12072,1000.); +#7812=VECTOR('',#12073,1000.); +#7813=VECTOR('',#12074,1000.); +#7814=VECTOR('',#12075,1000.); +#7815=VECTOR('',#12076,1000.); +#7816=VECTOR('',#12077,1000.); +#7817=VECTOR('',#12078,1000.); +#7818=VECTOR('',#12079,1000.); +#7819=VECTOR('',#12080,1000.); +#7820=VECTOR('',#12081,1000.); +#7821=VECTOR('',#12082,1000.); +#7822=VECTOR('',#12083,1000.); +#7823=VECTOR('',#12084,1000.); +#7824=VECTOR('',#12085,1000.); +#7825=VECTOR('',#12086,1000.); +#7826=VECTOR('',#12089,1000.); +#7827=VECTOR('',#12090,1000.); +#7828=VECTOR('',#12091,1000.); +#7829=VECTOR('',#12092,1000.); +#7830=VECTOR('',#12093,1000.); +#7831=VECTOR('',#12094,1000.); +#7832=VECTOR('',#12097,1000.); +#7833=VECTOR('',#12100,1000.); +#7834=VECTOR('',#12103,1000.); +#7835=VECTOR('',#12106,1000.); +#7836=VECTOR('',#12109,1000.); +#7837=VECTOR('',#12110,1000.); +#7838=VECTOR('',#12113,1000.); +#7839=VECTOR('',#12116,1000.); +#7840=VECTOR('',#12117,1000.); +#7841=VECTOR('',#12118,1000.); +#7842=VECTOR('',#12119,1000.); +#7843=VECTOR('',#12120,1000.); +#7844=VECTOR('',#12121,1000.); +#7845=VECTOR('',#12122,1000.); +#7846=VECTOR('',#12123,1000.); +#7847=VECTOR('',#12124,1000.); +#7848=VECTOR('',#12125,1000.); +#7849=VECTOR('',#12128,1000.); +#7850=VECTOR('',#12131,1000.); +#7851=VECTOR('',#12132,1000.); +#7852=VECTOR('',#12135,1000.); +#7853=VECTOR('',#12140,1000.); +#7854=VECTOR('',#12141,1000.); +#7855=VECTOR('',#12142,1000.); +#7856=VECTOR('',#12143,1000.); +#7857=VECTOR('',#12144,1000.); +#7858=VECTOR('',#12147,1000.); +#7859=VECTOR('',#12150,1000.); +#7860=VECTOR('',#12151,1000.); +#7861=VECTOR('',#12152,1000.); +#7862=VECTOR('',#12153,1000.); +#7863=VECTOR('',#12154,1000.); +#7864=VECTOR('',#12155,1000.); +#7865=VECTOR('',#12156,1000.); +#7866=VECTOR('',#12157,1000.); +#7867=VECTOR('',#12158,1000.); +#7868=VECTOR('',#12159,1000.); +#7869=VECTOR('',#12160,1000.); +#7870=VECTOR('',#12161,1000.); +#7871=VECTOR('',#12162,1000.); +#7872=VECTOR('',#12165,1000.); +#7873=VECTOR('',#12168,1000.); +#7874=VECTOR('',#12169,1000.); +#7875=VECTOR('',#12170,1000.); +#7876=VECTOR('',#12171,1000.); +#7877=VECTOR('',#12172,1000.); +#7878=VECTOR('',#12173,1000.); +#7879=VECTOR('',#12174,1000.); +#7880=VECTOR('',#12175,1000.); +#7881=VECTOR('',#12176,1000.); +#7882=VECTOR('',#12177,1000.); +#7883=VECTOR('',#12178,1000.); +#7884=VECTOR('',#12179,1000.); +#7885=VECTOR('',#12180,1000.); +#7886=VECTOR('',#12183,1000.); +#7887=VECTOR('',#12186,1000.); +#7888=VECTOR('',#12187,1000.); +#7889=VECTOR('',#12188,1000.); +#7890=VECTOR('',#12189,1000.); +#7891=VECTOR('',#12190,1000.); +#7892=VECTOR('',#12191,1000.); +#7893=VECTOR('',#12192,1000.); +#7894=VECTOR('',#12193,1000.); +#7895=VECTOR('',#12194,1000.); +#7896=VECTOR('',#12195,1000.); +#7897=VECTOR('',#12196,1000.); +#7898=VECTOR('',#12197,1000.); +#7899=VECTOR('',#12198,1000.); +#7900=VECTOR('',#12201,1000.); +#7901=VECTOR('',#12204,1000.); +#7902=VECTOR('',#12205,1000.); +#7903=VECTOR('',#12206,1000.); +#7904=VECTOR('',#12207,1000.); +#7905=VECTOR('',#12208,1000.); +#7906=VECTOR('',#12209,1000.); +#7907=VECTOR('',#12210,1000.); +#7908=VECTOR('',#12211,1000.); +#7909=VECTOR('',#12212,1000.); +#7910=VECTOR('',#12213,1000.); +#7911=VECTOR('',#12214,1000.); +#7912=VECTOR('',#12215,1000.); +#7913=VECTOR('',#12216,1000.); +#7914=VECTOR('',#12223,1000.); +#7915=VECTOR('',#12224,1000.); +#7916=VECTOR('',#12225,1000.); +#7917=VECTOR('',#12226,1000.); +#7918=VECTOR('',#12227,1000.); +#7919=VECTOR('',#12228,1000.); +#7920=VECTOR('',#12229,1000.); +#7921=VECTOR('',#12230,1000.); +#7922=VECTOR('',#12231,1000.); +#7923=VECTOR('',#12232,1000.); +#7924=VECTOR('',#12233,1000.); +#7925=VECTOR('',#12234,1000.); +#7926=VECTOR('',#12235,1000.); +#7927=VECTOR('',#12236,1000.); +#7928=VECTOR('',#12237,1000.); +#7929=VECTOR('',#12238,1000.); +#7930=VECTOR('',#12239,1000.); +#7931=VECTOR('',#12240,1000.); +#7932=VECTOR('',#12241,1000.); +#7933=VECTOR('',#12242,1000.); +#7934=VECTOR('',#12245,1000.); +#7935=VECTOR('',#12246,1000.); +#7936=VECTOR('',#12247,1000.); +#7937=VECTOR('',#12248,1000.); +#7938=VECTOR('',#12249,1000.); +#7939=VECTOR('',#12250,1000.); +#7940=VECTOR('',#12251,1000.); +#7941=VECTOR('',#12252,1000.); +#7942=VECTOR('',#12255,1000.); +#7943=VECTOR('',#12256,1000.); +#7944=VECTOR('',#12257,1000.); +#7945=VECTOR('',#12258,1000.); +#7946=VECTOR('',#12259,1000.); +#7947=VECTOR('',#12260,1000.); +#7948=VECTOR('',#12261,1000.); +#7949=VECTOR('',#12262,1000.); +#7950=VECTOR('',#12265,1000.); +#7951=VECTOR('',#12266,1000.); +#7952=VECTOR('',#12267,1000.); +#7953=VECTOR('',#12268,1000.); +#7954=VECTOR('',#12269,1000.); +#7955=VECTOR('',#12270,1000.); +#7956=VECTOR('',#12271,1000.); +#7957=VECTOR('',#12272,1000.); +#7958=VECTOR('',#12273,1000.); +#7959=VECTOR('',#12274,1000.); +#7960=VECTOR('',#12275,1000.); +#7961=VECTOR('',#12276,1000.); +#7962=VECTOR('',#12277,1000.); +#7963=VECTOR('',#12278,1000.); +#7964=VECTOR('',#12279,1000.); +#7965=VECTOR('',#12280,1000.); +#7966=VECTOR('',#12283,1000.); +#7967=VECTOR('',#12284,1000.); +#7968=VECTOR('',#12285,1000.); +#7969=VECTOR('',#12286,1000.); +#7970=VECTOR('',#12289,1000.); +#7971=VECTOR('',#12292,1000.); +#7972=VECTOR('',#12293,1000.); +#7973=VECTOR('',#12294,1000.); +#7974=VECTOR('',#12297,1000.); +#7975=VECTOR('',#12298,1000.); +#7976=VECTOR('',#12299,1000.); +#7977=VECTOR('',#12300,1000.); +#7978=VECTOR('',#12301,1000.); +#7979=VECTOR('',#12302,1000.); +#7980=VECTOR('',#12303,1000.); +#7981=VECTOR('',#12310,1000.); +#7982=VECTOR('',#12313,1000.); +#7983=VECTOR('',#12314,1000.); +#7984=VECTOR('',#12317,1000.); +#7985=VECTOR('',#12318,1000.); +#7986=VECTOR('',#12321,1000.); +#7987=VECTOR('',#12322,1000.); +#7988=VECTOR('',#12325,1000.); +#7989=VECTOR('',#12326,1000.); +#7990=VECTOR('',#12331,1000.); +#7991=VECTOR('',#12334,1000.); +#7992=VECTOR('',#12339,1000.); +#7993=VECTOR('',#12340,1000.); +#7994=VECTOR('',#12343,1000.); +#7995=VECTOR('',#12346,1000.); +#7996=VECTOR('',#12349,1000.); +#7997=VECTOR('',#12352,1000.); +#7998=VECTOR('',#12353,1000.); +#7999=VECTOR('',#12356,1000.); +#8000=VECTOR('',#12357,1000.); +#8001=VECTOR('',#12360,1000.); +#8002=VECTOR('',#12365,1000.); +#8003=VECTOR('',#12366,1000.); +#8004=VECTOR('',#12369,1000.); +#8005=VECTOR('',#12370,1000.); +#8006=VECTOR('',#12371,1000.); +#8007=VECTOR('',#12374,1000.); +#8008=VECTOR('',#12375,1000.); +#8009=VECTOR('',#12390,1000.); +#8010=VECTOR('',#12391,1000.); +#8011=VECTOR('',#12394,1000.); +#8012=VECTOR('',#12397,1000.); +#8013=VECTOR('',#12398,1000.); +#8014=VECTOR('',#12403,1000.); +#8015=VECTOR('',#12404,1000.); +#8016=VECTOR('',#12407,1000.); +#8017=VECTOR('',#12408,1000.); +#8018=VECTOR('',#12411,1000.); +#8019=VECTOR('',#12416,1000.); +#8020=VECTOR('',#12417,1000.); +#8021=VECTOR('',#12420,1000.); +#8022=VECTOR('',#12421,1000.); +#8023=VECTOR('',#12422,1000.); +#8024=VECTOR('',#12425,1000.); +#8025=VECTOR('',#12426,1000.); +#8026=VECTOR('',#12441,1000.); +#8027=VECTOR('',#12442,1000.); +#8028=VECTOR('',#12447,1000.); +#8029=VECTOR('',#12448,1000.); +#8030=VECTOR('',#12449,1000.); +#8031=VECTOR('',#12452,1000.); +#8032=VECTOR('',#12453,1000.); +#8033=VECTOR('',#12454,1000.); +#8034=VECTOR('',#12457,1000.); +#8035=VECTOR('',#12460,1000.); +#8036=VECTOR('',#12461,1000.); +#8037=VECTOR('',#12462,1000.); +#8038=VECTOR('',#12469,1000.); +#8039=VECTOR('',#12472,1000.); +#8040=VECTOR('',#12473,1000.); +#8041=VECTOR('',#12478,1000.); +#8042=VECTOR('',#12479,1000.); +#8043=VECTOR('',#12482,1000.); +#8044=VECTOR('',#12485,1000.); +#8045=VECTOR('',#12490,1000.); +#8046=VECTOR('',#12491,1000.); +#8047=VECTOR('',#12494,1000.); +#8048=VECTOR('',#12497,1000.); +#8049=VECTOR('',#12502,1000.); +#8050=VECTOR('',#12505,1000.); +#8051=VECTOR('',#12506,1000.); +#8052=VECTOR('',#12507,1000.); +#8053=VECTOR('',#12514,1000.); +#8054=VECTOR('',#12517,1000.); +#8055=VECTOR('',#12518,1000.); +#8056=VECTOR('',#12523,1000.); +#8057=VECTOR('',#12524,1000.); +#8058=VECTOR('',#12527,1000.); +#8059=VECTOR('',#12530,1000.); +#8060=VECTOR('',#12535,1000.); +#8061=VECTOR('',#12536,1000.); +#8062=VECTOR('',#12539,1000.); +#8063=VECTOR('',#12542,1000.); +#8064=VECTOR('',#12547,1000.); +#8065=VECTOR('',#12550,1000.); +#8066=VECTOR('',#12551,1000.); +#8067=VECTOR('',#12552,1000.); +#8068=VECTOR('',#12559,1000.); +#8069=VECTOR('',#12562,1000.); +#8070=VECTOR('',#12563,1000.); +#8071=VECTOR('',#12568,1000.); +#8072=VECTOR('',#12569,1000.); +#8073=VECTOR('',#12572,1000.); +#8074=VECTOR('',#12575,1000.); +#8075=VECTOR('',#12580,1000.); +#8076=VECTOR('',#12581,1000.); +#8077=VECTOR('',#12584,1000.); +#8078=VECTOR('',#12587,1000.); +#8079=VECTOR('',#12592,1000.); +#8080=VECTOR('',#12595,1000.); +#8081=VECTOR('',#12596,1000.); +#8082=VECTOR('',#12597,1000.); +#8083=VECTOR('',#12604,1000.); +#8084=VECTOR('',#12607,1000.); +#8085=VECTOR('',#12608,1000.); +#8086=VECTOR('',#12613,1000.); +#8087=VECTOR('',#12614,1000.); +#8088=VECTOR('',#12617,1000.); +#8089=VECTOR('',#12620,1000.); +#8090=VECTOR('',#12625,1000.); +#8091=VECTOR('',#12626,1000.); +#8092=VECTOR('',#12629,1000.); +#8093=VECTOR('',#12632,1000.); +#8094=VECTOR('',#12637,1000.); +#8095=VECTOR('',#12638,1000.); +#8096=VECTOR('',#12639,1000.); +#8097=VECTOR('',#12644,1000.); +#8098=VECTOR('',#12645,1000.); +#8099=VECTOR('',#12646,1000.); +#8100=VECTOR('',#12647,1000.); +#8101=VECTOR('',#12650,1000.); +#8102=VECTOR('',#12653,1000.); +#8103=VECTOR('',#12656,1000.); +#8104=VECTOR('',#12657,1000.); +#8105=VECTOR('',#12658,1000.); +#8106=VECTOR('',#12659,1000.); +#8107=VECTOR('',#12668,1000.); +#8108=VECTOR('',#12669,1000.); +#8109=VECTOR('',#12670,1000.); +#8110=VECTOR('',#12745,1000.); +#8111=VECTOR('',#12746,1000.); +#8112=VECTOR('',#12747,1000.); +#8113=VECTOR('',#12748,1000.); +#8114=VECTOR('',#12749,1000.); +#8115=VECTOR('',#12750,1000.); +#8116=VECTOR('',#12751,1000.); +#8117=VECTOR('',#12752,1000.); +#8118=VECTOR('',#12755,1000.); +#8119=VECTOR('',#12756,1000.); +#8120=VECTOR('',#12757,1000.); +#8121=VECTOR('',#12758,1000.); +#8122=VECTOR('',#12759,1000.); +#8123=VECTOR('',#12760,1000.); +#8124=VECTOR('',#12767,1000.); +#8125=VECTOR('',#12770,1000.); +#8126=VECTOR('',#12771,1000.); +#8127=VECTOR('',#12772,1000.); +#8128=VECTOR('',#12779,1000.); +#8129=VECTOR('',#12782,1000.); +#8130=VECTOR('',#12783,1000.); +#8131=VECTOR('',#12784,1000.); +#8132=VECTOR('',#12787,1000.); +#8133=VECTOR('',#12788,1000.); +#8134=VECTOR('',#12789,1000.); +#8135=VECTOR('',#12792,1000.); +#8136=VECTOR('',#12793,1000.); +#8137=VECTOR('',#12794,1000.); +#8138=VECTOR('',#12801,1000.); +#8139=VECTOR('',#12804,1000.); +#8140=VECTOR('',#12805,1000.); +#8141=VECTOR('',#12806,1000.); +#8142=VECTOR('',#12813,1000.); +#8143=VECTOR('',#12816,1000.); +#8144=VECTOR('',#12817,1000.); +#8145=VECTOR('',#12818,1000.); +#8146=VECTOR('',#12821,1000.); +#8147=VECTOR('',#12824,1000.); +#8148=VECTOR('',#12827,1000.); +#8149=VECTOR('',#12828,1000.); +#8150=VECTOR('',#12831,1000.); +#8151=VECTOR('',#12832,1000.); +#8152=VECTOR('',#12835,1000.); +#8153=VECTOR('',#12850,1000.); +#8154=VECTOR('',#12851,1000.); +#8155=VECTOR('',#12852,1000.); +#8156=VECTOR('',#12853,1000.); +#8157=VECTOR('',#12854,1000.); +#8158=VECTOR('',#12855,1000.); +#8159=VECTOR('',#12856,1000.); +#8160=VECTOR('',#12857,1000.); +#8161=VECTOR('',#12860,1000.); +#8162=VECTOR('',#12861,1000.); +#8163=VECTOR('',#12862,1000.); +#8164=VECTOR('',#12863,1000.); +#8165=VECTOR('',#12864,1000.); +#8166=VECTOR('',#12865,1000.); +#8167=VECTOR('',#12872,1000.); +#8168=VECTOR('',#12875,1000.); +#8169=VECTOR('',#12876,1000.); +#8170=VECTOR('',#12877,1000.); +#8171=VECTOR('',#12884,1000.); +#8172=VECTOR('',#12887,1000.); +#8173=VECTOR('',#12888,1000.); +#8174=VECTOR('',#12889,1000.); +#8175=VECTOR('',#12892,1000.); +#8176=VECTOR('',#12893,1000.); +#8177=VECTOR('',#12894,1000.); +#8178=VECTOR('',#12897,1000.); +#8179=VECTOR('',#12898,1000.); +#8180=VECTOR('',#12899,1000.); +#8181=VECTOR('',#12906,1000.); +#8182=VECTOR('',#12909,1000.); +#8183=VECTOR('',#12910,1000.); +#8184=VECTOR('',#12911,1000.); +#8185=VECTOR('',#12918,1000.); +#8186=VECTOR('',#12921,1000.); +#8187=VECTOR('',#12922,1000.); +#8188=VECTOR('',#12923,1000.); +#8189=VECTOR('',#12926,1000.); +#8190=VECTOR('',#12929,1000.); +#8191=VECTOR('',#12932,1000.); +#8192=VECTOR('',#12933,1000.); +#8193=VECTOR('',#12936,1000.); +#8194=VECTOR('',#12937,1000.); +#8195=VECTOR('',#12940,1000.); +#8196=VECTOR('',#12949,1000.); +#8197=VECTOR('',#12952,1000.); +#8198=VECTOR('',#12955,1000.); +#8199=VECTOR('',#12958,1000.); +#8200=VECTOR('',#12961,1000.); +#8201=VECTOR('',#12962,1000.); +#8202=VECTOR('',#12963,1000.); +#8203=VECTOR('',#12966,1000.); +#8204=VECTOR('',#12969,1000.); +#8205=VECTOR('',#12972,1000.); +#8206=VECTOR('',#12975,1000.); +#8207=VECTOR('',#12978,1000.); +#8208=VECTOR('',#12981,1000.); +#8209=VECTOR('',#12984,1000.); +#8210=VECTOR('',#12987,1000.); +#8211=VECTOR('',#12988,1000.); +#8212=VECTOR('',#12991,1000.); +#8213=VECTOR('',#12994,1000.); +#8214=VECTOR('',#12995,1000.); +#8215=VECTOR('',#12996,1000.); +#8216=VECTOR('',#12999,1000.); +#8217=VECTOR('',#13002,1000.); +#8218=VECTOR('',#13005,1000.); +#8219=VECTOR('',#13008,1000.); +#8220=VECTOR('',#13011,1000.); +#8221=VECTOR('',#13014,1000.); +#8222=VECTOR('',#13017,1000.); +#8223=VECTOR('',#13020,1000.); +#8224=VECTOR('',#13021,1000.); +#8225=VECTOR('',#13022,1000.); +#8226=VECTOR('',#13025,1000.); +#8227=VECTOR('',#13028,1000.); +#8228=VECTOR('',#13033,1000.); +#8229=VECTOR('',#13036,1000.); +#8230=VECTOR('',#13037,1000.); +#8231=VECTOR('',#13038,1000.); +#8232=VECTOR('',#13043,1000.); +#8233=VECTOR('',#13046,1000.); +#8234=VECTOR('',#13047,1000.); +#8235=VECTOR('',#13048,1000.); +#8236=VECTOR('',#13053,1000.); +#8237=VECTOR('',#13054,1000.); +#8238=VECTOR('',#13055,1000.); +#8239=VECTOR('',#13056,1000.); +#8240=VECTOR('',#13057,1000.); +#8241=VECTOR('',#13060,1000.); +#8242=VECTOR('',#13061,1000.); +#8243=VECTOR('',#13064,1000.); +#8244=VECTOR('',#13067,1000.); +#8245=VECTOR('',#13070,1000.); +#8246=VECTOR('',#13073,1000.); +#8247=VECTOR('',#13074,1000.); +#8248=VECTOR('',#13075,1000.); +#8249=VECTOR('',#13078,1000.); +#8250=VECTOR('',#13081,1000.); +#8251=VECTOR('',#13086,1000.); +#8252=VECTOR('',#13087,1000.); +#8253=VECTOR('',#13088,1000.); +#8254=VECTOR('',#13089,1000.); +#8255=VECTOR('',#13092,1000.); +#8256=VECTOR('',#13093,1000.); +#8257=VECTOR('',#13094,1000.); +#8258=VECTOR('',#13095,1000.); +#8259=VECTOR('',#13098,1000.); +#8260=VECTOR('',#13099,1000.); +#8261=VECTOR('',#13100,1000.); +#8262=VECTOR('',#13101,1000.); +#8263=VECTOR('',#13102,1000.); +#8264=VECTOR('',#13105,1000.); +#8265=VECTOR('',#13106,1000.); +#8266=VECTOR('',#13107,1000.); +#8267=VECTOR('',#13110,1000.); +#8268=VECTOR('',#13113,1000.); +#8269=VECTOR('',#13116,1000.); +#8270=VECTOR('',#13119,1000.); +#8271=VECTOR('',#13122,1000.); +#8272=VECTOR('',#13127,1000.); +#8273=VECTOR('',#13128,1000.); +#8274=VECTOR('',#13129,1000.); +#8275=VECTOR('',#13130,1000.); +#8276=VECTOR('',#13133,1000.); +#8277=VECTOR('',#13134,1000.); +#8278=VECTOR('',#13135,1000.); +#8279=VECTOR('',#13136,1000.); +#8280=VECTOR('',#13143,1000.); +#8281=VECTOR('',#13146,1000.); +#8282=VECTOR('',#13149,1000.); +#8283=VECTOR('',#13154,1000.); +#8284=VECTOR('',#13157,1000.); +#8285=VECTOR('',#13160,1000.); +#8286=VECTOR('',#13161,1000.); +#8287=VECTOR('',#13162,1000.); +#8288=VECTOR('',#13163,1000.); +#8289=VECTOR('',#13164,1000.); +#8290=VECTOR('',#13165,1000.); +#8291=VECTOR('',#13166,1000.); +#8292=VECTOR('',#13167,1000.); +#8293=VECTOR('',#13168,1000.); +#8294=VECTOR('',#13173,1000.); +#8295=VECTOR('',#13178,1000.); +#8296=VECTOR('',#13179,1000.); +#8297=VECTOR('',#13180,1000.); +#8298=VECTOR('',#13183,1000.); +#8299=VECTOR('',#13186,1000.); +#8300=VECTOR('',#13191,1000.); +#8301=VECTOR('',#13194,1000.); +#8302=VECTOR('',#13197,1000.); +#8303=VECTOR('',#13200,1000.); +#8304=VECTOR('',#13201,1000.); +#8305=VECTOR('',#13202,1000.); +#8306=VECTOR('',#13203,1000.); +#8307=VECTOR('',#13204,1000.); +#8308=VECTOR('',#13209,1000.); +#8309=VECTOR('',#13210,1000.); +#8310=VECTOR('',#13211,1000.); +#8311=VECTOR('',#13212,1000.); +#8312=VECTOR('',#13215,1000.); +#8313=VECTOR('',#13218,1000.); +#8314=VECTOR('',#13223,1000.); +#8315=VECTOR('',#13226,1000.); +#8316=VECTOR('',#13229,1000.); +#8317=VECTOR('',#13232,1000.); +#8318=VECTOR('',#13233,1000.); +#8319=VECTOR('',#13234,1000.); +#8320=VECTOR('',#13239,1000.); +#8321=VECTOR('',#13246,1000.); +#8322=VECTOR('',#13249,1000.); +#8323=VECTOR('',#13252,1000.); +#8324=VECTOR('',#13257,1000.); +#8325=VECTOR('',#13260,1000.); +#8326=VECTOR('',#13263,1000.); +#8327=VECTOR('',#13264,1000.); +#8328=VECTOR('',#13265,1000.); +#8329=VECTOR('',#13266,1000.); +#8330=VECTOR('',#13267,1000.); +#8331=VECTOR('',#13268,1000.); +#8332=VECTOR('',#13271,1000.); +#8333=VECTOR('',#13272,1000.); +#8334=VECTOR('',#13275,1000.); +#8335=VECTOR('',#13276,1000.); +#8336=VECTOR('',#13279,1000.); +#8337=VECTOR('',#13280,1000.); +#8338=VECTOR('',#13283,1000.); +#8339=VECTOR('',#13284,1000.); +#8340=VECTOR('',#13287,1000.); +#8341=VECTOR('',#13288,1000.); +#8342=VECTOR('',#13291,1000.); +#8343=VECTOR('',#13292,1000.); +#8344=VECTOR('',#13295,1000.); +#8345=VECTOR('',#13296,1000.); +#8346=VECTOR('',#13299,1000.); +#8347=VECTOR('',#13300,1000.); +#8348=VECTOR('',#13303,1000.); +#8349=VECTOR('',#13306,1000.); +#8350=VECTOR('',#13307,1000.); +#8351=VECTOR('',#13310,1000.); +#8352=VECTOR('',#13311,1000.); +#8353=VECTOR('',#13314,1000.); +#8354=VECTOR('',#13315,1000.); +#8355=VECTOR('',#13318,1000.); +#8356=VECTOR('',#13321,1000.); +#8357=VECTOR('',#13326,1000.); +#8358=VECTOR('',#13327,1000.); +#8359=VECTOR('',#13328,1000.); +#8360=VECTOR('',#13331,1000.); +#8361=VECTOR('',#13332,1000.); +#8362=VECTOR('',#13333,1000.); +#8363=VECTOR('',#13374,1000.); +#8364=VECTOR('',#13375,1000.); +#8365=VECTOR('',#13378,1000.); +#8366=VECTOR('',#13379,1000.); +#8367=VECTOR('',#13382,1000.); +#8368=VECTOR('',#13383,1000.); +#8369=VECTOR('',#13386,1000.); +#8370=VECTOR('',#13387,1000.); +#8371=VECTOR('',#13390,1000.); +#8372=VECTOR('',#13391,1000.); +#8373=VECTOR('',#13394,1000.); +#8374=VECTOR('',#13395,1000.); +#8375=VECTOR('',#13398,1000.); +#8376=VECTOR('',#13399,1000.); +#8377=VECTOR('',#13402,1000.); +#8378=VECTOR('',#13403,1000.); +#8379=VECTOR('',#13406,1000.); +#8380=VECTOR('',#13409,1000.); +#8381=VECTOR('',#13410,1000.); +#8382=VECTOR('',#13413,1000.); +#8383=VECTOR('',#13414,1000.); +#8384=VECTOR('',#13417,1000.); +#8385=VECTOR('',#13418,1000.); +#8386=VECTOR('',#13421,1000.); +#8387=VECTOR('',#13424,1000.); +#8388=VECTOR('',#13429,1000.); +#8389=VECTOR('',#13430,1000.); +#8390=VECTOR('',#13431,1000.); +#8391=VECTOR('',#13434,1000.); +#8392=VECTOR('',#13435,1000.); +#8393=VECTOR('',#13436,1000.); +#8394=VECTOR('',#13477,1000.); +#8395=VECTOR('',#13478,1000.); +#8396=VECTOR('',#13481,1000.); +#8397=VECTOR('',#13482,1000.); +#8398=VECTOR('',#13485,1000.); +#8399=VECTOR('',#13486,1000.); +#8400=VECTOR('',#13511,1000.); +#8401=VECTOR('',#13512,1000.); +#8402=VECTOR('',#13513,1000.); +#8403=VECTOR('',#13514,1000.); +#8404=VECTOR('',#13519,1000.); +#8405=VECTOR('',#13522,1000.); +#8406=VECTOR('',#13525,1000.); +#8407=VECTOR('',#13528,1000.); +#8408=VECTOR('',#13531,1000.); +#8409=VECTOR('',#13534,1000.); +#8410=VECTOR('',#13537,1000.); +#8411=VECTOR('',#13540,1000.); +#8412=VECTOR('',#13543,1000.); +#8413=VECTOR('',#13546,1000.); +#8414=VECTOR('',#13549,1000.); +#8415=VECTOR('',#13552,1000.); +#8416=VECTOR('',#13555,1000.); +#8417=VECTOR('',#13558,1000.); +#8418=VECTOR('',#13563,1000.); +#8419=VECTOR('',#13566,1000.); +#8420=VECTOR('',#13569,1000.); +#8421=VECTOR('',#13572,1000.); +#8422=VECTOR('',#13573,1000.); +#8423=VECTOR('',#13576,1000.); +#8424=VECTOR('',#13579,1000.); +#8425=VECTOR('',#13582,1000.); +#8426=VECTOR('',#13585,1000.); +#8427=VECTOR('',#13588,1000.); +#8428=VECTOR('',#13591,1000.); +#8429=VECTOR('',#13594,1000.); +#8430=VECTOR('',#13597,1000.); +#8431=VECTOR('',#13600,1000.); +#8432=VECTOR('',#13601,1000.); +#8433=VECTOR('',#13602,1000.); +#8434=VECTOR('',#13603,1000.); +#8435=VECTOR('',#13604,1000.); +#8436=VECTOR('',#13607,1000.); +#8437=VECTOR('',#13610,1000.); +#8438=VECTOR('',#13611,1000.); +#8439=VECTOR('',#13612,1000.); +#8440=VECTOR('',#13613,1000.); +#8441=VECTOR('',#13614,1000.); +#8442=VECTOR('',#13617,1000.); +#8443=VECTOR('',#13618,1000.); +#8444=VECTOR('',#13619,1000.); +#8445=VECTOR('',#13624,1000.); +#8446=VECTOR('',#13627,1000.); +#8447=VECTOR('',#13630,1000.); +#8448=VECTOR('',#13633,1000.); +#8449=VECTOR('',#13636,1000.); +#8450=VECTOR('',#13639,1000.); +#8451=VECTOR('',#13642,1000.); +#8452=VECTOR('',#13645,1000.); +#8453=VECTOR('',#13648,1000.); +#8454=VECTOR('',#13651,1000.); +#8455=VECTOR('',#13654,1000.); +#8456=VECTOR('',#13657,1000.); +#8457=VECTOR('',#13660,1000.); +#8458=VECTOR('',#13663,1000.); +#8459=VECTOR('',#13666,1000.); +#8460=VECTOR('',#13669,1000.); +#8461=VECTOR('',#13672,1000.); +#8462=VECTOR('',#13675,1000.); +#8463=VECTOR('',#13678,1000.); +#8464=VECTOR('',#13681,1000.); +#8465=VECTOR('',#13686,1000.); +#8466=VECTOR('',#13689,1000.); +#8467=VECTOR('',#13692,1000.); +#8468=VECTOR('',#13695,1000.); +#8469=VECTOR('',#13696,1000.); +#8470=VECTOR('',#13699,1000.); +#8471=VECTOR('',#13702,1000.); +#8472=VECTOR('',#13703,1000.); +#8473=VECTOR('',#13704,1000.); +#8474=VECTOR('',#13707,1000.); +#8475=VECTOR('',#13708,1000.); +#8476=VECTOR('',#13709,1000.); +#8477=VECTOR('',#13710,1000.); +#8478=VECTOR('',#13711,1000.); +#8479=VECTOR('',#13714,1000.); +#8480=VECTOR('',#13717,1000.); +#8481=VECTOR('',#13718,1000.); +#8482=VECTOR('',#13719,1000.); +#8483=VECTOR('',#13720,1000.); +#8484=VECTOR('',#13723,1000.); +#8485=VECTOR('',#13724,1000.); +#8486=VECTOR('',#13727,1000.); +#8487=VECTOR('',#13728,1000.); +#8488=VECTOR('',#13731,1000.); +#8489=VECTOR('',#13732,1000.); +#8490=VECTOR('',#13735,1000.); +#8491=VECTOR('',#13738,1000.); +#8492=VECTOR('',#13739,1000.); +#8493=VECTOR('',#13740,1000.); +#8494=VECTOR('',#13745,1000.); +#8495=VECTOR('',#13746,1000.); +#8496=VECTOR('',#13749,1000.); +#8497=VECTOR('',#13750,1000.); +#8498=VECTOR('',#13751,1000.); +#8499=VECTOR('',#13752,1000.); +#8500=VECTOR('',#13755,1000.); +#8501=VECTOR('',#13756,1000.); +#8502=VECTOR('',#13757,1000.); +#8503=VECTOR('',#13758,1000.); +#8504=VECTOR('',#13761,1000.); +#8505=VECTOR('',#13762,1000.); +#8506=VECTOR('',#13763,1000.); +#8507=VECTOR('',#13764,1000.); +#8508=VECTOR('',#13765,1000.); +#8509=VECTOR('',#13766,1000.); +#8510=VECTOR('',#13767,1000.); +#8511=VECTOR('',#13768,1000.); +#8512=VECTOR('',#13771,1000.); +#8513=VECTOR('',#13780,1000.); +#8514=VECTOR('',#13785,1000.); +#8515=VECTOR('',#13786,1000.); +#8516=VECTOR('',#13787,1000.); +#8517=VECTOR('',#13788,1000.); +#8518=VECTOR('',#13789,1000.); +#8519=VECTOR('',#13792,1000.); +#8520=VECTOR('',#13793,1000.); +#8521=VECTOR('',#13794,1000.); +#8522=VECTOR('',#13795,1000.); +#8523=VECTOR('',#13798,1000.); +#8524=VECTOR('',#13799,1000.); +#8525=VECTOR('',#13800,1000.); +#8526=VECTOR('',#13801,1000.); +#8527=VECTOR('',#13802,1000.); +#8528=VECTOR('',#13803,1000.); +#8529=VECTOR('',#13804,1000.); +#8530=VECTOR('',#13805,1000.); +#8531=VECTOR('',#13810,1000.); +#8532=VECTOR('',#13819,1000.); +#8533=VECTOR('',#13822,1000.); +#8534=VECTOR('',#13823,1000.); +#8535=VECTOR('',#13824,1000.); +#8536=VECTOR('',#13825,1000.); +#8537=VECTOR('',#13826,1000.); +#8538=VECTOR('',#13827,1000.); +#8539=VECTOR('',#13830,1000.); +#8540=VECTOR('',#13831,1000.); +#8541=VECTOR('',#13832,1000.); +#8542=VECTOR('',#13835,1000.); +#8543=VECTOR('',#13836,1000.); +#8544=VECTOR('',#13839,1000.); +#8545=VECTOR('',#13840,1000.); +#8546=VECTOR('',#13841,1000.); +#8547=VECTOR('',#13842,1000.); +#8548=VECTOR('',#13845,1000.); +#8549=VECTOR('',#13846,1000.); +#8550=VECTOR('',#13847,1000.); +#8551=VECTOR('',#13848,1000.); +#8552=VECTOR('',#13851,1000.); +#8553=VECTOR('',#13852,1000.); +#8554=VECTOR('',#13853,1000.); +#8555=VECTOR('',#13854,1000.); +#8556=VECTOR('',#13855,1000.); +#8557=VECTOR('',#13856,1000.); +#8558=VECTOR('',#13857,1000.); +#8559=VECTOR('',#13860,1000.); +#8560=VECTOR('',#13861,1000.); +#8561=VECTOR('',#13862,1000.); +#8562=VECTOR('',#13865,1000.); +#8563=VECTOR('',#13874,1000.); +#8564=VECTOR('',#13879,1000.); +#8565=VECTOR('',#13880,1000.); +#8566=VECTOR('',#13881,1000.); +#8567=VECTOR('',#13882,1000.); +#8568=VECTOR('',#13883,1000.); +#8569=VECTOR('',#13884,1000.); +#8570=VECTOR('',#13887,1000.); +#8571=VECTOR('',#13888,1000.); +#8572=VECTOR('',#13889,1000.); +#8573=VECTOR('',#13890,1000.); +#8574=VECTOR('',#13891,1000.); +#8575=VECTOR('',#13894,1000.); +#8576=VECTOR('',#13895,1000.); +#8577=VECTOR('',#13896,1000.); +#8578=VECTOR('',#13897,1000.); +#8579=VECTOR('',#13898,1000.); +#8580=VECTOR('',#13903,1000.); +#8581=VECTOR('',#13912,1000.); +#8582=VECTOR('',#13915,1000.); +#8583=VECTOR('',#13916,1000.); +#8584=VECTOR('',#13917,1000.); +#8585=VECTOR('',#13918,1000.); +#8586=VECTOR('',#13919,1000.); +#8587=VECTOR('',#13920,1000.); +#8588=VECTOR('',#13923,1000.); +#8589=VECTOR('',#13926,1000.); +#8590=VECTOR('',#13931,1000.); +#8591=VECTOR('',#13932,1000.); +#8592=VECTOR('',#13933,1000.); +#8593=VECTOR('',#13934,1000.); +#8594=VECTOR('',#13937,1000.); +#8595=VECTOR('',#13938,1000.); +#8596=VECTOR('',#13939,1000.); +#8597=VECTOR('',#13940,1000.); +#8598=VECTOR('',#13943,1000.); +#8599=VECTOR('',#13944,1000.); +#8600=VECTOR('',#13945,1000.); +#8601=VECTOR('',#13958,1000.); +#8602=VECTOR('',#13961,1000.); +#8603=VECTOR('',#13962,1000.); +#8604=VECTOR('',#13965,1000.); +#8605=VECTOR('',#13966,1000.); +#8606=VECTOR('',#13967,1000.); +#8607=VECTOR('',#13978,1000.); +#8608=VECTOR('',#13983,1000.); +#8609=VECTOR('',#13984,1000.); +#8610=VECTOR('',#14005,1000.); +#8611=VECTOR('',#14010,1000.); +#8612=VECTOR('',#14017,1000.); +#8613=VECTOR('',#14018,1000.); +#8614=VECTOR('',#14021,1000.); +#8615=VECTOR('',#14022,1000.); +#8616=VECTOR('',#14029,1000.); +#8617=VECTOR('',#14032,1000.); +#8618=VECTOR('',#14033,1000.); +#8619=VECTOR('',#14036,1000.); +#8620=VECTOR('',#14037,1000.); +#8621=VECTOR('',#14040,1000.); +#8622=VECTOR('',#14041,1000.); +#8623=VECTOR('',#14044,1000.); +#8624=VECTOR('',#14045,1000.); +#8625=VECTOR('',#14048,1000.); +#8626=VECTOR('',#14049,1000.); +#8627=VECTOR('',#14052,1000.); +#8628=VECTOR('',#14053,1000.); +#8629=VECTOR('',#14068,1000.); +#8630=VECTOR('',#14073,1000.); +#8631=VECTOR('',#14076,1000.); +#8632=VECTOR('',#14079,1000.); +#8633=VECTOR('',#14080,1000.); +#8634=VECTOR('',#14081,1000.); +#8635=VECTOR('',#14088,1000.); +#8636=VECTOR('',#14091,1000.); +#8637=VECTOR('',#14094,1000.); +#8638=VECTOR('',#14097,1000.); +#8639=VECTOR('',#14100,1000.); +#8640=VECTOR('',#14101,1000.); +#8641=VECTOR('',#14106,1000.); +#8642=VECTOR('',#14109,1000.); +#8643=VECTOR('',#14112,1000.); +#8644=VECTOR('',#14119,1000.); +#8645=VECTOR('',#14120,1000.); +#8646=VECTOR('',#14121,1000.); +#8647=VECTOR('',#14122,1000.); +#8648=VECTOR('',#14123,1000.); +#8649=VECTOR('',#14126,1000.); +#8650=VECTOR('',#14131,1000.); +#8651=VECTOR('',#14134,1000.); +#8652=VECTOR('',#14147,1000.); +#8653=VECTOR('',#14150,1000.); +#8654=VECTOR('',#14153,1000.); +#8655=VECTOR('',#14156,1000.); +#8656=VECTOR('',#14159,1000.); +#8657=VECTOR('',#14160,1000.); +#8658=VECTOR('',#14161,1000.); +#8659=VECTOR('',#14164,1000.); +#8660=VECTOR('',#14169,1000.); +#8661=VECTOR('',#14170,1000.); +#8662=VECTOR('',#14173,1000.); +#8663=VECTOR('',#14178,1000.); +#8664=VECTOR('',#14179,1000.); +#8665=VECTOR('',#14182,1000.); +#8666=VECTOR('',#14187,1000.); +#8667=VECTOR('',#14188,1000.); +#8668=VECTOR('',#14195,1000.); +#8669=VECTOR('',#14196,1000.); +#8670=VECTOR('',#14197,1000.); +#8671=VECTOR('',#14202,1000.); +#8672=VECTOR('',#14205,1000.); +#8673=VECTOR('',#14206,1000.); +#8674=VECTOR('',#14211,1000.); +#8675=VECTOR('',#14214,1000.); +#8676=VECTOR('',#14215,1000.); +#8677=VECTOR('',#14218,1000.); +#8678=VECTOR('',#14219,1000.); +#8679=VECTOR('',#14220,1000.); +#8680=VECTOR('',#14233,1000.); +#8681=VECTOR('',#14236,1000.); +#8682=VECTOR('',#14239,1000.); +#8683=VECTOR('',#14242,1000.); +#8684=VECTOR('',#14311,1000.); +#8685=VECTOR('',#14312,1000.); +#8686=VECTOR('',#14315,1000.); +#8687=VECTOR('',#14318,1000.); +#8688=VECTOR('',#14323,1000.); +#8689=VECTOR('',#14324,1000.); +#8690=VECTOR('',#14327,1000.); +#8691=VECTOR('',#14328,1000.); +#8692=VECTOR('',#14331,1000.); +#8693=VECTOR('',#14334,1000.); +#8694=VECTOR('',#14335,1000.); +#8695=VECTOR('',#14338,1000.); +#8696=VECTOR('',#14339,1000.); +#8697=VECTOR('',#14342,1000.); +#8698=VECTOR('',#14343,1000.); +#8699=VECTOR('',#14346,1000.); +#8700=VECTOR('',#14347,1000.); +#8701=VECTOR('',#14362,1000.); +#8702=VECTOR('',#14367,1000.); +#8703=VECTOR('',#14370,1000.); +#8704=VECTOR('',#14373,1000.); +#8705=VECTOR('',#14374,1000.); +#8706=VECTOR('',#14375,1000.); +#8707=VECTOR('',#14380,1000.); +#8708=VECTOR('',#14385,1000.); +#8709=VECTOR('',#14386,1000.); +#8710=VECTOR('',#14387,1000.); +#8711=VECTOR('',#14392,1000.); +#8712=VECTOR('',#14395,1000.); +#8713=VECTOR('',#14398,1000.); +#8714=VECTOR('',#14399,1000.); +#8715=VECTOR('',#14404,1000.); +#8716=VECTOR('',#14411,1000.); +#8717=VECTOR('',#14412,1000.); +#8718=VECTOR('',#14417,1000.); +#8719=VECTOR('',#14420,1000.); +#8720=VECTOR('',#14423,1000.); +#8721=VECTOR('',#14424,1000.); +#8722=VECTOR('',#14425,1000.); +#8723=VECTOR('',#14426,1000.); +#8724=VECTOR('',#14439,1000.); +#8725=VECTOR('',#14442,1000.); +#8726=VECTOR('',#14445,1000.); +#8727=VECTOR('',#14448,1000.); +#8728=VECTOR('',#14453,1000.); +#8729=VECTOR('',#14456,1000.); +#8730=VECTOR('',#14459,1000.); +#8731=VECTOR('',#14460,1000.); +#8732=VECTOR('',#14463,1000.); +#8733=VECTOR('',#14468,1000.); +#8734=VECTOR('',#14469,1000.); +#8735=VECTOR('',#14472,1000.); +#8736=VECTOR('',#14477,1000.); +#8737=VECTOR('',#14478,1000.); +#8738=VECTOR('',#14481,1000.); +#8739=VECTOR('',#14486,1000.); +#8740=VECTOR('',#14489,1000.); +#8741=VECTOR('',#14492,1000.); +#8742=VECTOR('',#14495,1000.); +#8743=VECTOR('',#14496,1000.); +#8744=VECTOR('',#14501,1000.); +#8745=VECTOR('',#14504,1000.); +#8746=VECTOR('',#14505,1000.); +#8747=VECTOR('',#14510,1000.); +#8748=VECTOR('',#14513,1000.); +#8749=VECTOR('',#14516,1000.); +#8750=VECTOR('',#14519,1000.); +#8751=VECTOR('',#14522,1000.); +#8752=VECTOR('',#14525,1000.); +#8753=VECTOR('',#14528,1000.); +#8754=VECTOR('',#14531,1000.); +#8755=VECTOR('',#14534,1000.); +#8756=VECTOR('',#14603,1000.); +#8757=VECTOR('',#14604,1000.); +#8758=VECTOR('',#14607,1000.); +#8759=VECTOR('',#14608,1000.); +#8760=VECTOR('',#14615,1000.); +#8761=VECTOR('',#14618,1000.); +#8762=VECTOR('',#14619,1000.); +#8763=VECTOR('',#14624,1000.); +#8764=VECTOR('',#14625,1000.); +#8765=VECTOR('',#14626,1000.); +#8766=VECTOR('',#14633,1000.); +#8767=VECTOR('',#14634,1000.); +#8768=VECTOR('',#14635,1000.); +#8769=VECTOR('',#14640,1000.); +#8770=VECTOR('',#14643,1000.); +#8771=VECTOR('',#14648,1000.); +#8772=VECTOR('',#14651,1000.); +#8773=VECTOR('',#14656,1000.); +#8774=VECTOR('',#14659,1000.); +#8775=VECTOR('',#14662,1000.); +#8776=VECTOR('',#14663,1000.); +#8777=VECTOR('',#14664,1000.); +#8778=VECTOR('',#14675,1000.); +#8779=VECTOR('',#14680,1000.); +#8780=VECTOR('',#14681,1000.); +#8781=VECTOR('',#14684,1000.); +#8782=VECTOR('',#14685,1000.); +#8783=VECTOR('',#14686,1000.); +#8784=VECTOR('',#14689,1000.); +#8785=VECTOR('',#14690,1000.); +#8786=VECTOR('',#14691,1000.); +#8787=VECTOR('',#14696,1000.); +#8788=EDGE_LOOP('',(#652,#653,#654,#655,#656,#657,#658,#659,#660,#661,#662, +#663)); +#8789=EDGE_LOOP('',(#664,#665,#666,#667)); +#8790=EDGE_LOOP('',(#668,#669,#670,#671)); +#8791=EDGE_LOOP('',(#672,#673,#674,#675)); +#8792=EDGE_LOOP('',(#676,#677,#678,#679,#680,#681,#682,#683,#684,#685,#686, +#687,#688,#689,#690,#691,#692,#693,#694,#695,#696,#697,#698,#699)); +#8793=EDGE_LOOP('',(#700,#701,#702,#703)); +#8794=EDGE_LOOP('',(#704,#705,#706,#707)); +#8795=EDGE_LOOP('',(#708,#709,#710,#711,#712,#713,#714,#715)); +#8796=EDGE_LOOP('',(#716,#717,#718,#719,#720,#721,#722,#723)); +#8797=EDGE_LOOP('',(#724,#725,#726,#727)); +#8798=EDGE_LOOP('',(#728,#729,#730,#731)); +#8799=EDGE_LOOP('',(#732,#733,#734,#735)); +#8800=EDGE_LOOP('',(#736,#737,#738,#739,#740,#741,#742,#743,#744,#745,#746, +#747)); +#8801=EDGE_LOOP('',(#748,#749,#750,#751,#752,#753,#754,#755,#756,#757,#758, +#759)); +#8802=EDGE_LOOP('',(#760,#761,#762,#763)); +#8803=EDGE_LOOP('',(#764,#765,#766,#767,#768,#769,#770,#771,#772,#773,#774, +#775)); +#8804=EDGE_LOOP('',(#776,#777,#778,#779,#780,#781,#782,#783,#784,#785,#786, +#787)); +#8805=EDGE_LOOP('',(#788,#789,#790,#791)); +#8806=EDGE_LOOP('',(#792,#793,#794,#795,#796,#797,#798,#799,#800,#801,#802, +#803)); +#8807=EDGE_LOOP('',(#804,#805,#806,#807,#808,#809,#810,#811,#812,#813,#814, +#815)); +#8808=EDGE_LOOP('',(#816,#817,#818,#819)); +#8809=EDGE_LOOP('',(#820,#821,#822,#823,#824,#825,#826,#827,#828,#829,#830, +#831)); +#8810=EDGE_LOOP('',(#832,#833,#834,#835,#836,#837,#838,#839,#840,#841,#842, +#843)); +#8811=EDGE_LOOP('',(#844,#845,#846,#847)); +#8812=EDGE_LOOP('',(#848,#849,#850,#851)); +#8813=EDGE_LOOP('',(#852,#853,#854,#855)); +#8814=EDGE_LOOP('',(#856,#857,#858,#859)); +#8815=EDGE_LOOP('',(#860,#861,#862,#863)); +#8816=EDGE_LOOP('',(#864,#865,#866,#867)); +#8817=EDGE_LOOP('',(#868,#869,#870,#871,#872,#873,#874,#875,#876,#877,#878, +#879)); +#8818=EDGE_LOOP('',(#880,#881,#882,#883)); +#8819=EDGE_LOOP('',(#884,#885,#886,#887)); +#8820=EDGE_LOOP('',(#888,#889,#890,#891)); +#8821=EDGE_LOOP('',(#892,#893,#894,#895)); +#8822=EDGE_LOOP('',(#896,#897,#898,#899)); +#8823=EDGE_LOOP('',(#900,#901,#902,#903)); +#8824=EDGE_LOOP('',(#904,#905,#906,#907)); +#8825=EDGE_LOOP('',(#908,#909,#910,#911,#912,#913,#914,#915)); +#8826=EDGE_LOOP('',(#916,#917,#918,#919,#920,#921,#922,#923,#924,#925,#926, +#927,#928,#929,#930,#931,#932,#933,#934,#935,#936,#937,#938,#939)); +#8827=EDGE_LOOP('',(#940,#941,#942,#943,#944,#945,#946,#947)); +#8828=EDGE_LOOP('',(#948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958, +#959,#960,#961,#962,#963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973, +#974,#975,#976,#977,#978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988, +#989,#990,#991)); +#8829=EDGE_LOOP('',(#992,#993,#994,#995,#996,#997,#998,#999,#1000,#1001, +#1002,#1003)); +#8830=EDGE_LOOP('',(#1004,#1005,#1006,#1007)); +#8831=EDGE_LOOP('',(#1008,#1009,#1010,#1011)); +#8832=EDGE_LOOP('',(#1012,#1013,#1014,#1015,#1016,#1017,#1018,#1019)); +#8833=EDGE_LOOP('',(#1020,#1021,#1022,#1023)); +#8834=EDGE_LOOP('',(#1024,#1025,#1026,#1027)); +#8835=EDGE_LOOP('',(#1028,#1029,#1030,#1031)); +#8836=EDGE_LOOP('',(#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039)); +#8837=EDGE_LOOP('',(#1040,#1041,#1042,#1043)); +#8838=EDGE_LOOP('',(#1044,#1045,#1046,#1047)); +#8839=EDGE_LOOP('',(#1048,#1049,#1050,#1051)); +#8840=EDGE_LOOP('',(#1052,#1053,#1054,#1055,#1056,#1057,#1058,#1059)); +#8841=EDGE_LOOP('',(#1060,#1061,#1062,#1063)); +#8842=EDGE_LOOP('',(#1064,#1065,#1066,#1067)); +#8843=EDGE_LOOP('',(#1068,#1069,#1070,#1071)); +#8844=EDGE_LOOP('',(#1072,#1073,#1074,#1075,#1076,#1077,#1078,#1079)); +#8845=EDGE_LOOP('',(#1080,#1081,#1082,#1083)); +#8846=EDGE_LOOP('',(#1084)); +#8847=EDGE_LOOP('',(#1085)); +#8848=EDGE_LOOP('',(#1086,#1087,#1088,#1089,#1090,#1091,#1092,#1093,#1094, +#1095,#1096,#1097,#1098,#1099,#1100,#1101,#1102,#1103,#1104,#1105,#1106, +#1107)); +#8849=EDGE_LOOP('',(#1108,#1109,#1110,#1111,#1112,#1113,#1114,#1115,#1116, +#1117)); +#8850=EDGE_LOOP('',(#1118,#1119,#1120,#1121,#1122,#1123,#1124,#1125,#1126, +#1127)); +#8851=EDGE_LOOP('',(#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136, +#1137,#1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147)); +#8852=EDGE_LOOP('',(#1148,#1149,#1150,#1151,#1152,#1153,#1154,#1155,#1156)); +#8853=EDGE_LOOP('',(#1157,#1158,#1159,#1160)); +#8854=EDGE_LOOP('',(#1161,#1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169)); +#8855=EDGE_LOOP('',(#1170,#1171,#1172,#1173,#1174,#1175,#1176,#1177,#1178, +#1179,#1180,#1181,#1182,#1183,#1184,#1185,#1186,#1187,#1188,#1189,#1190, +#1191,#1192,#1193)); +#8856=EDGE_LOOP('',(#1194,#1195,#1196,#1197,#1198,#1199,#1200,#1201)); +#8857=EDGE_LOOP('',(#1202,#1203,#1204,#1205)); +#8858=EDGE_LOOP('',(#1206,#1207,#1208,#1209)); +#8859=EDGE_LOOP('',(#1210,#1211,#1212,#1213)); +#8860=EDGE_LOOP('',(#1214,#1215,#1216,#1217,#1218,#1219,#1220,#1221)); +#8861=EDGE_LOOP('',(#1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229)); +#8862=EDGE_LOOP('',(#1230,#1231,#1232,#1233)); +#8863=EDGE_LOOP('',(#1234,#1235,#1236,#1237)); +#8864=EDGE_LOOP('',(#1238,#1239,#1240,#1241)); +#8865=EDGE_LOOP('',(#1242,#1243,#1244,#1245)); +#8866=EDGE_LOOP('',(#1246,#1247,#1248,#1249)); +#8867=EDGE_LOOP('',(#1250,#1251,#1252,#1253,#1254)); +#8868=EDGE_LOOP('',(#1255,#1256,#1257,#1258,#1259)); +#8869=EDGE_LOOP('',(#1260,#1261,#1262,#1263,#1264)); +#8870=EDGE_LOOP('',(#1265,#1266,#1267,#1268)); +#8871=EDGE_LOOP('',(#1269,#1270,#1271,#1272)); +#8872=EDGE_LOOP('',(#1273)); +#8873=EDGE_LOOP('',(#1274)); +#8874=EDGE_LOOP('',(#1275)); +#8875=EDGE_LOOP('',(#1276)); +#8876=EDGE_LOOP('',(#1277)); +#8877=EDGE_LOOP('',(#1278,#1279,#1280,#1281)); +#8878=EDGE_LOOP('',(#1282,#1283,#1284,#1285)); +#8879=EDGE_LOOP('',(#1286,#1287,#1288,#1289)); +#8880=EDGE_LOOP('',(#1290,#1291,#1292,#1293)); +#8881=EDGE_LOOP('',(#1294,#1295,#1296,#1297)); +#8882=EDGE_LOOP('',(#1298,#1299,#1300,#1301)); +#8883=EDGE_LOOP('',(#1302,#1303,#1304,#1305,#1306)); +#8884=EDGE_LOOP('',(#1307,#1308,#1309,#1310,#1311)); +#8885=EDGE_LOOP('',(#1312,#1313,#1314,#1315)); +#8886=EDGE_LOOP('',(#1316,#1317,#1318,#1319,#1320)); +#8887=EDGE_LOOP('',(#1321,#1322,#1323,#1324)); +#8888=EDGE_LOOP('',(#1325)); +#8889=EDGE_LOOP('',(#1326)); +#8890=EDGE_LOOP('',(#1327)); +#8891=EDGE_LOOP('',(#1328)); +#8892=EDGE_LOOP('',(#1329)); +#8893=EDGE_LOOP('',(#1330,#1331,#1332,#1333)); +#8894=EDGE_LOOP('',(#1334,#1335,#1336,#1337)); +#8895=EDGE_LOOP('',(#1338,#1339,#1340,#1341)); +#8896=EDGE_LOOP('',(#1342,#1343,#1344,#1345)); +#8897=EDGE_LOOP('',(#1346,#1347,#1348,#1349)); +#8898=EDGE_LOOP('',(#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357)); +#8899=EDGE_LOOP('',(#1358,#1359,#1360,#1361)); +#8900=EDGE_LOOP('',(#1362,#1363,#1364,#1365)); +#8901=EDGE_LOOP('',(#1366,#1367,#1368,#1369)); +#8902=EDGE_LOOP('',(#1370,#1371,#1372,#1373)); +#8903=EDGE_LOOP('',(#1374,#1375,#1376,#1377)); +#8904=EDGE_LOOP('',(#1378,#1379,#1380,#1381)); +#8905=EDGE_LOOP('',(#1382,#1383,#1384,#1385)); +#8906=EDGE_LOOP('',(#1386,#1387,#1388,#1389)); +#8907=EDGE_LOOP('',(#1390,#1391,#1392,#1393)); +#8908=EDGE_LOOP('',(#1394,#1395,#1396,#1397)); +#8909=EDGE_LOOP('',(#1398,#1399,#1400,#1401)); +#8910=EDGE_LOOP('',(#1402,#1403,#1404,#1405)); +#8911=EDGE_LOOP('',(#1406,#1407,#1408,#1409)); +#8912=EDGE_LOOP('',(#1410,#1411,#1412,#1413)); +#8913=EDGE_LOOP('',(#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421)); +#8914=EDGE_LOOP('',(#1422,#1423,#1424,#1425)); +#8915=EDGE_LOOP('',(#1426,#1427,#1428,#1429)); +#8916=EDGE_LOOP('',(#1430,#1431,#1432,#1433)); +#8917=EDGE_LOOP('',(#1434,#1435,#1436,#1437)); +#8918=EDGE_LOOP('',(#1438,#1439,#1440,#1441)); +#8919=EDGE_LOOP('',(#1442,#1443,#1444,#1445)); +#8920=EDGE_LOOP('',(#1446,#1447,#1448,#1449)); +#8921=EDGE_LOOP('',(#1450,#1451,#1452,#1453)); +#8922=EDGE_LOOP('',(#1454,#1455,#1456,#1457)); +#8923=EDGE_LOOP('',(#1458,#1459,#1460,#1461)); +#8924=EDGE_LOOP('',(#1462,#1463,#1464,#1465)); +#8925=EDGE_LOOP('',(#1466,#1467,#1468,#1469)); +#8926=EDGE_LOOP('',(#1470,#1471,#1472,#1473)); +#8927=EDGE_LOOP('',(#1474,#1475,#1476,#1477)); +#8928=EDGE_LOOP('',(#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485)); +#8929=EDGE_LOOP('',(#1486,#1487,#1488,#1489)); +#8930=EDGE_LOOP('',(#1490,#1491,#1492,#1493)); +#8931=EDGE_LOOP('',(#1494,#1495,#1496,#1497)); +#8932=EDGE_LOOP('',(#1498,#1499,#1500,#1501)); +#8933=EDGE_LOOP('',(#1502,#1503,#1504,#1505)); +#8934=EDGE_LOOP('',(#1506,#1507,#1508,#1509)); +#8935=EDGE_LOOP('',(#1510,#1511,#1512,#1513)); +#8936=EDGE_LOOP('',(#1514,#1515,#1516,#1517)); +#8937=EDGE_LOOP('',(#1518,#1519,#1520,#1521)); +#8938=EDGE_LOOP('',(#1522,#1523,#1524,#1525)); +#8939=EDGE_LOOP('',(#1526,#1527,#1528,#1529)); +#8940=EDGE_LOOP('',(#1530,#1531,#1532,#1533)); +#8941=EDGE_LOOP('',(#1534,#1535,#1536,#1537)); +#8942=EDGE_LOOP('',(#1538,#1539,#1540,#1541)); +#8943=EDGE_LOOP('',(#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549)); +#8944=EDGE_LOOP('',(#1550,#1551,#1552,#1553)); +#8945=EDGE_LOOP('',(#1554,#1555,#1556,#1557)); +#8946=EDGE_LOOP('',(#1558,#1559,#1560,#1561)); +#8947=EDGE_LOOP('',(#1562,#1563,#1564,#1565)); +#8948=EDGE_LOOP('',(#1566,#1567,#1568,#1569)); +#8949=EDGE_LOOP('',(#1570,#1571,#1572,#1573)); +#8950=EDGE_LOOP('',(#1574,#1575,#1576,#1577)); +#8951=EDGE_LOOP('',(#1578,#1579,#1580,#1581)); +#8952=EDGE_LOOP('',(#1582,#1583,#1584,#1585)); +#8953=EDGE_LOOP('',(#1586,#1587,#1588,#1589)); +#8954=EDGE_LOOP('',(#1590,#1591,#1592,#1593)); +#8955=EDGE_LOOP('',(#1594,#1595,#1596,#1597)); +#8956=EDGE_LOOP('',(#1598,#1599,#1600,#1601)); +#8957=EDGE_LOOP('',(#1602,#1603,#1604,#1605)); +#8958=EDGE_LOOP('',(#1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614, +#1615,#1616,#1617,#1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625)); +#8959=EDGE_LOOP('',(#1626,#1627,#1628,#1629,#1630,#1631,#1632,#1633,#1634, +#1635,#1636,#1637,#1638,#1639,#1640,#1641,#1642,#1643,#1644,#1645)); +#8960=EDGE_LOOP('',(#1646,#1647,#1648,#1649)); +#8961=EDGE_LOOP('',(#1650,#1651,#1652,#1653)); +#8962=EDGE_LOOP('',(#1654,#1655,#1656,#1657)); +#8963=EDGE_LOOP('',(#1658,#1659,#1660,#1661)); +#8964=EDGE_LOOP('',(#1662,#1663,#1664,#1665)); +#8965=EDGE_LOOP('',(#1666,#1667,#1668,#1669)); +#8966=EDGE_LOOP('',(#1670,#1671,#1672,#1673)); +#8967=EDGE_LOOP('',(#1674,#1675,#1676,#1677)); +#8968=EDGE_LOOP('',(#1678,#1679,#1680,#1681)); +#8969=EDGE_LOOP('',(#1682,#1683,#1684,#1685)); +#8970=EDGE_LOOP('',(#1686,#1687,#1688,#1689)); +#8971=EDGE_LOOP('',(#1690,#1691,#1692,#1693)); +#8972=EDGE_LOOP('',(#1694,#1695,#1696,#1697,#1698)); +#8973=EDGE_LOOP('',(#1699,#1700,#1701,#1702,#1703)); +#8974=EDGE_LOOP('',(#1704,#1705,#1706,#1707)); +#8975=EDGE_LOOP('',(#1708,#1709,#1710,#1711)); +#8976=EDGE_LOOP('',(#1712,#1713,#1714,#1715)); +#8977=EDGE_LOOP('',(#1716,#1717,#1718,#1719,#1720,#1721)); +#8978=EDGE_LOOP('',(#1722,#1723,#1724,#1725,#1726,#1727,#1728,#1729,#1730, +#1731,#1732,#1733,#1734,#1735,#1736,#1737,#1738,#1739)); +#8979=EDGE_LOOP('',(#1740,#1741,#1742,#1743,#1744,#1745)); +#8980=EDGE_LOOP('',(#1746,#1747,#1748,#1749,#1750,#1751)); +#8981=EDGE_LOOP('',(#1752,#1753,#1754,#1755)); +#8982=EDGE_LOOP('',(#1756,#1757,#1758,#1759)); +#8983=EDGE_LOOP('',(#1760,#1761,#1762,#1763)); +#8984=EDGE_LOOP('',(#1764,#1765,#1766,#1767)); +#8985=EDGE_LOOP('',(#1768,#1769,#1770,#1771)); +#8986=EDGE_LOOP('',(#1772,#1773,#1774,#1775)); +#8987=EDGE_LOOP('',(#1776,#1777,#1778,#1779)); +#8988=EDGE_LOOP('',(#1780,#1781,#1782,#1783,#1784)); +#8989=EDGE_LOOP('',(#1785,#1786,#1787,#1788,#1789)); +#8990=EDGE_LOOP('',(#1790,#1791,#1792,#1793,#1794)); +#8991=EDGE_LOOP('',(#1795,#1796,#1797,#1798,#1799)); +#8992=EDGE_LOOP('',(#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807)); +#8993=EDGE_LOOP('',(#1808,#1809,#1810,#1811,#1812,#1813,#1814,#1815)); +#8994=EDGE_LOOP('',(#1816,#1817,#1818,#1819)); +#8995=EDGE_LOOP('',(#1820,#1821,#1822,#1823)); +#8996=EDGE_LOOP('',(#1824,#1825,#1826,#1827)); +#8997=EDGE_LOOP('',(#1828,#1829,#1830,#1831)); +#8998=EDGE_LOOP('',(#1832,#1833,#1834,#1835)); +#8999=EDGE_LOOP('',(#1836,#1837,#1838,#1839)); +#9000=EDGE_LOOP('',(#1840,#1841,#1842,#1843)); +#9001=EDGE_LOOP('',(#1844,#1845,#1846,#1847)); +#9002=EDGE_LOOP('',(#1848,#1849,#1850,#1851)); +#9003=EDGE_LOOP('',(#1852,#1853,#1854,#1855)); +#9004=EDGE_LOOP('',(#1856,#1857,#1858,#1859,#1860,#1861,#1862,#1863,#1864, +#1865,#1866,#1867,#1868)); +#9005=EDGE_LOOP('',(#1869,#1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877, +#1878,#1879,#1880,#1881)); +#9006=EDGE_LOOP('',(#1882,#1883,#1884,#1885)); +#9007=EDGE_LOOP('',(#1886,#1887,#1888,#1889)); +#9008=EDGE_LOOP('',(#1890,#1891,#1892,#1893)); +#9009=EDGE_LOOP('',(#1894,#1895,#1896,#1897)); +#9010=EDGE_LOOP('',(#1898,#1899,#1900,#1901)); +#9011=EDGE_LOOP('',(#1902,#1903,#1904,#1905,#1906,#1907,#1908,#1909)); +#9012=EDGE_LOOP('',(#1910,#1911,#1912,#1913,#1914,#1915,#1916,#1917)); +#9013=EDGE_LOOP('',(#1918,#1919,#1920,#1921)); +#9014=EDGE_LOOP('',(#1922,#1923,#1924,#1925)); +#9015=EDGE_LOOP('',(#1926,#1927,#1928,#1929)); +#9016=EDGE_LOOP('',(#1930,#1931,#1932,#1933)); +#9017=EDGE_LOOP('',(#1934,#1935,#1936,#1937)); +#9018=EDGE_LOOP('',(#1938,#1939,#1940,#1941)); +#9019=EDGE_LOOP('',(#1942,#1943,#1944,#1945)); +#9020=EDGE_LOOP('',(#1946,#1947,#1948,#1949)); +#9021=EDGE_LOOP('',(#1950,#1951,#1952,#1953)); +#9022=EDGE_LOOP('',(#1954,#1955,#1956,#1957)); +#9023=EDGE_LOOP('',(#1958,#1959,#1960,#1961,#1962,#1963,#1964,#1965,#1966, +#1967,#1968,#1969,#1970)); +#9024=EDGE_LOOP('',(#1971,#1972,#1973,#1974,#1975,#1976,#1977,#1978,#1979, +#1980,#1981,#1982,#1983)); +#9025=EDGE_LOOP('',(#1984,#1985,#1986,#1987)); +#9026=EDGE_LOOP('',(#1988,#1989,#1990,#1991)); +#9027=EDGE_LOOP('',(#1992,#1993,#1994,#1995)); +#9028=EDGE_LOOP('',(#1996,#1997,#1998,#1999)); +#9029=EDGE_LOOP('',(#2000,#2001,#2002,#2003)); +#9030=EDGE_LOOP('',(#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012, +#2013,#2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024, +#2025,#2026,#2027,#2028,#2029,#2030,#2031)); +#9031=EDGE_LOOP('',(#2032,#2033,#2034,#2035,#2036,#2037,#2038,#2039,#2040, +#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048,#2049,#2050,#2051,#2052, +#2053,#2054,#2055,#2056,#2057,#2058,#2059)); +#9032=EDGE_LOOP('',(#2060,#2061,#2062,#2063,#2064,#2065,#2066,#2067)); +#9033=EDGE_LOOP('',(#2068,#2069,#2070,#2071,#2072,#2073,#2074,#2075)); +#9034=EDGE_LOOP('',(#2076,#2077,#2078,#2079,#2080)); +#9035=EDGE_LOOP('',(#2081,#2082,#2083,#2084)); +#9036=EDGE_LOOP('',(#2085,#2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093, +#2094,#2095,#2096,#2097,#2098,#2099,#2100)); +#9037=EDGE_LOOP('',(#2101,#2102,#2103,#2104)); +#9038=EDGE_LOOP('',(#2105,#2106,#2107,#2108)); +#9039=EDGE_LOOP('',(#2109,#2110,#2111,#2112,#2113)); +#9040=EDGE_LOOP('',(#2114,#2115,#2116,#2117,#2118,#2119,#2120,#2121,#2122, +#2123,#2124,#2125,#2126,#2127,#2128,#2129)); +#9041=EDGE_LOOP('',(#2130,#2131,#2132,#2133)); +#9042=EDGE_LOOP('',(#2134,#2135,#2136,#2137)); +#9043=EDGE_LOOP('',(#2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145,#2146, +#2147,#2148,#2149,#2150,#2151,#2152,#2153,#2154,#2155,#2156,#2157,#2158, +#2159)); +#9044=EDGE_LOOP('',(#2160,#2161,#2162,#2163,#2164,#2165)); +#9045=EDGE_LOOP('',(#2166,#2167,#2168,#2169)); +#9046=EDGE_LOOP('',(#2170,#2171,#2172,#2173)); +#9047=EDGE_LOOP('',(#2174,#2175,#2176,#2177,#2178,#2179,#2180,#2181,#2182, +#2183,#2184,#2185,#2186,#2187,#2188,#2189,#2190,#2191,#2192,#2193,#2194, +#2195)); +#9048=EDGE_LOOP('',(#2196,#2197,#2198,#2199,#2200,#2201)); +#9049=EDGE_LOOP('',(#2202,#2203,#2204,#2205,#2206,#2207,#2208,#2209,#2210, +#2211,#2212,#2213,#2214,#2215,#2216,#2217,#2218,#2219,#2220,#2221,#2222, +#2223)); +#9050=EDGE_LOOP('',(#2224,#2225,#2226,#2227)); +#9051=EDGE_LOOP('',(#2228,#2229,#2230,#2231)); +#9052=EDGE_LOOP('',(#2232,#2233,#2234,#2235)); +#9053=EDGE_LOOP('',(#2236,#2237,#2238,#2239,#2240,#2241,#2242,#2243,#2244, +#2245,#2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253,#2254,#2255,#2256, +#2257)); +#9054=EDGE_LOOP('',(#2258,#2259,#2260,#2261)); +#9055=EDGE_LOOP('',(#2262,#2263,#2264,#2265)); +#9056=EDGE_LOOP('',(#2266,#2267,#2268,#2269)); +#9057=EDGE_LOOP('',(#2270,#2271,#2272,#2273)); +#9058=EDGE_LOOP('',(#2274,#2275,#2276,#2277)); +#9059=EDGE_LOOP('',(#2278,#2279,#2280,#2281)); +#9060=EDGE_LOOP('',(#2282,#2283,#2284,#2285)); +#9061=EDGE_LOOP('',(#2286,#2287,#2288,#2289)); +#9062=EDGE_LOOP('',(#2290,#2291,#2292,#2293)); +#9063=EDGE_LOOP('',(#2294,#2295,#2296,#2297)); +#9064=EDGE_LOOP('',(#2298,#2299,#2300,#2301,#2302)); +#9065=EDGE_LOOP('',(#2303,#2304,#2305)); +#9066=EDGE_LOOP('',(#2306,#2307,#2308,#2309)); +#9067=EDGE_LOOP('',(#2310,#2311,#2312,#2313)); +#9068=EDGE_LOOP('',(#2314,#2315,#2316,#2317,#2318,#2319)); +#9069=EDGE_LOOP('',(#2320,#2321,#2322)); +#9070=EDGE_LOOP('',(#2323,#2324,#2325,#2326)); +#9071=EDGE_LOOP('',(#2327,#2328,#2329,#2330)); +#9072=EDGE_LOOP('',(#2331,#2332,#2333,#2334)); +#9073=EDGE_LOOP('',(#2335,#2336,#2337,#2338)); +#9074=EDGE_LOOP('',(#2339,#2340,#2341,#2342)); +#9075=EDGE_LOOP('',(#2343,#2344,#2345,#2346)); +#9076=EDGE_LOOP('',(#2347,#2348,#2349,#2350)); +#9077=EDGE_LOOP('',(#2351,#2352,#2353,#2354)); +#9078=EDGE_LOOP('',(#2355,#2356,#2357,#2358)); +#9079=EDGE_LOOP('',(#2359,#2360,#2361,#2362)); +#9080=EDGE_LOOP('',(#2363,#2364,#2365,#2366)); +#9081=EDGE_LOOP('',(#2367,#2368,#2369,#2370)); +#9082=EDGE_LOOP('',(#2371,#2372,#2373,#2374)); +#9083=EDGE_LOOP('',(#2375,#2376,#2377,#2378)); +#9084=EDGE_LOOP('',(#2379,#2380,#2381,#2382)); +#9085=EDGE_LOOP('',(#2383,#2384,#2385,#2386)); +#9086=EDGE_LOOP('',(#2387,#2388,#2389,#2390)); +#9087=EDGE_LOOP('',(#2391,#2392,#2393,#2394)); +#9088=EDGE_LOOP('',(#2395,#2396,#2397,#2398)); +#9089=EDGE_LOOP('',(#2399,#2400,#2401,#2402)); +#9090=EDGE_LOOP('',(#2403,#2404,#2405,#2406)); +#9091=EDGE_LOOP('',(#2407,#2408,#2409,#2410)); +#9092=EDGE_LOOP('',(#2411,#2412,#2413,#2414)); +#9093=EDGE_LOOP('',(#2415,#2416,#2417,#2418)); +#9094=EDGE_LOOP('',(#2419,#2420,#2421,#2422)); +#9095=EDGE_LOOP('',(#2423,#2424,#2425,#2426)); +#9096=EDGE_LOOP('',(#2427,#2428,#2429,#2430,#2431)); +#9097=EDGE_LOOP('',(#2432,#2433,#2434)); +#9098=EDGE_LOOP('',(#2435,#2436,#2437,#2438)); +#9099=EDGE_LOOP('',(#2439,#2440,#2441,#2442)); +#9100=EDGE_LOOP('',(#2443,#2444,#2445,#2446,#2447,#2448)); +#9101=EDGE_LOOP('',(#2449,#2450,#2451)); +#9102=EDGE_LOOP('',(#2452,#2453,#2454,#2455)); +#9103=EDGE_LOOP('',(#2456,#2457,#2458,#2459)); +#9104=EDGE_LOOP('',(#2460,#2461,#2462,#2463)); +#9105=EDGE_LOOP('',(#2464,#2465,#2466,#2467)); +#9106=EDGE_LOOP('',(#2468,#2469,#2470,#2471)); +#9107=EDGE_LOOP('',(#2472,#2473,#2474,#2475)); +#9108=EDGE_LOOP('',(#2476,#2477,#2478,#2479)); +#9109=EDGE_LOOP('',(#2480,#2481,#2482,#2483)); +#9110=EDGE_LOOP('',(#2484,#2485,#2486,#2487)); +#9111=EDGE_LOOP('',(#2488,#2489,#2490,#2491)); +#9112=EDGE_LOOP('',(#2492,#2493,#2494,#2495)); +#9113=EDGE_LOOP('',(#2496,#2497,#2498,#2499)); +#9114=EDGE_LOOP('',(#2500,#2501,#2502,#2503)); +#9115=EDGE_LOOP('',(#2504,#2505,#2506,#2507)); +#9116=EDGE_LOOP('',(#2508,#2509,#2510,#2511)); +#9117=EDGE_LOOP('',(#2512,#2513,#2514,#2515)); +#9118=EDGE_LOOP('',(#2516,#2517,#2518,#2519)); +#9119=EDGE_LOOP('',(#2520,#2521,#2522,#2523)); +#9120=EDGE_LOOP('',(#2524,#2525,#2526,#2527)); +#9121=EDGE_LOOP('',(#2528,#2529,#2530,#2531)); +#9122=EDGE_LOOP('',(#2532,#2533,#2534,#2535)); +#9123=EDGE_LOOP('',(#2536,#2537,#2538,#2539)); +#9124=EDGE_LOOP('',(#2540,#2541,#2542,#2543)); +#9125=EDGE_LOOP('',(#2544,#2545,#2546,#2547)); +#9126=EDGE_LOOP('',(#2548,#2549,#2550,#2551)); +#9127=EDGE_LOOP('',(#2552,#2553,#2554,#2555)); +#9128=EDGE_LOOP('',(#2556,#2557,#2558,#2559)); +#9129=EDGE_LOOP('',(#2560,#2561,#2562,#2563)); +#9130=EDGE_LOOP('',(#2564,#2565,#2566,#2567)); +#9131=EDGE_LOOP('',(#2568,#2569,#2570,#2571)); +#9132=EDGE_LOOP('',(#2572,#2573,#2574,#2575)); +#9133=EDGE_LOOP('',(#2576,#2577,#2578,#2579)); +#9134=EDGE_LOOP('',(#2580,#2581,#2582,#2583,#2584,#2585,#2586,#2587)); +#9135=EDGE_LOOP('',(#2588,#2589,#2590,#2591,#2592,#2593,#2594,#2595,#2596, +#2597,#2598,#2599,#2600,#2601,#2602,#2603)); +#9136=EDGE_LOOP('',(#2604,#2605,#2606,#2607,#2608,#2609,#2610,#2611)); +#9137=EDGE_LOOP('',(#2612,#2613,#2614,#2615,#2616,#2617,#2618,#2619,#2620, +#2621,#2622,#2623,#2624,#2625,#2626,#2627)); +#9138=EDGE_LOOP('',(#2628,#2629,#2630,#2631,#2632,#2633,#2634,#2635,#2636, +#2637,#2638,#2639,#2640,#2641,#2642,#2643,#2644,#2645,#2646,#2647,#2648, +#2649)); +#9139=EDGE_LOOP('',(#2650,#2651,#2652,#2653,#2654,#2655,#2656,#2657,#2658, +#2659,#2660,#2661,#2662,#2663,#2664,#2665)); +#9140=EDGE_LOOP('',(#2666,#2667,#2668,#2669,#2670,#2671,#2672,#2673)); +#9141=EDGE_LOOP('',(#2674,#2675,#2676,#2677,#2678,#2679,#2680,#2681,#2682, +#2683,#2684,#2685,#2686,#2687,#2688,#2689)); +#9142=EDGE_LOOP('',(#2690,#2691,#2692,#2693,#2694,#2695,#2696,#2697)); +#9143=EDGE_LOOP('',(#2698,#2699,#2700,#2701,#2702,#2703,#2704,#2705,#2706, +#2707,#2708,#2709,#2710,#2711,#2712,#2713,#2714,#2715,#2716,#2717,#2718, +#2719)); +#9144=EDGE_LOOP('',(#2720,#2721,#2722,#2723)); +#9145=EDGE_LOOP('',(#2724,#2725,#2726,#2727)); +#9146=EDGE_LOOP('',(#2728,#2729,#2730,#2731)); +#9147=EDGE_LOOP('',(#2732,#2733,#2734,#2735,#2736,#2737,#2738,#2739)); +#9148=EDGE_LOOP('',(#2740,#2741,#2742,#2743)); +#9149=EDGE_LOOP('',(#2744,#2745,#2746,#2747)); +#9150=EDGE_LOOP('',(#2748,#2749,#2750,#2751)); +#9151=EDGE_LOOP('',(#2752,#2753,#2754,#2755,#2756,#2757,#2758,#2759)); +#9152=EDGE_LOOP('',(#2760,#2761,#2762,#2763)); +#9153=EDGE_LOOP('',(#2764,#2765,#2766,#2767)); +#9154=EDGE_LOOP('',(#2768,#2769,#2770,#2771,#2772,#2773,#2774,#2775,#2776, +#2777,#2778,#2779,#2780,#2781)); +#9155=EDGE_LOOP('',(#2782,#2783,#2784,#2785,#2786,#2787,#2788,#2789)); +#9156=EDGE_LOOP('',(#2790,#2791,#2792,#2793)); +#9157=EDGE_LOOP('',(#2794,#2795,#2796,#2797)); +#9158=EDGE_LOOP('',(#2798,#2799,#2800,#2801,#2802,#2803,#2804,#2805,#2806, +#2807,#2808,#2809,#2810,#2811)); +#9159=EDGE_LOOP('',(#2812,#2813,#2814,#2815)); +#9160=EDGE_LOOP('',(#2816,#2817,#2818,#2819)); +#9161=EDGE_LOOP('',(#2820,#2821,#2822,#2823)); +#9162=EDGE_LOOP('',(#2824,#2825,#2826,#2827,#2828,#2829,#2830,#2831,#2832, +#2833,#2834,#2835,#2836,#2837)); +#9163=EDGE_LOOP('',(#2838,#2839,#2840,#2841)); +#9164=EDGE_LOOP('',(#2842,#2843,#2844,#2845)); +#9165=EDGE_LOOP('',(#2846,#2847,#2848,#2849,#2850,#2851,#2852,#2853)); +#9166=EDGE_LOOP('',(#2854,#2855,#2856,#2857,#2858,#2859,#2860,#2861,#2862, +#2863,#2864,#2865,#2866,#2867)); +#9167=EDGE_LOOP('',(#2868,#2869,#2870,#2871)); +#9168=EDGE_LOOP('',(#2872,#2873,#2874,#2875)); +#9169=EDGE_LOOP('',(#2876,#2877,#2878,#2879,#2880,#2881,#2882,#2883)); +#9170=EDGE_LOOP('',(#2884,#2885,#2886,#2887,#2888,#2889,#2890,#2891)); +#9171=EDGE_LOOP('',(#2892,#2893,#2894,#2895)); +#9172=EDGE_LOOP('',(#2896,#2897,#2898,#2899)); +#9173=EDGE_LOOP('',(#2900,#2901,#2902,#2903)); +#9174=EDGE_LOOP('',(#2904,#2905,#2906,#2907)); +#9175=EDGE_LOOP('',(#2908,#2909,#2910,#2911)); +#9176=EDGE_LOOP('',(#2912,#2913,#2914,#2915)); +#9177=EDGE_LOOP('',(#2916,#2917,#2918,#2919)); +#9178=EDGE_LOOP('',(#2920,#2921,#2922,#2923)); +#9179=EDGE_LOOP('',(#2924,#2925,#2926,#2927)); +#9180=EDGE_LOOP('',(#2928,#2929,#2930,#2931)); +#9181=EDGE_LOOP('',(#2932,#2933,#2934,#2935,#2936,#2937,#2938,#2939,#2940, +#2941,#2942,#2943,#2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951,#2952, +#2953,#2954,#2955,#2956,#2957,#2958,#2959)); +#9182=EDGE_LOOP('',(#2960,#2961,#2962,#2963)); +#9183=EDGE_LOOP('',(#2964,#2965,#2966,#2967)); +#9184=EDGE_LOOP('',(#2968,#2969,#2970,#2971)); +#9185=EDGE_LOOP('',(#2972,#2973,#2974,#2975)); +#9186=EDGE_LOOP('',(#2976,#2977,#2978,#2979)); +#9187=EDGE_LOOP('',(#2980,#2981,#2982,#2983,#2984,#2985,#2986,#2987,#2988, +#2989,#2990,#2991)); +#9188=EDGE_LOOP('',(#2992,#2993,#2994,#2995,#2996,#2997,#2998,#2999,#3000, +#3001,#3002,#3003)); +#9189=EDGE_LOOP('',(#3004,#3005,#3006,#3007)); +#9190=EDGE_LOOP('',(#3008,#3009,#3010,#3011)); +#9191=EDGE_LOOP('',(#3012,#3013,#3014,#3015,#3016,#3017,#3018,#3019)); +#9192=EDGE_LOOP('',(#3020,#3021,#3022,#3023,#3024,#3025,#3026,#3027)); +#9193=EDGE_LOOP('',(#3028,#3029,#3030,#3031)); +#9194=EDGE_LOOP('',(#3032,#3033,#3034,#3035)); +#9195=EDGE_LOOP('',(#3036,#3037,#3038,#3039)); +#9196=EDGE_LOOP('',(#3040,#3041,#3042,#3043)); +#9197=EDGE_LOOP('',(#3044,#3045,#3046,#3047)); +#9198=EDGE_LOOP('',(#3048,#3049,#3050,#3051)); +#9199=EDGE_LOOP('',(#3052,#3053,#3054,#3055)); +#9200=EDGE_LOOP('',(#3056,#3057,#3058,#3059)); +#9201=EDGE_LOOP('',(#3060,#3061,#3062,#3063)); +#9202=EDGE_LOOP('',(#3064,#3065,#3066,#3067)); +#9203=EDGE_LOOP('',(#3068,#3069,#3070,#3071)); +#9204=EDGE_LOOP('',(#3072,#3073,#3074,#3075)); +#9205=EDGE_LOOP('',(#3076,#3077,#3078,#3079)); +#9206=EDGE_LOOP('',(#3080,#3081,#3082,#3083)); +#9207=EDGE_LOOP('',(#3084,#3085,#3086,#3087)); +#9208=EDGE_LOOP('',(#3088,#3089,#3090,#3091)); +#9209=EDGE_LOOP('',(#3092,#3093,#3094,#3095)); +#9210=EDGE_LOOP('',(#3096,#3097,#3098,#3099)); +#9211=EDGE_LOOP('',(#3100,#3101,#3102,#3103)); +#9212=EDGE_LOOP('',(#3104,#3105,#3106,#3107,#3108,#3109)); +#9213=EDGE_LOOP('',(#3110,#3111,#3112,#3113,#3114,#3115)); +#9214=EDGE_LOOP('',(#3116,#3117,#3118,#3119,#3120,#3121)); +#9215=EDGE_LOOP('',(#3122,#3123,#3124,#3125,#3126,#3127)); +#9216=EDGE_LOOP('',(#3128,#3129,#3130,#3131)); +#9217=EDGE_LOOP('',(#3132,#3133,#3134,#3135)); +#9218=EDGE_LOOP('',(#3136,#3137,#3138,#3139,#3140,#3141)); +#9219=EDGE_LOOP('',(#3142,#3143,#3144,#3145,#3146,#3147)); +#9220=EDGE_LOOP('',(#3148,#3149,#3150,#3151)); +#9221=EDGE_LOOP('',(#3152,#3153,#3154,#3155)); +#9222=EDGE_LOOP('',(#3156,#3157,#3158,#3159)); +#9223=EDGE_LOOP('',(#3160,#3161,#3162,#3163)); +#9224=EDGE_LOOP('',(#3164,#3165,#3166,#3167)); +#9225=EDGE_LOOP('',(#3168,#3169,#3170,#3171)); +#9226=EDGE_LOOP('',(#3172,#3173,#3174,#3175)); +#9227=EDGE_LOOP('',(#3176,#3177,#3178,#3179,#3180,#3181,#3182,#3183)); +#9228=EDGE_LOOP('',(#3184,#3185,#3186,#3187,#3188,#3189,#3190,#3191)); +#9229=EDGE_LOOP('',(#3192,#3193,#3194,#3195,#3196,#3197,#3198,#3199)); +#9230=EDGE_LOOP('',(#3200,#3201,#3202,#3203)); +#9231=EDGE_LOOP('',(#3204,#3205,#3206,#3207)); +#9232=EDGE_LOOP('',(#3208,#3209,#3210,#3211)); +#9233=EDGE_LOOP('',(#3212,#3213,#3214,#3215)); +#9234=EDGE_LOOP('',(#3216,#3217,#3218,#3219)); +#9235=EDGE_LOOP('',(#3220,#3221,#3222,#3223)); +#9236=EDGE_LOOP('',(#3224,#3225,#3226,#3227)); +#9237=EDGE_LOOP('',(#3228,#3229,#3230,#3231)); +#9238=EDGE_LOOP('',(#3232,#3233,#3234,#3235)); +#9239=EDGE_LOOP('',(#3236,#3237,#3238,#3239)); +#9240=EDGE_LOOP('',(#3240,#3241,#3242,#3243)); +#9241=EDGE_LOOP('',(#3244,#3245,#3246,#3247)); +#9242=EDGE_LOOP('',(#3248,#3249,#3250,#3251)); +#9243=EDGE_LOOP('',(#3252,#3253,#3254,#3255)); +#9244=EDGE_LOOP('',(#3256,#3257,#3258,#3259)); +#9245=EDGE_LOOP('',(#3260,#3261,#3262,#3263)); +#9246=EDGE_LOOP('',(#3264,#3265,#3266,#3267,#3268,#3269,#3270,#3271)); +#9247=EDGE_LOOP('',(#3272,#3273,#3274,#3275)); +#9248=EDGE_LOOP('',(#3276,#3277,#3278,#3279)); +#9249=EDGE_LOOP('',(#3280,#3281,#3282,#3283)); +#9250=EDGE_LOOP('',(#3284,#3285,#3286,#3287)); +#9251=EDGE_LOOP('',(#3288,#3289,#3290,#3291)); +#9252=EDGE_LOOP('',(#3292,#3293,#3294,#3295)); +#9253=EDGE_LOOP('',(#3296,#3297,#3298,#3299)); +#9254=EDGE_LOOP('',(#3300,#3301,#3302,#3303)); +#9255=EDGE_LOOP('',(#3304,#3305,#3306,#3307)); +#9256=EDGE_LOOP('',(#3308,#3309,#3310,#3311)); +#9257=EDGE_LOOP('',(#3312,#3313,#3314,#3315)); +#9258=EDGE_LOOP('',(#3316,#3317,#3318,#3319)); +#9259=EDGE_LOOP('',(#3320,#3321,#3322,#3323)); +#9260=EDGE_LOOP('',(#3324,#3325,#3326,#3327)); +#9261=EDGE_LOOP('',(#3328,#3329,#3330,#3331)); +#9262=EDGE_LOOP('',(#3332,#3333,#3334,#3335)); +#9263=EDGE_LOOP('',(#3336,#3337,#3338,#3339)); +#9264=EDGE_LOOP('',(#3340,#3341,#3342,#3343)); +#9265=EDGE_LOOP('',(#3344,#3345,#3346,#3347,#3348,#3349,#3350,#3351)); +#9266=EDGE_LOOP('',(#3352,#3353,#3354,#3355,#3356,#3357,#3358,#3359)); +#9267=EDGE_LOOP('',(#3360,#3361,#3362,#3363)); +#9268=EDGE_LOOP('',(#3364,#3365,#3366,#3367)); +#9269=EDGE_LOOP('',(#3368,#3369,#3370,#3371)); +#9270=EDGE_LOOP('',(#3372,#3373,#3374,#3375)); +#9271=EDGE_LOOP('',(#3376,#3377,#3378,#3379)); +#9272=EDGE_LOOP('',(#3380,#3381,#3382,#3383)); +#9273=EDGE_LOOP('',(#3384,#3385,#3386,#3387)); +#9274=EDGE_LOOP('',(#3388,#3389,#3390,#3391)); +#9275=EDGE_LOOP('',(#3392,#3393,#3394,#3395)); +#9276=EDGE_LOOP('',(#3396,#3397,#3398,#3399)); +#9277=EDGE_LOOP('',(#3400,#3401,#3402,#3403)); +#9278=EDGE_LOOP('',(#3404,#3405,#3406,#3407)); +#9279=EDGE_LOOP('',(#3408,#3409,#3410,#3411)); +#9280=EDGE_LOOP('',(#3412,#3413,#3414,#3415)); +#9281=EDGE_LOOP('',(#3416,#3417,#3418,#3419)); +#9282=EDGE_LOOP('',(#3420,#3421,#3422,#3423)); +#9283=EDGE_LOOP('',(#3424,#3425,#3426,#3427)); +#9284=EDGE_LOOP('',(#3428,#3429,#3430,#3431)); +#9285=EDGE_LOOP('',(#3432,#3433,#3434,#3435,#3436,#3437)); +#9286=EDGE_LOOP('',(#3438,#3439,#3440,#3441,#3442,#3443)); +#9287=EDGE_LOOP('',(#3444,#3445,#3446,#3447,#3448,#3449)); +#9288=EDGE_LOOP('',(#3450,#3451,#3452,#3453,#3454,#3455)); +#9289=EDGE_LOOP('',(#3456,#3457,#3458,#3459)); +#9290=EDGE_LOOP('',(#3460,#3461,#3462,#3463)); +#9291=EDGE_LOOP('',(#3464,#3465,#3466,#3467,#3468,#3469)); +#9292=EDGE_LOOP('',(#3470,#3471,#3472,#3473,#3474,#3475)); +#9293=EDGE_LOOP('',(#3476,#3477,#3478,#3479)); +#9294=EDGE_LOOP('',(#3480,#3481,#3482,#3483)); +#9295=EDGE_LOOP('',(#3484,#3485,#3486,#3487)); +#9296=EDGE_LOOP('',(#3488,#3489,#3490,#3491)); +#9297=EDGE_LOOP('',(#3492,#3493,#3494,#3495)); +#9298=EDGE_LOOP('',(#3496,#3497,#3498,#3499)); +#9299=EDGE_LOOP('',(#3500,#3501,#3502,#3503)); +#9300=EDGE_LOOP('',(#3504,#3505,#3506,#3507,#3508,#3509,#3510,#3511)); +#9301=EDGE_LOOP('',(#3512,#3513,#3514,#3515,#3516,#3517,#3518,#3519)); +#9302=EDGE_LOOP('',(#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527)); +#9303=EDGE_LOOP('',(#3528,#3529,#3530,#3531)); +#9304=EDGE_LOOP('',(#3532,#3533,#3534,#3535)); +#9305=EDGE_LOOP('',(#3536,#3537,#3538,#3539)); +#9306=EDGE_LOOP('',(#3540,#3541,#3542,#3543)); +#9307=EDGE_LOOP('',(#3544,#3545,#3546,#3547)); +#9308=EDGE_LOOP('',(#3548,#3549,#3550,#3551)); +#9309=EDGE_LOOP('',(#3552,#3553,#3554,#3555)); +#9310=EDGE_LOOP('',(#3556,#3557,#3558,#3559)); +#9311=EDGE_LOOP('',(#3560,#3561,#3562,#3563)); +#9312=EDGE_LOOP('',(#3564,#3565,#3566,#3567)); +#9313=EDGE_LOOP('',(#3568,#3569,#3570,#3571)); +#9314=EDGE_LOOP('',(#3572,#3573,#3574,#3575)); +#9315=EDGE_LOOP('',(#3576,#3577,#3578,#3579)); +#9316=EDGE_LOOP('',(#3580,#3581,#3582,#3583)); +#9317=EDGE_LOOP('',(#3584,#3585,#3586,#3587)); +#9318=EDGE_LOOP('',(#3588,#3589,#3590,#3591)); +#9319=EDGE_LOOP('',(#3592,#3593,#3594,#3595,#3596,#3597,#3598,#3599)); +#9320=EDGE_LOOP('',(#3600,#3601,#3602,#3603)); +#9321=EDGE_LOOP('',(#3604,#3605,#3606,#3607)); +#9322=EDGE_LOOP('',(#3608,#3609,#3610,#3611)); +#9323=EDGE_LOOP('',(#3612,#3613,#3614,#3615)); +#9324=EDGE_LOOP('',(#3616,#3617,#3618,#3619)); +#9325=EDGE_LOOP('',(#3620,#3621,#3622,#3623)); +#9326=EDGE_LOOP('',(#3624,#3625,#3626,#3627)); +#9327=EDGE_LOOP('',(#3628,#3629,#3630,#3631)); +#9328=EDGE_LOOP('',(#3632,#3633,#3634,#3635)); +#9329=EDGE_LOOP('',(#3636,#3637,#3638,#3639)); +#9330=EDGE_LOOP('',(#3640,#3641,#3642,#3643)); +#9331=EDGE_LOOP('',(#3644,#3645,#3646,#3647)); +#9332=EDGE_LOOP('',(#3648,#3649,#3650,#3651)); +#9333=EDGE_LOOP('',(#3652,#3653,#3654,#3655)); +#9334=EDGE_LOOP('',(#3656,#3657,#3658,#3659)); +#9335=EDGE_LOOP('',(#3660,#3661,#3662,#3663)); +#9336=EDGE_LOOP('',(#3664,#3665,#3666,#3667)); +#9337=EDGE_LOOP('',(#3668,#3669,#3670,#3671)); +#9338=EDGE_LOOP('',(#3672,#3673,#3674,#3675)); +#9339=EDGE_LOOP('',(#3676,#3677,#3678,#3679)); +#9340=EDGE_LOOP('',(#3680,#3681,#3682,#3683)); +#9341=EDGE_LOOP('',(#3684,#3685,#3686,#3687)); +#9342=EDGE_LOOP('',(#3688,#3689,#3690,#3691)); +#9343=EDGE_LOOP('',(#3692,#3693,#3694,#3695,#3696,#3697)); +#9344=EDGE_LOOP('',(#3698,#3699,#3700,#3701,#3702,#3703)); +#9345=EDGE_LOOP('',(#3704,#3705,#3706,#3707,#3708)); +#9346=EDGE_LOOP('',(#3709,#3710,#3711,#3712,#3713)); +#9347=EDGE_LOOP('',(#3714,#3715,#3716,#3717)); +#9348=EDGE_LOOP('',(#3718,#3719,#3720,#3721)); +#9349=EDGE_LOOP('',(#3722,#3723,#3724,#3725)); +#9350=EDGE_LOOP('',(#3726,#3727,#3728,#3729)); +#9351=EDGE_LOOP('',(#3730,#3731,#3732,#3733)); +#9352=EDGE_LOOP('',(#3734,#3735,#3736,#3737)); +#9353=EDGE_LOOP('',(#3738,#3739,#3740,#3741,#3742,#3743,#3744,#3745,#3746, +#3747,#3748,#3749)); +#9354=EDGE_LOOP('',(#3750,#3751,#3752,#3753,#3754,#3755,#3756,#3757,#3758, +#3759,#3760,#3761)); +#9355=EDGE_LOOP('',(#3762,#3763,#3764,#3765,#3766,#3767)); +#9356=EDGE_LOOP('',(#3768,#3769,#3770,#3771,#3772,#3773)); +#9357=EDGE_LOOP('',(#3774,#3775,#3776,#3777)); +#9358=EDGE_LOOP('',(#3778,#3779,#3780,#3781,#3782)); +#9359=EDGE_LOOP('',(#3783,#3784,#3785,#3786,#3787)); +#9360=EDGE_LOOP('',(#3788,#3789,#3790,#3791)); +#9361=EDGE_LOOP('',(#3792,#3793,#3794,#3795)); +#9362=EDGE_LOOP('',(#3796,#3797,#3798,#3799)); +#9363=EDGE_LOOP('',(#3800,#3801,#3802,#3803)); +#9364=FACE_BOUND('',#8788,.T.); +#9365=FACE_BOUND('',#8789,.T.); +#9366=FACE_BOUND('',#8790,.T.); +#9367=FACE_BOUND('',#8791,.T.); +#9368=FACE_BOUND('',#8792,.T.); +#9369=FACE_BOUND('',#8793,.T.); +#9370=FACE_BOUND('',#8794,.T.); +#9371=FACE_BOUND('',#8795,.T.); +#9372=FACE_BOUND('',#8796,.T.); +#9373=FACE_BOUND('',#8797,.T.); +#9374=FACE_BOUND('',#8798,.T.); +#9375=FACE_BOUND('',#8799,.T.); +#9376=FACE_BOUND('',#8800,.T.); +#9377=FACE_BOUND('',#8801,.T.); +#9378=FACE_BOUND('',#8802,.T.); +#9379=FACE_BOUND('',#8803,.T.); +#9380=FACE_BOUND('',#8804,.T.); +#9381=FACE_BOUND('',#8805,.T.); +#9382=FACE_BOUND('',#8806,.T.); +#9383=FACE_BOUND('',#8807,.T.); +#9384=FACE_BOUND('',#8808,.T.); +#9385=FACE_BOUND('',#8809,.T.); +#9386=FACE_BOUND('',#8810,.T.); +#9387=FACE_BOUND('',#8811,.T.); +#9388=FACE_BOUND('',#8812,.T.); +#9389=FACE_BOUND('',#8813,.T.); +#9390=FACE_BOUND('',#8814,.T.); +#9391=FACE_BOUND('',#8815,.T.); +#9392=FACE_BOUND('',#8816,.T.); +#9393=FACE_BOUND('',#8817,.T.); +#9394=FACE_BOUND('',#8818,.T.); +#9395=FACE_BOUND('',#8819,.T.); +#9396=FACE_BOUND('',#8820,.T.); +#9397=FACE_BOUND('',#8821,.T.); +#9398=FACE_BOUND('',#8822,.T.); +#9399=FACE_BOUND('',#8823,.T.); +#9400=FACE_BOUND('',#8824,.T.); +#9401=FACE_BOUND('',#8825,.T.); +#9402=FACE_BOUND('',#8826,.T.); +#9403=FACE_BOUND('',#8827,.T.); +#9404=FACE_BOUND('',#8828,.T.); +#9405=FACE_BOUND('',#8829,.T.); +#9406=FACE_BOUND('',#8830,.T.); +#9407=FACE_BOUND('',#8831,.T.); +#9408=FACE_BOUND('',#8832,.T.); +#9409=FACE_BOUND('',#8833,.T.); +#9410=FACE_BOUND('',#8834,.T.); +#9411=FACE_BOUND('',#8835,.T.); +#9412=FACE_BOUND('',#8836,.T.); +#9413=FACE_BOUND('',#8837,.T.); +#9414=FACE_BOUND('',#8838,.T.); +#9415=FACE_BOUND('',#8839,.T.); +#9416=FACE_BOUND('',#8840,.T.); +#9417=FACE_BOUND('',#8841,.T.); +#9418=FACE_BOUND('',#8842,.T.); +#9419=FACE_BOUND('',#8843,.T.); +#9420=FACE_BOUND('',#8844,.T.); +#9421=FACE_BOUND('',#8845,.T.); +#9422=FACE_BOUND('',#8846,.T.); +#9423=FACE_BOUND('',#8847,.T.); +#9424=FACE_BOUND('',#8848,.T.); +#9425=FACE_BOUND('',#8849,.T.); +#9426=FACE_BOUND('',#8850,.T.); +#9427=FACE_BOUND('',#8851,.T.); +#9428=FACE_BOUND('',#8852,.T.); +#9429=FACE_BOUND('',#8853,.T.); +#9430=FACE_BOUND('',#8854,.T.); +#9431=FACE_BOUND('',#8855,.T.); +#9432=FACE_BOUND('',#8856,.T.); +#9433=FACE_BOUND('',#8857,.T.); +#9434=FACE_BOUND('',#8858,.T.); +#9435=FACE_BOUND('',#8859,.T.); +#9436=FACE_BOUND('',#8860,.T.); +#9437=FACE_BOUND('',#8861,.T.); +#9438=FACE_BOUND('',#8862,.T.); +#9439=FACE_BOUND('',#8863,.T.); +#9440=FACE_BOUND('',#8864,.T.); +#9441=FACE_BOUND('',#8865,.T.); +#9442=FACE_BOUND('',#8866,.T.); +#9443=FACE_BOUND('',#8867,.T.); +#9444=FACE_BOUND('',#8868,.T.); +#9445=FACE_BOUND('',#8869,.T.); +#9446=FACE_BOUND('',#8870,.T.); +#9447=FACE_BOUND('',#8871,.T.); +#9448=FACE_BOUND('',#8872,.T.); +#9449=FACE_BOUND('',#8873,.T.); +#9450=FACE_BOUND('',#8874,.T.); +#9451=FACE_BOUND('',#8875,.T.); +#9452=FACE_BOUND('',#8876,.T.); +#9453=FACE_BOUND('',#8877,.T.); +#9454=FACE_BOUND('',#8878,.T.); +#9455=FACE_BOUND('',#8879,.T.); +#9456=FACE_BOUND('',#8880,.T.); +#9457=FACE_BOUND('',#8881,.T.); +#9458=FACE_BOUND('',#8882,.T.); +#9459=FACE_BOUND('',#8883,.T.); +#9460=FACE_BOUND('',#8884,.T.); +#9461=FACE_BOUND('',#8885,.T.); +#9462=FACE_BOUND('',#8886,.T.); +#9463=FACE_BOUND('',#8887,.T.); +#9464=FACE_BOUND('',#8888,.T.); +#9465=FACE_BOUND('',#8889,.T.); +#9466=FACE_BOUND('',#8890,.T.); +#9467=FACE_BOUND('',#8891,.T.); +#9468=FACE_BOUND('',#8892,.T.); +#9469=FACE_BOUND('',#8893,.T.); +#9470=FACE_BOUND('',#8894,.T.); +#9471=FACE_BOUND('',#8895,.T.); +#9472=FACE_BOUND('',#8896,.T.); +#9473=FACE_BOUND('',#8897,.T.); +#9474=FACE_BOUND('',#8898,.T.); +#9475=FACE_BOUND('',#8899,.T.); +#9476=FACE_BOUND('',#8900,.T.); +#9477=FACE_BOUND('',#8901,.T.); +#9478=FACE_BOUND('',#8902,.T.); +#9479=FACE_BOUND('',#8903,.T.); +#9480=FACE_BOUND('',#8904,.T.); +#9481=FACE_BOUND('',#8905,.T.); +#9482=FACE_BOUND('',#8906,.T.); +#9483=FACE_BOUND('',#8907,.T.); +#9484=FACE_BOUND('',#8908,.T.); +#9485=FACE_BOUND('',#8909,.T.); +#9486=FACE_BOUND('',#8910,.T.); +#9487=FACE_BOUND('',#8911,.T.); +#9488=FACE_BOUND('',#8912,.T.); +#9489=FACE_BOUND('',#8913,.T.); +#9490=FACE_BOUND('',#8914,.T.); +#9491=FACE_BOUND('',#8915,.T.); +#9492=FACE_BOUND('',#8916,.T.); +#9493=FACE_BOUND('',#8917,.T.); +#9494=FACE_BOUND('',#8918,.T.); +#9495=FACE_BOUND('',#8919,.T.); +#9496=FACE_BOUND('',#8920,.T.); +#9497=FACE_BOUND('',#8921,.T.); +#9498=FACE_BOUND('',#8922,.T.); +#9499=FACE_BOUND('',#8923,.T.); +#9500=FACE_BOUND('',#8924,.T.); +#9501=FACE_BOUND('',#8925,.T.); +#9502=FACE_BOUND('',#8926,.T.); +#9503=FACE_BOUND('',#8927,.T.); +#9504=FACE_BOUND('',#8928,.T.); +#9505=FACE_BOUND('',#8929,.T.); +#9506=FACE_BOUND('',#8930,.T.); +#9507=FACE_BOUND('',#8931,.T.); +#9508=FACE_BOUND('',#8932,.T.); +#9509=FACE_BOUND('',#8933,.T.); +#9510=FACE_BOUND('',#8934,.T.); +#9511=FACE_BOUND('',#8935,.T.); +#9512=FACE_BOUND('',#8936,.T.); +#9513=FACE_BOUND('',#8937,.T.); +#9514=FACE_BOUND('',#8938,.T.); +#9515=FACE_BOUND('',#8939,.T.); +#9516=FACE_BOUND('',#8940,.T.); +#9517=FACE_BOUND('',#8941,.T.); +#9518=FACE_BOUND('',#8942,.T.); +#9519=FACE_BOUND('',#8943,.T.); +#9520=FACE_BOUND('',#8944,.T.); +#9521=FACE_BOUND('',#8945,.T.); +#9522=FACE_BOUND('',#8946,.T.); +#9523=FACE_BOUND('',#8947,.T.); +#9524=FACE_BOUND('',#8948,.T.); +#9525=FACE_BOUND('',#8949,.T.); +#9526=FACE_BOUND('',#8950,.T.); +#9527=FACE_BOUND('',#8951,.T.); +#9528=FACE_BOUND('',#8952,.T.); +#9529=FACE_BOUND('',#8953,.T.); +#9530=FACE_BOUND('',#8954,.T.); +#9531=FACE_BOUND('',#8955,.T.); +#9532=FACE_BOUND('',#8956,.T.); +#9533=FACE_BOUND('',#8957,.T.); +#9534=FACE_BOUND('',#8958,.T.); +#9535=FACE_BOUND('',#8959,.T.); +#9536=FACE_BOUND('',#8960,.T.); +#9537=FACE_BOUND('',#8961,.T.); +#9538=FACE_BOUND('',#8962,.T.); +#9539=FACE_BOUND('',#8963,.T.); +#9540=FACE_BOUND('',#8964,.T.); +#9541=FACE_BOUND('',#8965,.T.); +#9542=FACE_BOUND('',#8966,.T.); +#9543=FACE_BOUND('',#8967,.T.); +#9544=FACE_BOUND('',#8968,.T.); +#9545=FACE_BOUND('',#8969,.T.); +#9546=FACE_BOUND('',#8970,.T.); +#9547=FACE_BOUND('',#8971,.T.); +#9548=FACE_BOUND('',#8972,.T.); +#9549=FACE_BOUND('',#8973,.T.); +#9550=FACE_BOUND('',#8974,.T.); +#9551=FACE_BOUND('',#8975,.T.); +#9552=FACE_BOUND('',#8976,.T.); +#9553=FACE_BOUND('',#8977,.T.); +#9554=FACE_BOUND('',#8978,.T.); +#9555=FACE_BOUND('',#8979,.T.); +#9556=FACE_BOUND('',#8980,.T.); +#9557=FACE_BOUND('',#8981,.T.); +#9558=FACE_BOUND('',#8982,.T.); +#9559=FACE_BOUND('',#8983,.T.); +#9560=FACE_BOUND('',#8984,.T.); +#9561=FACE_BOUND('',#8985,.T.); +#9562=FACE_BOUND('',#8986,.T.); +#9563=FACE_BOUND('',#8987,.T.); +#9564=FACE_BOUND('',#8988,.T.); +#9565=FACE_BOUND('',#8989,.T.); +#9566=FACE_BOUND('',#8990,.T.); +#9567=FACE_BOUND('',#8991,.T.); +#9568=FACE_BOUND('',#8992,.T.); +#9569=FACE_BOUND('',#8993,.T.); +#9570=FACE_BOUND('',#8994,.T.); +#9571=FACE_BOUND('',#8995,.T.); +#9572=FACE_BOUND('',#8996,.T.); +#9573=FACE_BOUND('',#8997,.T.); +#9574=FACE_BOUND('',#8998,.T.); +#9575=FACE_BOUND('',#8999,.T.); +#9576=FACE_BOUND('',#9000,.T.); +#9577=FACE_BOUND('',#9001,.T.); +#9578=FACE_BOUND('',#9002,.T.); +#9579=FACE_BOUND('',#9003,.T.); +#9580=FACE_BOUND('',#9004,.T.); +#9581=FACE_BOUND('',#9005,.T.); +#9582=FACE_BOUND('',#9006,.T.); +#9583=FACE_BOUND('',#9007,.T.); +#9584=FACE_BOUND('',#9008,.T.); +#9585=FACE_BOUND('',#9009,.T.); +#9586=FACE_BOUND('',#9010,.T.); +#9587=FACE_BOUND('',#9011,.T.); +#9588=FACE_BOUND('',#9012,.T.); +#9589=FACE_BOUND('',#9013,.T.); +#9590=FACE_BOUND('',#9014,.T.); +#9591=FACE_BOUND('',#9015,.T.); +#9592=FACE_BOUND('',#9016,.T.); +#9593=FACE_BOUND('',#9017,.T.); +#9594=FACE_BOUND('',#9018,.T.); +#9595=FACE_BOUND('',#9019,.T.); +#9596=FACE_BOUND('',#9020,.T.); +#9597=FACE_BOUND('',#9021,.T.); +#9598=FACE_BOUND('',#9022,.T.); +#9599=FACE_BOUND('',#9023,.T.); +#9600=FACE_BOUND('',#9024,.T.); +#9601=FACE_BOUND('',#9025,.T.); +#9602=FACE_BOUND('',#9026,.T.); +#9603=FACE_BOUND('',#9027,.T.); +#9604=FACE_BOUND('',#9028,.T.); +#9605=FACE_BOUND('',#9029,.T.); +#9606=FACE_BOUND('',#9030,.T.); +#9607=FACE_BOUND('',#9031,.T.); +#9608=FACE_BOUND('',#9032,.T.); +#9609=FACE_BOUND('',#9033,.T.); +#9610=FACE_BOUND('',#9034,.T.); +#9611=FACE_BOUND('',#9035,.T.); +#9612=FACE_BOUND('',#9036,.T.); +#9613=FACE_BOUND('',#9037,.T.); +#9614=FACE_BOUND('',#9038,.T.); +#9615=FACE_BOUND('',#9039,.T.); +#9616=FACE_BOUND('',#9040,.T.); +#9617=FACE_BOUND('',#9041,.T.); +#9618=FACE_BOUND('',#9042,.T.); +#9619=FACE_BOUND('',#9043,.T.); +#9620=FACE_BOUND('',#9044,.T.); +#9621=FACE_BOUND('',#9045,.T.); +#9622=FACE_BOUND('',#9046,.T.); +#9623=FACE_BOUND('',#9047,.T.); +#9624=FACE_BOUND('',#9048,.T.); +#9625=FACE_BOUND('',#9049,.T.); +#9626=FACE_BOUND('',#9050,.T.); +#9627=FACE_BOUND('',#9051,.T.); +#9628=FACE_BOUND('',#9052,.T.); +#9629=FACE_BOUND('',#9053,.T.); +#9630=FACE_BOUND('',#9054,.T.); +#9631=FACE_BOUND('',#9055,.T.); +#9632=FACE_BOUND('',#9056,.T.); +#9633=FACE_BOUND('',#9057,.T.); +#9634=FACE_BOUND('',#9058,.T.); +#9635=FACE_BOUND('',#9059,.T.); +#9636=FACE_BOUND('',#9060,.T.); +#9637=FACE_BOUND('',#9061,.T.); +#9638=FACE_BOUND('',#9062,.T.); +#9639=FACE_BOUND('',#9063,.T.); +#9640=FACE_BOUND('',#9064,.T.); +#9641=FACE_BOUND('',#9065,.T.); +#9642=FACE_BOUND('',#9066,.T.); +#9643=FACE_BOUND('',#9067,.T.); +#9644=FACE_BOUND('',#9068,.T.); +#9645=FACE_BOUND('',#9069,.T.); +#9646=FACE_BOUND('',#9070,.T.); +#9647=FACE_BOUND('',#9071,.T.); +#9648=FACE_BOUND('',#9072,.T.); +#9649=FACE_BOUND('',#9073,.T.); +#9650=FACE_BOUND('',#9074,.T.); +#9651=FACE_BOUND('',#9075,.T.); +#9652=FACE_BOUND('',#9076,.T.); +#9653=FACE_BOUND('',#9077,.T.); +#9654=FACE_BOUND('',#9078,.T.); +#9655=FACE_BOUND('',#9079,.T.); +#9656=FACE_BOUND('',#9080,.T.); +#9657=FACE_BOUND('',#9081,.T.); +#9658=FACE_BOUND('',#9082,.T.); +#9659=FACE_BOUND('',#9083,.T.); +#9660=FACE_BOUND('',#9084,.T.); +#9661=FACE_BOUND('',#9085,.T.); +#9662=FACE_BOUND('',#9086,.T.); +#9663=FACE_BOUND('',#9087,.T.); +#9664=FACE_BOUND('',#9088,.T.); +#9665=FACE_BOUND('',#9089,.T.); +#9666=FACE_BOUND('',#9090,.T.); +#9667=FACE_BOUND('',#9091,.T.); +#9668=FACE_BOUND('',#9092,.T.); +#9669=FACE_BOUND('',#9093,.T.); +#9670=FACE_BOUND('',#9094,.T.); +#9671=FACE_BOUND('',#9095,.T.); +#9672=FACE_BOUND('',#9096,.T.); +#9673=FACE_BOUND('',#9097,.T.); +#9674=FACE_BOUND('',#9098,.T.); +#9675=FACE_BOUND('',#9099,.T.); +#9676=FACE_BOUND('',#9100,.T.); +#9677=FACE_BOUND('',#9101,.T.); +#9678=FACE_BOUND('',#9102,.T.); +#9679=FACE_BOUND('',#9103,.T.); +#9680=FACE_BOUND('',#9104,.T.); +#9681=FACE_BOUND('',#9105,.T.); +#9682=FACE_BOUND('',#9106,.T.); +#9683=FACE_BOUND('',#9107,.T.); +#9684=FACE_BOUND('',#9108,.T.); +#9685=FACE_BOUND('',#9109,.T.); +#9686=FACE_BOUND('',#9110,.T.); +#9687=FACE_BOUND('',#9111,.T.); +#9688=FACE_BOUND('',#9112,.T.); +#9689=FACE_BOUND('',#9113,.T.); +#9690=FACE_BOUND('',#9114,.T.); +#9691=FACE_BOUND('',#9115,.T.); +#9692=FACE_BOUND('',#9116,.T.); +#9693=FACE_BOUND('',#9117,.T.); +#9694=FACE_BOUND('',#9118,.T.); +#9695=FACE_BOUND('',#9119,.T.); +#9696=FACE_BOUND('',#9120,.T.); +#9697=FACE_BOUND('',#9121,.T.); +#9698=FACE_BOUND('',#9122,.T.); +#9699=FACE_BOUND('',#9123,.T.); +#9700=FACE_BOUND('',#9124,.T.); +#9701=FACE_BOUND('',#9125,.T.); +#9702=FACE_BOUND('',#9126,.T.); +#9703=FACE_BOUND('',#9127,.T.); +#9704=FACE_BOUND('',#9128,.T.); +#9705=FACE_BOUND('',#9129,.T.); +#9706=FACE_BOUND('',#9130,.T.); +#9707=FACE_BOUND('',#9131,.T.); +#9708=FACE_BOUND('',#9132,.T.); +#9709=FACE_BOUND('',#9133,.T.); +#9710=FACE_BOUND('',#9134,.T.); +#9711=FACE_BOUND('',#9135,.T.); +#9712=FACE_BOUND('',#9136,.T.); +#9713=FACE_BOUND('',#9137,.T.); +#9714=FACE_BOUND('',#9138,.T.); +#9715=FACE_BOUND('',#9139,.T.); +#9716=FACE_BOUND('',#9140,.T.); +#9717=FACE_BOUND('',#9141,.T.); +#9718=FACE_BOUND('',#9142,.T.); +#9719=FACE_BOUND('',#9143,.T.); +#9720=FACE_BOUND('',#9144,.T.); +#9721=FACE_BOUND('',#9145,.T.); +#9722=FACE_BOUND('',#9146,.T.); +#9723=FACE_BOUND('',#9147,.T.); +#9724=FACE_BOUND('',#9148,.T.); +#9725=FACE_BOUND('',#9149,.T.); +#9726=FACE_BOUND('',#9150,.T.); +#9727=FACE_BOUND('',#9151,.T.); +#9728=FACE_BOUND('',#9152,.T.); +#9729=FACE_BOUND('',#9153,.T.); +#9730=FACE_BOUND('',#9154,.T.); +#9731=FACE_BOUND('',#9155,.T.); +#9732=FACE_BOUND('',#9156,.T.); +#9733=FACE_BOUND('',#9157,.T.); +#9734=FACE_BOUND('',#9158,.T.); +#9735=FACE_BOUND('',#9159,.T.); +#9736=FACE_BOUND('',#9160,.T.); +#9737=FACE_BOUND('',#9161,.T.); +#9738=FACE_BOUND('',#9162,.T.); +#9739=FACE_BOUND('',#9163,.T.); +#9740=FACE_BOUND('',#9164,.T.); +#9741=FACE_BOUND('',#9165,.T.); +#9742=FACE_BOUND('',#9166,.T.); +#9743=FACE_BOUND('',#9167,.T.); +#9744=FACE_BOUND('',#9168,.T.); +#9745=FACE_BOUND('',#9169,.T.); +#9746=FACE_BOUND('',#9170,.T.); +#9747=FACE_BOUND('',#9171,.T.); +#9748=FACE_BOUND('',#9172,.T.); +#9749=FACE_BOUND('',#9173,.T.); +#9750=FACE_BOUND('',#9174,.T.); +#9751=FACE_BOUND('',#9175,.T.); +#9752=FACE_BOUND('',#9176,.T.); +#9753=FACE_BOUND('',#9177,.T.); +#9754=FACE_BOUND('',#9178,.T.); +#9755=FACE_BOUND('',#9179,.T.); +#9756=FACE_BOUND('',#9180,.T.); +#9757=FACE_BOUND('',#9181,.T.); +#9758=FACE_BOUND('',#9182,.T.); +#9759=FACE_BOUND('',#9183,.T.); +#9760=FACE_BOUND('',#9184,.T.); +#9761=FACE_BOUND('',#9185,.T.); +#9762=FACE_BOUND('',#9186,.T.); +#9763=FACE_BOUND('',#9187,.T.); +#9764=FACE_BOUND('',#9188,.T.); +#9765=FACE_BOUND('',#9189,.T.); +#9766=FACE_BOUND('',#9190,.T.); +#9767=FACE_BOUND('',#9191,.T.); +#9768=FACE_BOUND('',#9192,.T.); +#9769=FACE_BOUND('',#9193,.T.); +#9770=FACE_BOUND('',#9194,.T.); +#9771=FACE_BOUND('',#9195,.T.); +#9772=FACE_BOUND('',#9196,.T.); +#9773=FACE_BOUND('',#9197,.T.); +#9774=FACE_BOUND('',#9198,.T.); +#9775=FACE_BOUND('',#9199,.T.); +#9776=FACE_BOUND('',#9200,.T.); +#9777=FACE_BOUND('',#9201,.T.); +#9778=FACE_BOUND('',#9202,.T.); +#9779=FACE_BOUND('',#9203,.T.); +#9780=FACE_BOUND('',#9204,.T.); +#9781=FACE_BOUND('',#9205,.T.); +#9782=FACE_BOUND('',#9206,.T.); +#9783=FACE_BOUND('',#9207,.T.); +#9784=FACE_BOUND('',#9208,.T.); +#9785=FACE_BOUND('',#9209,.T.); +#9786=FACE_BOUND('',#9210,.T.); +#9787=FACE_BOUND('',#9211,.T.); +#9788=FACE_BOUND('',#9212,.T.); +#9789=FACE_BOUND('',#9213,.T.); +#9790=FACE_BOUND('',#9214,.T.); +#9791=FACE_BOUND('',#9215,.T.); +#9792=FACE_BOUND('',#9216,.T.); +#9793=FACE_BOUND('',#9217,.T.); +#9794=FACE_BOUND('',#9218,.T.); +#9795=FACE_BOUND('',#9219,.T.); +#9796=FACE_BOUND('',#9220,.T.); +#9797=FACE_BOUND('',#9221,.T.); +#9798=FACE_BOUND('',#9222,.T.); +#9799=FACE_BOUND('',#9223,.T.); +#9800=FACE_BOUND('',#9224,.T.); +#9801=FACE_BOUND('',#9225,.T.); +#9802=FACE_BOUND('',#9226,.T.); +#9803=FACE_BOUND('',#9227,.T.); +#9804=FACE_BOUND('',#9228,.T.); +#9805=FACE_BOUND('',#9229,.T.); +#9806=FACE_BOUND('',#9230,.T.); +#9807=FACE_BOUND('',#9231,.T.); +#9808=FACE_BOUND('',#9232,.T.); +#9809=FACE_BOUND('',#9233,.T.); +#9810=FACE_BOUND('',#9234,.T.); +#9811=FACE_BOUND('',#9235,.T.); +#9812=FACE_BOUND('',#9236,.T.); +#9813=FACE_BOUND('',#9237,.T.); +#9814=FACE_BOUND('',#9238,.T.); +#9815=FACE_BOUND('',#9239,.T.); +#9816=FACE_BOUND('',#9240,.T.); +#9817=FACE_BOUND('',#9241,.T.); +#9818=FACE_BOUND('',#9242,.T.); +#9819=FACE_BOUND('',#9243,.T.); +#9820=FACE_BOUND('',#9244,.T.); +#9821=FACE_BOUND('',#9245,.T.); +#9822=FACE_BOUND('',#9246,.T.); +#9823=FACE_BOUND('',#9247,.T.); +#9824=FACE_BOUND('',#9248,.T.); +#9825=FACE_BOUND('',#9249,.T.); +#9826=FACE_BOUND('',#9250,.T.); +#9827=FACE_BOUND('',#9251,.T.); +#9828=FACE_BOUND('',#9252,.T.); +#9829=FACE_BOUND('',#9253,.T.); +#9830=FACE_BOUND('',#9254,.T.); +#9831=FACE_BOUND('',#9255,.T.); +#9832=FACE_BOUND('',#9256,.T.); +#9833=FACE_BOUND('',#9257,.T.); +#9834=FACE_BOUND('',#9258,.T.); +#9835=FACE_BOUND('',#9259,.T.); +#9836=FACE_BOUND('',#9260,.T.); +#9837=FACE_BOUND('',#9261,.T.); +#9838=FACE_BOUND('',#9262,.T.); +#9839=FACE_BOUND('',#9263,.T.); +#9840=FACE_BOUND('',#9264,.T.); +#9841=FACE_BOUND('',#9265,.T.); +#9842=FACE_BOUND('',#9266,.T.); +#9843=FACE_BOUND('',#9267,.T.); +#9844=FACE_BOUND('',#9268,.T.); +#9845=FACE_BOUND('',#9269,.T.); +#9846=FACE_BOUND('',#9270,.T.); +#9847=FACE_BOUND('',#9271,.T.); +#9848=FACE_BOUND('',#9272,.T.); +#9849=FACE_BOUND('',#9273,.T.); +#9850=FACE_BOUND('',#9274,.T.); +#9851=FACE_BOUND('',#9275,.T.); +#9852=FACE_BOUND('',#9276,.T.); +#9853=FACE_BOUND('',#9277,.T.); +#9854=FACE_BOUND('',#9278,.T.); +#9855=FACE_BOUND('',#9279,.T.); +#9856=FACE_BOUND('',#9280,.T.); +#9857=FACE_BOUND('',#9281,.T.); +#9858=FACE_BOUND('',#9282,.T.); +#9859=FACE_BOUND('',#9283,.T.); +#9860=FACE_BOUND('',#9284,.T.); +#9861=FACE_BOUND('',#9285,.T.); +#9862=FACE_BOUND('',#9286,.T.); +#9863=FACE_BOUND('',#9287,.T.); +#9864=FACE_BOUND('',#9288,.T.); +#9865=FACE_BOUND('',#9289,.T.); +#9866=FACE_BOUND('',#9290,.T.); +#9867=FACE_BOUND('',#9291,.T.); +#9868=FACE_BOUND('',#9292,.T.); +#9869=FACE_BOUND('',#9293,.T.); +#9870=FACE_BOUND('',#9294,.T.); +#9871=FACE_BOUND('',#9295,.T.); +#9872=FACE_BOUND('',#9296,.T.); +#9873=FACE_BOUND('',#9297,.T.); +#9874=FACE_BOUND('',#9298,.T.); +#9875=FACE_BOUND('',#9299,.T.); +#9876=FACE_BOUND('',#9300,.T.); +#9877=FACE_BOUND('',#9301,.T.); +#9878=FACE_BOUND('',#9302,.T.); +#9879=FACE_BOUND('',#9303,.T.); +#9880=FACE_BOUND('',#9304,.T.); +#9881=FACE_BOUND('',#9305,.T.); +#9882=FACE_BOUND('',#9306,.T.); +#9883=FACE_BOUND('',#9307,.T.); +#9884=FACE_BOUND('',#9308,.T.); +#9885=FACE_BOUND('',#9309,.T.); +#9886=FACE_BOUND('',#9310,.T.); +#9887=FACE_BOUND('',#9311,.T.); +#9888=FACE_BOUND('',#9312,.T.); +#9889=FACE_BOUND('',#9313,.T.); +#9890=FACE_BOUND('',#9314,.T.); +#9891=FACE_BOUND('',#9315,.T.); +#9892=FACE_BOUND('',#9316,.T.); +#9893=FACE_BOUND('',#9317,.T.); +#9894=FACE_BOUND('',#9318,.T.); +#9895=FACE_BOUND('',#9319,.T.); +#9896=FACE_BOUND('',#9320,.T.); +#9897=FACE_BOUND('',#9321,.T.); +#9898=FACE_BOUND('',#9322,.T.); +#9899=FACE_BOUND('',#9323,.T.); +#9900=FACE_BOUND('',#9324,.T.); +#9901=FACE_BOUND('',#9325,.T.); +#9902=FACE_BOUND('',#9326,.T.); +#9903=FACE_BOUND('',#9327,.T.); +#9904=FACE_BOUND('',#9328,.T.); +#9905=FACE_BOUND('',#9329,.T.); +#9906=FACE_BOUND('',#9330,.T.); +#9907=FACE_BOUND('',#9331,.T.); +#9908=FACE_BOUND('',#9332,.T.); +#9909=FACE_BOUND('',#9333,.T.); +#9910=FACE_BOUND('',#9334,.T.); +#9911=FACE_BOUND('',#9335,.T.); +#9912=FACE_BOUND('',#9336,.T.); +#9913=FACE_BOUND('',#9337,.T.); +#9914=FACE_BOUND('',#9338,.T.); +#9915=FACE_BOUND('',#9339,.T.); +#9916=FACE_BOUND('',#9340,.T.); +#9917=FACE_BOUND('',#9341,.T.); +#9918=FACE_BOUND('',#9342,.T.); +#9919=FACE_BOUND('',#9343,.T.); +#9920=FACE_BOUND('',#9344,.T.); +#9921=FACE_BOUND('',#9345,.T.); +#9922=FACE_BOUND('',#9346,.T.); +#9923=FACE_BOUND('',#9347,.T.); +#9924=FACE_BOUND('',#9348,.T.); +#9925=FACE_BOUND('',#9349,.T.); +#9926=FACE_BOUND('',#9350,.T.); +#9927=FACE_BOUND('',#9351,.T.); +#9928=FACE_BOUND('',#9352,.T.); +#9929=FACE_BOUND('',#9353,.T.); +#9930=FACE_BOUND('',#9354,.T.); +#9931=FACE_BOUND('',#9355,.T.); +#9932=FACE_BOUND('',#9356,.T.); +#9933=FACE_BOUND('',#9357,.T.); +#9934=FACE_BOUND('',#9358,.T.); +#9935=FACE_BOUND('',#9359,.T.); +#9936=FACE_BOUND('',#9360,.T.); +#9937=FACE_BOUND('',#9361,.T.); +#9938=FACE_BOUND('',#9362,.T.); +#9939=FACE_BOUND('',#9363,.T.); +#9940=PLANE('',#10875); +#9941=PLANE('',#10876); +#9942=PLANE('',#10877); +#9943=PLANE('',#10878); +#9944=PLANE('',#10879); +#9945=PLANE('',#10880); +#9946=PLANE('',#10881); +#9947=PLANE('',#10882); +#9948=PLANE('',#10885); +#9949=PLANE('',#10888); +#9950=PLANE('',#10889); +#9951=PLANE('',#10890); +#9952=PLANE('',#10891); +#9953=PLANE('',#10894); +#9954=PLANE('',#10897); +#9955=PLANE('',#10898); +#9956=PLANE('',#10901); +#9957=PLANE('',#10904); +#9958=PLANE('',#10905); +#9959=PLANE('',#10908); +#9960=PLANE('',#10911); +#9961=PLANE('',#10912); +#9962=PLANE('',#10915); +#9963=PLANE('',#10918); +#9964=PLANE('',#10919); +#9965=PLANE('',#10920); +#9966=PLANE('',#10921); +#9967=PLANE('',#10922); +#9968=PLANE('',#10923); +#9969=PLANE('',#10924); +#9970=PLANE('',#10925); +#9971=PLANE('',#10936); +#9972=PLANE('',#10937); +#9973=PLANE('',#10938); +#9974=PLANE('',#10939); +#9975=PLANE('',#10940); +#9976=PLANE('',#10941); +#9977=PLANE('',#10942); +#9978=PLANE('',#10943); +#9979=PLANE('',#10944); +#9980=PLANE('',#10945); +#9981=PLANE('',#10948); +#9982=PLANE('',#10949); +#9983=PLANE('',#10950); +#9984=PLANE('',#10951); +#9985=PLANE('',#10952); +#9986=PLANE('',#10953); +#9987=PLANE('',#10954); +#9988=PLANE('',#10955); +#9989=PLANE('',#10959); +#9990=PLANE('',#10960); +#9991=PLANE('',#10961); +#9992=PLANE('',#10962); +#9993=PLANE('',#10966); +#9994=PLANE('',#10967); +#9995=PLANE('',#10968); +#9996=PLANE('',#10969); +#9997=PLANE('',#10970); +#9998=PLANE('',#10971); +#9999=PLANE('',#10972); +#10000=PLANE('',#10973); +#10001=PLANE('',#10975); +#10002=PLANE('',#10976); +#10003=PLANE('',#10977); +#10004=PLANE('',#10980); +#10005=PLANE('',#10983); +#10006=PLANE('',#10984); +#10007=PLANE('',#10985); +#10008=PLANE('',#10986); +#10009=PLANE('',#10987); +#10010=PLANE('',#10988); +#10011=PLANE('',#10989); +#10012=PLANE('',#10990); +#10013=PLANE('',#10992); +#10014=PLANE('',#10993); +#10015=PLANE('',#10994); +#10016=PLANE('',#10997); +#10017=PLANE('',#11000); +#10018=PLANE('',#11001); +#10019=PLANE('',#11002); +#10020=PLANE('',#11003); +#10021=PLANE('',#11004); +#10022=PLANE('',#11005); +#10023=PLANE('',#11008); +#10024=PLANE('',#11009); +#10025=PLANE('',#11010); +#10026=PLANE('',#11011); +#10027=PLANE('',#11012); +#10028=PLANE('',#11013); +#10029=PLANE('',#11014); +#10030=PLANE('',#11015); +#10031=PLANE('',#11016); +#10032=PLANE('',#11017); +#10033=PLANE('',#11018); +#10034=PLANE('',#11019); +#10035=PLANE('',#11020); +#10036=PLANE('',#11023); +#10037=PLANE('',#11024); +#10038=PLANE('',#11025); +#10039=PLANE('',#11026); +#10040=PLANE('',#11027); +#10041=PLANE('',#11028); +#10042=PLANE('',#11029); +#10043=PLANE('',#11030); +#10044=PLANE('',#11031); +#10045=PLANE('',#11032); +#10046=PLANE('',#11033); +#10047=PLANE('',#11034); +#10048=PLANE('',#11035); +#10049=PLANE('',#11038); +#10050=PLANE('',#11039); +#10051=PLANE('',#11040); +#10052=PLANE('',#11041); +#10053=PLANE('',#11042); +#10054=PLANE('',#11043); +#10055=PLANE('',#11044); +#10056=PLANE('',#11045); +#10057=PLANE('',#11046); +#10058=PLANE('',#11047); +#10059=PLANE('',#11048); +#10060=PLANE('',#11049); +#10061=PLANE('',#11050); +#10062=PLANE('',#11053); +#10063=PLANE('',#11054); +#10064=PLANE('',#11055); +#10065=PLANE('',#11056); +#10066=PLANE('',#11057); +#10067=PLANE('',#11058); +#10068=PLANE('',#11059); +#10069=PLANE('',#11060); +#10070=PLANE('',#11061); +#10071=PLANE('',#11062); +#10072=PLANE('',#11063); +#10073=PLANE('',#11064); +#10074=PLANE('',#11065); +#10075=PLANE('',#11068); +#10076=PLANE('',#11073); +#10077=PLANE('',#11074); +#10078=PLANE('',#11075); +#10079=PLANE('',#11076); +#10080=PLANE('',#11077); +#10081=PLANE('',#11078); +#10082=PLANE('',#11079); +#10083=PLANE('',#11080); +#10084=PLANE('',#11081); +#10085=PLANE('',#11082); +#10086=PLANE('',#11083); +#10087=PLANE('',#11085); +#10088=PLANE('',#11110); +#10089=PLANE('',#11111); +#10090=PLANE('',#11115); +#10091=PLANE('',#11119); +#10092=PLANE('',#11120); +#10093=PLANE('',#11121); +#10094=PLANE('',#11125); +#10095=PLANE('',#11129); +#10096=PLANE('',#11130); +#10097=PLANE('',#11131); +#10098=PLANE('',#11132); +#10099=PLANE('',#11133); +#10100=PLANE('',#11134); +#10101=PLANE('',#11135); +#10102=PLANE('',#11136); +#10103=PLANE('',#11141); +#10104=PLANE('',#11142); +#10105=PLANE('',#11146); +#10106=PLANE('',#11150); +#10107=PLANE('',#11151); +#10108=PLANE('',#11152); +#10109=PLANE('',#11156); +#10110=PLANE('',#11160); +#10111=PLANE('',#11161); +#10112=PLANE('',#11162); +#10113=PLANE('',#11163); +#10114=PLANE('',#11164); +#10115=PLANE('',#11165); +#10116=PLANE('',#11166); +#10117=PLANE('',#11167); +#10118=PLANE('',#11169); +#10119=PLANE('',#11182); +#10120=PLANE('',#11195); +#10121=PLANE('',#11198); +#10122=PLANE('',#11201); +#10123=PLANE('',#11202); +#10124=PLANE('',#11203); +#10125=PLANE('',#11210); +#10126=PLANE('',#11211); +#10127=PLANE('',#11212); +#10128=PLANE('',#11213); +#10129=PLANE('',#11220); +#10130=PLANE('',#11221); +#10131=PLANE('',#11222); +#10132=PLANE('',#11233); +#10133=PLANE('',#11242); +#10134=PLANE('',#11253); +#10135=PLANE('',#11262); +#10136=PLANE('',#11263); +#10137=PLANE('',#11264); +#10138=PLANE('',#11265); +#10139=PLANE('',#11266); +#10140=PLANE('',#11267); +#10141=PLANE('',#11268); +#10142=PLANE('',#11269); +#10143=PLANE('',#11270); +#10144=PLANE('',#11271); +#10145=PLANE('',#11272); +#10146=PLANE('',#11273); +#10147=PLANE('',#11274); +#10148=PLANE('',#11275); +#10149=PLANE('',#11276); +#10150=PLANE('',#11277); +#10151=PLANE('',#11278); +#10152=PLANE('',#11298); +#10153=PLANE('',#11299); +#10154=PLANE('',#11300); +#10155=PLANE('',#11301); +#10156=PLANE('',#11302); +#10157=PLANE('',#11303); +#10158=PLANE('',#11304); +#10159=PLANE('',#11305); +#10160=PLANE('',#11306); +#10161=PLANE('',#11307); +#10162=PLANE('',#11308); +#10163=PLANE('',#11309); +#10164=PLANE('',#11310); +#10165=PLANE('',#11311); +#10166=PLANE('',#11312); +#10167=PLANE('',#11313); +#10168=PLANE('',#11314); +#10169=PLANE('',#11334); +#10170=PLANE('',#11335); +#10171=PLANE('',#11336); +#10172=PLANE('',#11348); +#10173=PLANE('',#11352); +#10174=PLANE('',#11382); +#10175=PLANE('',#11412); +#10176=PLANE('',#11413); +#10177=PLANE('',#11414); +#10178=PLANE('',#11415); +#10179=PLANE('',#11419); +#10180=PLANE('',#11420); +#10181=PLANE('',#11421); +#10182=PLANE('',#11428); +#10183=PLANE('',#11430); +#10184=PLANE('',#11437); +#10185=PLANE('',#11440); +#10186=PLANE('',#11441); +#10187=PLANE('',#11442); +#10188=PLANE('',#11450); +#10189=PLANE('',#11451); +#10190=PLANE('',#11459); +#10191=PLANE('',#11460); +#10192=PLANE('',#11463); +#10193=PLANE('',#11464); +#10194=PLANE('',#11465); +#10195=PLANE('',#11472); +#10196=PLANE('',#11473); +#10197=PLANE('',#11480); +#10198=PLANE('',#11481); +#10199=PLANE('',#11490); +#10200=PLANE('',#11491); +#10201=PLANE('',#11492); +#10202=PLANE('',#11493); +#10203=PLANE('',#11495); +#10204=PLANE('',#11496); +#10205=PLANE('',#11497); +#10206=PLANE('',#11498); +#10207=PLANE('',#11499); +#10208=PLANE('',#11500); +#10209=PLANE('',#11501); +#10210=PLANE('',#11502); +#10211=PLANE('',#11503); +#10212=PLANE('',#11504); +#10213=PLANE('',#11505); +#10214=PLANE('',#11516); +#10215=PLANE('',#11520); +#10216=PLANE('',#11523); +#10217=PLANE('',#11524); +#10218=PLANE('',#11527); +#10219=PLANE('',#11530); +#10220=PLANE('',#11531); +#10221=PLANE('',#11534); +#10222=PLANE('',#11539); +#10223=PLANE('',#11544); +#10224=PLANE('',#11547); +#10225=PLANE('',#11550); +#10226=PLANE('',#11553); +#10227=PLANE('',#11556); +#10228=PLANE('',#11559); +#10229=PLANE('',#11562); +#10230=PLANE('',#11563); +#10231=PLANE('',#11568); +#10232=PLANE('',#11606); +#10233=PLANE('',#11607); +#10234=PLANE('',#11608); +#10235=PLANE('',#11609); +#10236=PLANE('',#11610); +#10237=PLANE('',#11611); +#10238=PLANE('',#11612); +#10239=PLANE('',#11613); +#10240=PLANE('',#11614); +#10241=PLANE('',#11615); +#10242=PLANE('',#11616); +#10243=PLANE('',#11627); +#10244=PLANE('',#11631); +#10245=PLANE('',#11632); +#10246=PLANE('',#11635); +#10247=PLANE('',#11636); +#10248=PLANE('',#11641); +#10249=PLANE('',#11644); +#10250=PLANE('',#11645); +#10251=PLANE('',#11650); +#10252=PLANE('',#11657); +#10253=PLANE('',#11660); +#10254=PLANE('',#11663); +#10255=PLANE('',#11666); +#10256=PLANE('',#11669); +#10257=PLANE('',#11672); +#10258=PLANE('',#11677); +#10259=PLANE('',#11678); +#10260=PLANE('',#11679); +#10261=PLANE('',#11716); +#10262=PLANE('',#11717); +#10263=PLANE('',#11718); +#10264=PLANE('',#11719); +#10265=PLANE('',#11720); +#10266=PLANE('',#11722); +#10267=PLANE('',#11725); +#10268=PLANE('',#11736); +#10269=PLANE('',#11743); +#10270=PLANE('',#11744); +#10271=PLANE('',#11745); +#10272=PLANE('',#11746); +#10273=PLANE('',#11749); +#10274=ADVANCED_FACE('',(#9364),#9940,.T.); +#10275=ADVANCED_FACE('',(#9365),#9941,.T.); +#10276=ADVANCED_FACE('',(#9366),#9942,.T.); +#10277=ADVANCED_FACE('',(#9367),#9943,.T.); +#10278=ADVANCED_FACE('',(#9368),#9944,.T.); +#10279=ADVANCED_FACE('',(#9369),#9945,.T.); +#10280=ADVANCED_FACE('',(#9370),#9946,.T.); +#10281=ADVANCED_FACE('',(#9371),#9947,.F.); +#10282=ADVANCED_FACE('',(#9372),#9948,.F.); +#10283=ADVANCED_FACE('',(#9373),#9949,.T.); +#10284=ADVANCED_FACE('',(#9374),#9950,.T.); +#10285=ADVANCED_FACE('',(#9375),#9951,.T.); +#10286=ADVANCED_FACE('',(#9376),#9952,.T.); +#10287=ADVANCED_FACE('',(#9377),#9953,.F.); +#10288=ADVANCED_FACE('',(#9378),#9954,.T.); +#10289=ADVANCED_FACE('',(#9379),#9955,.T.); +#10290=ADVANCED_FACE('',(#9380),#9956,.F.); +#10291=ADVANCED_FACE('',(#9381),#9957,.T.); +#10292=ADVANCED_FACE('',(#9382),#9958,.T.); +#10293=ADVANCED_FACE('',(#9383),#9959,.F.); +#10294=ADVANCED_FACE('',(#9384),#9960,.T.); +#10295=ADVANCED_FACE('',(#9385),#9961,.T.); +#10296=ADVANCED_FACE('',(#9386),#9962,.F.); +#10297=ADVANCED_FACE('',(#9387),#9963,.T.); +#10298=ADVANCED_FACE('',(#9388,#9389,#9390,#9391,#9392,#9393,#9394,#9395, +#9396,#9397,#9398),#9964,.T.); +#10299=ADVANCED_FACE('',(#9399),#9965,.T.); +#10300=ADVANCED_FACE('',(#9400),#9966,.T.); +#10301=ADVANCED_FACE('',(#9401),#9967,.T.); +#10302=ADVANCED_FACE('',(#9402),#9968,.F.); +#10303=ADVANCED_FACE('',(#9403),#9969,.T.); +#10304=ADVANCED_FACE('',(#9404),#9970,.F.); +#10305=ADVANCED_FACE('',(#9405),#9971,.T.); +#10306=ADVANCED_FACE('',(#9406),#9972,.T.); +#10307=ADVANCED_FACE('',(#9407,#9408,#9409),#9973,.T.); +#10308=ADVANCED_FACE('',(#9410),#9974,.T.); +#10309=ADVANCED_FACE('',(#9411,#9412,#9413),#9975,.T.); +#10310=ADVANCED_FACE('',(#9414),#9976,.T.); +#10311=ADVANCED_FACE('',(#9415,#9416,#9417),#9977,.T.); +#10312=ADVANCED_FACE('',(#9418),#9978,.T.); +#10313=ADVANCED_FACE('',(#9419,#9420,#9421),#9979,.T.); +#10314=ADVANCED_FACE('',(#9422,#9423,#9424),#9980,.F.); +#10315=ADVANCED_FACE('',(#9425),#9981,.F.); +#10316=ADVANCED_FACE('',(#9426),#9982,.T.); +#10317=ADVANCED_FACE('',(#9427),#9983,.F.); +#10318=ADVANCED_FACE('',(#9428),#9984,.F.); +#10319=ADVANCED_FACE('',(#9429),#9985,.F.); +#10320=ADVANCED_FACE('',(#9430),#9986,.F.); +#10321=ADVANCED_FACE('',(#9431),#9987,.F.); +#10322=ADVANCED_FACE('',(#9432),#9988,.T.); +#10323=ADVANCED_FACE('',(#9433),#9989,.T.); +#10324=ADVANCED_FACE('',(#9434),#9990,.T.); +#10325=ADVANCED_FACE('',(#9435),#9991,.T.); +#10326=ADVANCED_FACE('',(#9436),#9992,.T.); +#10327=ADVANCED_FACE('',(#9437),#9993,.F.); +#10328=ADVANCED_FACE('',(#9438),#9994,.F.); +#10329=ADVANCED_FACE('',(#9439),#9995,.F.); +#10330=ADVANCED_FACE('',(#9440),#9996,.F.); +#10331=ADVANCED_FACE('',(#9441),#9997,.T.); +#10332=ADVANCED_FACE('',(#9442),#9998,.T.); +#10333=ADVANCED_FACE('',(#9443),#9999,.F.); +#10334=ADVANCED_FACE('',(#9444),#10000,.T.); +#10335=ADVANCED_FACE('',(#9445),#10001,.T.); +#10336=ADVANCED_FACE('',(#9446),#10002,.T.); +#10337=ADVANCED_FACE('',(#9447),#10003,.F.); +#10338=ADVANCED_FACE('',(#9448,#9449),#142,.T.); +#10339=ADVANCED_FACE('',(#9450),#10004,.F.); +#10340=ADVANCED_FACE('',(#9451,#9452),#140,.T.); +#10341=ADVANCED_FACE('',(#9453),#10005,.T.); +#10342=ADVANCED_FACE('',(#9454),#10006,.F.); +#10343=ADVANCED_FACE('',(#9455),#10007,.F.); +#10344=ADVANCED_FACE('',(#9456),#10008,.F.); +#10345=ADVANCED_FACE('',(#9457),#10009,.T.); +#10346=ADVANCED_FACE('',(#9458),#10010,.T.); +#10347=ADVANCED_FACE('',(#9459),#10011,.F.); +#10348=ADVANCED_FACE('',(#9460),#10012,.T.); +#10349=ADVANCED_FACE('',(#9461),#10013,.T.); +#10350=ADVANCED_FACE('',(#9462),#10014,.T.); +#10351=ADVANCED_FACE('',(#9463),#10015,.F.); +#10352=ADVANCED_FACE('',(#9464,#9465),#143,.T.); +#10353=ADVANCED_FACE('',(#9466),#10016,.F.); +#10354=ADVANCED_FACE('',(#9467,#9468),#141,.T.); +#10355=ADVANCED_FACE('',(#9469),#10017,.F.); +#10356=ADVANCED_FACE('',(#9470),#10018,.F.); +#10357=ADVANCED_FACE('',(#9471),#10019,.T.); +#10358=ADVANCED_FACE('',(#9472),#10020,.T.); +#10359=ADVANCED_FACE('',(#9473),#10021,.T.); +#10360=ADVANCED_FACE('',(#9474),#10022,.T.); +#10361=ADVANCED_FACE('',(#9475),#144,.T.); +#10362=ADVANCED_FACE('',(#9476),#145,.F.); +#10363=ADVANCED_FACE('',(#9477),#10023,.F.); +#10364=ADVANCED_FACE('',(#9478),#10024,.F.); +#10365=ADVANCED_FACE('',(#9479),#10025,.F.); +#10366=ADVANCED_FACE('',(#9480),#10026,.T.); +#10367=ADVANCED_FACE('',(#9481),#10027,.T.); +#10368=ADVANCED_FACE('',(#9482),#10028,.T.); +#10369=ADVANCED_FACE('',(#9483),#10029,.T.); +#10370=ADVANCED_FACE('',(#9484),#10030,.T.); +#10371=ADVANCED_FACE('',(#9485),#10031,.T.); +#10372=ADVANCED_FACE('',(#9486),#10032,.T.); +#10373=ADVANCED_FACE('',(#9487),#10033,.T.); +#10374=ADVANCED_FACE('',(#9488),#10034,.T.); +#10375=ADVANCED_FACE('',(#9489),#10035,.T.); +#10376=ADVANCED_FACE('',(#9490),#146,.T.); +#10377=ADVANCED_FACE('',(#9491),#147,.F.); +#10378=ADVANCED_FACE('',(#9492),#10036,.F.); +#10379=ADVANCED_FACE('',(#9493),#10037,.F.); +#10380=ADVANCED_FACE('',(#9494),#10038,.F.); +#10381=ADVANCED_FACE('',(#9495),#10039,.T.); +#10382=ADVANCED_FACE('',(#9496),#10040,.T.); +#10383=ADVANCED_FACE('',(#9497),#10041,.T.); +#10384=ADVANCED_FACE('',(#9498),#10042,.T.); +#10385=ADVANCED_FACE('',(#9499),#10043,.T.); +#10386=ADVANCED_FACE('',(#9500),#10044,.T.); +#10387=ADVANCED_FACE('',(#9501),#10045,.T.); +#10388=ADVANCED_FACE('',(#9502),#10046,.T.); +#10389=ADVANCED_FACE('',(#9503),#10047,.T.); +#10390=ADVANCED_FACE('',(#9504),#10048,.T.); +#10391=ADVANCED_FACE('',(#9505),#148,.T.); +#10392=ADVANCED_FACE('',(#9506),#149,.F.); +#10393=ADVANCED_FACE('',(#9507),#10049,.F.); +#10394=ADVANCED_FACE('',(#9508),#10050,.F.); +#10395=ADVANCED_FACE('',(#9509),#10051,.F.); +#10396=ADVANCED_FACE('',(#9510),#10052,.T.); +#10397=ADVANCED_FACE('',(#9511),#10053,.T.); +#10398=ADVANCED_FACE('',(#9512),#10054,.T.); +#10399=ADVANCED_FACE('',(#9513),#10055,.T.); +#10400=ADVANCED_FACE('',(#9514),#10056,.T.); +#10401=ADVANCED_FACE('',(#9515),#10057,.T.); +#10402=ADVANCED_FACE('',(#9516),#10058,.T.); +#10403=ADVANCED_FACE('',(#9517),#10059,.T.); +#10404=ADVANCED_FACE('',(#9518),#10060,.T.); +#10405=ADVANCED_FACE('',(#9519),#10061,.T.); +#10406=ADVANCED_FACE('',(#9520),#150,.T.); +#10407=ADVANCED_FACE('',(#9521),#151,.F.); +#10408=ADVANCED_FACE('',(#9522),#10062,.F.); +#10409=ADVANCED_FACE('',(#9523),#10063,.F.); +#10410=ADVANCED_FACE('',(#9524),#10064,.F.); +#10411=ADVANCED_FACE('',(#9525),#10065,.T.); +#10412=ADVANCED_FACE('',(#9526),#10066,.T.); +#10413=ADVANCED_FACE('',(#9527),#10067,.T.); +#10414=ADVANCED_FACE('',(#9528),#10068,.T.); +#10415=ADVANCED_FACE('',(#9529),#10069,.T.); +#10416=ADVANCED_FACE('',(#9530),#10070,.T.); +#10417=ADVANCED_FACE('',(#9531),#10071,.T.); +#10418=ADVANCED_FACE('',(#9532),#10072,.T.); +#10419=ADVANCED_FACE('',(#9533),#10073,.T.); +#10420=ADVANCED_FACE('',(#9534),#10074,.T.); +#10421=ADVANCED_FACE('',(#9535),#10075,.F.); +#10422=ADVANCED_FACE('',(#9536),#152,.T.); +#10423=ADVANCED_FACE('',(#9537),#153,.F.); +#10424=ADVANCED_FACE('',(#9538),#10076,.T.); +#10425=ADVANCED_FACE('',(#9539),#10077,.T.); +#10426=ADVANCED_FACE('',(#9540),#10078,.T.); +#10427=ADVANCED_FACE('',(#9541),#10079,.T.); +#10428=ADVANCED_FACE('',(#9542),#10080,.T.); +#10429=ADVANCED_FACE('',(#9543),#10081,.T.); +#10430=ADVANCED_FACE('',(#9544),#10082,.T.); +#10431=ADVANCED_FACE('',(#9545),#10083,.T.); +#10432=ADVANCED_FACE('',(#9546),#10084,.F.); +#10433=ADVANCED_FACE('',(#9547),#10085,.F.); +#10434=ADVANCED_FACE('',(#9548),#10086,.T.); +#10435=ADVANCED_FACE('',(#9549),#10087,.F.); +#10436=ADVANCED_FACE('',(#9550),#154,.T.); +#10437=ADVANCED_FACE('',(#9551),#155,.T.); +#10438=ADVANCED_FACE('',(#9552),#156,.T.); +#10439=ADVANCED_FACE('',(#9553),#157,.T.); +#10440=ADVANCED_FACE('',(#9554),#158,.T.); +#10441=ADVANCED_FACE('',(#9555),#159,.T.); +#10442=ADVANCED_FACE('',(#9556),#160,.T.); +#10443=ADVANCED_FACE('',(#9557),#161,.T.); +#10444=ADVANCED_FACE('',(#9558),#162,.T.); +#10445=ADVANCED_FACE('',(#9559),#163,.T.); +#10446=ADVANCED_FACE('',(#9560),#164,.T.); +#10447=ADVANCED_FACE('',(#9561),#165,.T.); +#10448=ADVANCED_FACE('',(#9562),#166,.T.); +#10449=ADVANCED_FACE('',(#9563),#167,.T.); +#10450=ADVANCED_FACE('',(#9564),#168,.T.); +#10451=ADVANCED_FACE('',(#9565),#169,.T.); +#10452=ADVANCED_FACE('',(#9566),#170,.T.); +#10453=ADVANCED_FACE('',(#9567),#171,.T.); +#10454=ADVANCED_FACE('',(#9568),#10088,.T.); +#10455=ADVANCED_FACE('',(#9569),#10089,.T.); +#10456=ADVANCED_FACE('',(#9570),#172,.T.); +#10457=ADVANCED_FACE('',(#9571),#10090,.T.); +#10458=ADVANCED_FACE('',(#9572),#173,.F.); +#10459=ADVANCED_FACE('',(#9573),#10091,.T.); +#10460=ADVANCED_FACE('',(#9574),#10092,.T.); +#10461=ADVANCED_FACE('',(#9575),#10093,.T.); +#10462=ADVANCED_FACE('',(#9576),#174,.T.); +#10463=ADVANCED_FACE('',(#9577),#10094,.T.); +#10464=ADVANCED_FACE('',(#9578),#175,.F.); +#10465=ADVANCED_FACE('',(#9579),#10095,.T.); +#10466=ADVANCED_FACE('',(#9580),#10096,.F.); +#10467=ADVANCED_FACE('',(#9581),#10097,.T.); +#10468=ADVANCED_FACE('',(#9582),#10098,.F.); +#10469=ADVANCED_FACE('',(#9583),#10099,.F.); +#10470=ADVANCED_FACE('',(#9584),#10100,.F.); +#10471=ADVANCED_FACE('',(#9585),#10101,.T.); +#10472=ADVANCED_FACE('',(#9586),#10102,.F.); +#10473=ADVANCED_FACE('',(#9587),#10103,.T.); +#10474=ADVANCED_FACE('',(#9588),#10104,.T.); +#10475=ADVANCED_FACE('',(#9589),#176,.T.); +#10476=ADVANCED_FACE('',(#9590),#10105,.T.); +#10477=ADVANCED_FACE('',(#9591),#177,.F.); +#10478=ADVANCED_FACE('',(#9592),#10106,.T.); +#10479=ADVANCED_FACE('',(#9593),#10107,.T.); +#10480=ADVANCED_FACE('',(#9594),#10108,.T.); +#10481=ADVANCED_FACE('',(#9595),#178,.T.); +#10482=ADVANCED_FACE('',(#9596),#10109,.T.); +#10483=ADVANCED_FACE('',(#9597),#179,.F.); +#10484=ADVANCED_FACE('',(#9598),#10110,.T.); +#10485=ADVANCED_FACE('',(#9599),#10111,.F.); +#10486=ADVANCED_FACE('',(#9600),#10112,.T.); +#10487=ADVANCED_FACE('',(#9601),#10113,.F.); +#10488=ADVANCED_FACE('',(#9602),#10114,.F.); +#10489=ADVANCED_FACE('',(#9603),#10115,.F.); +#10490=ADVANCED_FACE('',(#9604),#10116,.T.); +#10491=ADVANCED_FACE('',(#9605),#10117,.F.); +#10492=ADVANCED_FACE('',(#9606),#10118,.T.); +#10493=ADVANCED_FACE('',(#9607),#10119,.F.); +#10494=ADVANCED_FACE('',(#9608),#10120,.T.); +#10495=ADVANCED_FACE('',(#9609),#10121,.T.); +#10496=ADVANCED_FACE('',(#9610),#10122,.T.); +#10497=ADVANCED_FACE('',(#9611),#10123,.F.); +#10498=ADVANCED_FACE('',(#9612),#10124,.F.); +#10499=ADVANCED_FACE('',(#9613),#10125,.F.); +#10500=ADVANCED_FACE('',(#9614),#10126,.F.); +#10501=ADVANCED_FACE('',(#9615),#10127,.T.); +#10502=ADVANCED_FACE('',(#9616),#10128,.F.); +#10503=ADVANCED_FACE('',(#9617),#10129,.T.); +#10504=ADVANCED_FACE('',(#9618),#10130,.T.); +#10505=ADVANCED_FACE('',(#9619,#9620),#10131,.T.); +#10506=ADVANCED_FACE('',(#9621),#180,.F.); +#10507=ADVANCED_FACE('',(#9622),#181,.F.); +#10508=ADVANCED_FACE('',(#9623,#9624),#10132,.T.); +#10509=ADVANCED_FACE('',(#9625,#9626),#10133,.F.); +#10510=ADVANCED_FACE('',(#9627),#182,.T.); +#10511=ADVANCED_FACE('',(#9628),#183,.T.); +#10512=ADVANCED_FACE('',(#9629,#9630),#10134,.F.); +#10513=ADVANCED_FACE('',(#9631),#10135,.F.); +#10514=ADVANCED_FACE('',(#9632),#10136,.F.); +#10515=ADVANCED_FACE('',(#9633),#10137,.T.); +#10516=ADVANCED_FACE('',(#9634),#10138,.T.); +#10517=ADVANCED_FACE('',(#9635),#10139,.T.); +#10518=ADVANCED_FACE('',(#9636),#10140,.T.); +#10519=ADVANCED_FACE('',(#9637),#10141,.T.); +#10520=ADVANCED_FACE('',(#9638),#10142,.T.); +#10521=ADVANCED_FACE('',(#9639),#10143,.T.); +#10522=ADVANCED_FACE('',(#9640),#10144,.T.); +#10523=ADVANCED_FACE('',(#9641),#10145,.F.); +#10524=ADVANCED_FACE('',(#9642),#10146,.T.); +#10525=ADVANCED_FACE('',(#9643),#10147,.T.); +#10526=ADVANCED_FACE('',(#9644),#10148,.T.); +#10527=ADVANCED_FACE('',(#9645),#10149,.T.); +#10528=ADVANCED_FACE('',(#9646),#10150,.F.); +#10529=ADVANCED_FACE('',(#9647),#10151,.T.); +#10530=ADVANCED_FACE('',(#9648),#184,.T.); +#10531=ADVANCED_FACE('',(#9649),#185,.T.); +#10532=ADVANCED_FACE('',(#9650),#186,.T.); +#10533=ADVANCED_FACE('',(#9651),#187,.T.); +#10534=ADVANCED_FACE('',(#9652),#188,.T.); +#10535=ADVANCED_FACE('',(#9653),#189,.T.); +#10536=ADVANCED_FACE('',(#9654),#190,.F.); +#10537=ADVANCED_FACE('',(#9655),#191,.F.); +#10538=ADVANCED_FACE('',(#9656),#192,.F.); +#10539=ADVANCED_FACE('',(#9657),#193,.F.); +#10540=ADVANCED_FACE('',(#9658),#194,.F.); +#10541=ADVANCED_FACE('',(#9659),#195,.T.); +#10542=ADVANCED_FACE('',(#9660),#196,.T.); +#10543=ADVANCED_FACE('',(#9661),#197,.T.); +#10544=ADVANCED_FACE('',(#9662),#198,.T.); +#10545=ADVANCED_FACE('',(#9663),#10152,.F.); +#10546=ADVANCED_FACE('',(#9664),#10153,.F.); +#10547=ADVANCED_FACE('',(#9665),#10154,.T.); +#10548=ADVANCED_FACE('',(#9666),#10155,.T.); +#10549=ADVANCED_FACE('',(#9667),#10156,.T.); +#10550=ADVANCED_FACE('',(#9668),#10157,.T.); +#10551=ADVANCED_FACE('',(#9669),#10158,.T.); +#10552=ADVANCED_FACE('',(#9670),#10159,.T.); +#10553=ADVANCED_FACE('',(#9671),#10160,.T.); +#10554=ADVANCED_FACE('',(#9672),#10161,.T.); +#10555=ADVANCED_FACE('',(#9673),#10162,.F.); +#10556=ADVANCED_FACE('',(#9674),#10163,.T.); +#10557=ADVANCED_FACE('',(#9675),#10164,.T.); +#10558=ADVANCED_FACE('',(#9676),#10165,.T.); +#10559=ADVANCED_FACE('',(#9677),#10166,.T.); +#10560=ADVANCED_FACE('',(#9678),#10167,.F.); +#10561=ADVANCED_FACE('',(#9679),#10168,.T.); +#10562=ADVANCED_FACE('',(#9680),#199,.T.); +#10563=ADVANCED_FACE('',(#9681),#200,.T.); +#10564=ADVANCED_FACE('',(#9682),#201,.T.); +#10565=ADVANCED_FACE('',(#9683),#202,.T.); +#10566=ADVANCED_FACE('',(#9684),#203,.T.); +#10567=ADVANCED_FACE('',(#9685),#204,.T.); +#10568=ADVANCED_FACE('',(#9686),#205,.F.); +#10569=ADVANCED_FACE('',(#9687),#206,.F.); +#10570=ADVANCED_FACE('',(#9688),#207,.F.); +#10571=ADVANCED_FACE('',(#9689),#208,.F.); +#10572=ADVANCED_FACE('',(#9690),#209,.F.); +#10573=ADVANCED_FACE('',(#9691),#210,.T.); +#10574=ADVANCED_FACE('',(#9692),#211,.T.); +#10575=ADVANCED_FACE('',(#9693),#212,.T.); +#10576=ADVANCED_FACE('',(#9694),#213,.T.); +#10577=ADVANCED_FACE('',(#9695),#10169,.T.); +#10578=ADVANCED_FACE('',(#9696),#10170,.T.); +#10579=ADVANCED_FACE('',(#9697),#10171,.T.); +#10580=ADVANCED_FACE('',(#9698),#214,.F.); +#10581=ADVANCED_FACE('',(#9699),#215,.T.); +#10582=ADVANCED_FACE('',(#9700),#216,.T.); +#10583=ADVANCED_FACE('',(#9701),#217,.F.); +#10584=ADVANCED_FACE('',(#9702),#218,.T.); +#10585=ADVANCED_FACE('',(#9703),#219,.T.); +#10586=ADVANCED_FACE('',(#9704),#220,.T.); +#10587=ADVANCED_FACE('',(#9705),#221,.T.); +#10588=ADVANCED_FACE('',(#9706),#222,.T.); +#10589=ADVANCED_FACE('',(#9707),#223,.T.); +#10590=ADVANCED_FACE('',(#9708),#10172,.F.); +#10591=ADVANCED_FACE('',(#9709),#224,.F.); +#10592=ADVANCED_FACE('',(#9710,#9711,#9712,#9713,#9714),#10173,.T.); +#10593=ADVANCED_FACE('',(#9715,#9716,#9717,#9718,#9719),#10174,.F.); +#10594=ADVANCED_FACE('',(#9720),#10175,.F.); +#10595=ADVANCED_FACE('',(#9721),#10176,.F.); +#10596=ADVANCED_FACE('',(#9722),#10177,.F.); +#10597=ADVANCED_FACE('',(#9723),#10178,.T.); +#10598=ADVANCED_FACE('',(#9724),#225,.F.); +#10599=ADVANCED_FACE('',(#9725),#10179,.T.); +#10600=ADVANCED_FACE('',(#9726),#10180,.T.); +#10601=ADVANCED_FACE('',(#9727),#10181,.T.); +#10602=ADVANCED_FACE('',(#9728),#226,.T.); +#10603=ADVANCED_FACE('',(#9729),#227,.T.); +#10604=ADVANCED_FACE('',(#9730),#10182,.T.); +#10605=ADVANCED_FACE('',(#9731),#10183,.F.); +#10606=ADVANCED_FACE('',(#9732),#228,.F.); +#10607=ADVANCED_FACE('',(#9733),#229,.F.); +#10608=ADVANCED_FACE('',(#9734),#10184,.F.); +#10609=ADVANCED_FACE('',(#9735),#230,.F.); +#10610=ADVANCED_FACE('',(#9736),#10185,.T.); +#10611=ADVANCED_FACE('',(#9737),#10186,.T.); +#10612=ADVANCED_FACE('',(#9738),#10187,.T.); +#10613=ADVANCED_FACE('',(#9739),#231,.T.); +#10614=ADVANCED_FACE('',(#9740),#232,.T.); +#10615=ADVANCED_FACE('',(#9741),#10188,.T.); +#10616=ADVANCED_FACE('',(#9742),#10189,.F.); +#10617=ADVANCED_FACE('',(#9743),#233,.F.); +#10618=ADVANCED_FACE('',(#9744),#234,.F.); +#10619=ADVANCED_FACE('',(#9745),#10190,.F.); +#10620=ADVANCED_FACE('',(#9746),#10191,.T.); +#10621=ADVANCED_FACE('',(#9747),#10192,.T.); +#10622=ADVANCED_FACE('',(#9748),#10193,.F.); +#10623=ADVANCED_FACE('',(#9749),#10194,.T.); +#10624=ADVANCED_FACE('',(#9750),#235,.T.); +#10625=ADVANCED_FACE('',(#9751),#236,.T.); +#10626=ADVANCED_FACE('',(#9752),#10195,.T.); +#10627=ADVANCED_FACE('',(#9753),#10196,.F.); +#10628=ADVANCED_FACE('',(#9754),#237,.F.); +#10629=ADVANCED_FACE('',(#9755),#238,.F.); +#10630=ADVANCED_FACE('',(#9756),#10197,.F.); +#10631=ADVANCED_FACE('',(#9757),#10198,.F.); +#10632=ADVANCED_FACE('',(#9758),#239,.T.); +#10633=ADVANCED_FACE('',(#9759),#240,.T.); +#10634=ADVANCED_FACE('',(#9760),#241,.F.); +#10635=ADVANCED_FACE('',(#9761),#242,.F.); +#10636=ADVANCED_FACE('',(#9762),#10199,.T.); +#10637=ADVANCED_FACE('',(#9763),#10200,.T.); +#10638=ADVANCED_FACE('',(#9764),#10201,.T.); +#10639=ADVANCED_FACE('',(#9765),#10202,.T.); +#10640=ADVANCED_FACE('',(#9766),#243,.T.); +#10641=ADVANCED_FACE('',(#9767),#10203,.T.); +#10642=ADVANCED_FACE('',(#9768),#10204,.T.); +#10643=ADVANCED_FACE('',(#9769),#10205,.T.); +#10644=ADVANCED_FACE('',(#9770),#10206,.T.); +#10645=ADVANCED_FACE('',(#9771),#10207,.T.); +#10646=ADVANCED_FACE('',(#9772),#10208,.T.); +#10647=ADVANCED_FACE('',(#9773),#10209,.T.); +#10648=ADVANCED_FACE('',(#9774),#10210,.T.); +#10649=ADVANCED_FACE('',(#9775),#10211,.T.); +#10650=ADVANCED_FACE('',(#9776),#10212,.T.); +#10651=ADVANCED_FACE('',(#9777),#10213,.T.); +#10652=ADVANCED_FACE('',(#9778),#244,.F.); +#10653=ADVANCED_FACE('',(#9779),#245,.F.); +#10654=ADVANCED_FACE('',(#9780),#246,.F.); +#10655=ADVANCED_FACE('',(#9781),#247,.T.); +#10656=ADVANCED_FACE('',(#9782),#248,.T.); +#10657=ADVANCED_FACE('',(#9783),#249,.F.); +#10658=ADVANCED_FACE('',(#9784),#250,.F.); +#10659=ADVANCED_FACE('',(#9785),#251,.T.); +#10660=ADVANCED_FACE('',(#9786),#10214,.T.); +#10661=ADVANCED_FACE('',(#9787),#252,.T.); +#10662=ADVANCED_FACE('',(#9788),#10215,.F.); +#10663=ADVANCED_FACE('',(#9789),#10216,.T.); +#10664=ADVANCED_FACE('',(#9790),#10217,.T.); +#10665=ADVANCED_FACE('',(#9791),#10218,.F.); +#10666=ADVANCED_FACE('',(#9792),#34,.F.); +#10667=ADVANCED_FACE('',(#9793),#35,.F.); +#10668=ADVANCED_FACE('',(#9794),#253,.T.); +#10669=ADVANCED_FACE('',(#9795),#254,.F.); +#10670=ADVANCED_FACE('',(#9796),#10219,.T.); +#10671=ADVANCED_FACE('',(#9797),#36,.T.); +#10672=ADVANCED_FACE('',(#9798),#10220,.T.); +#10673=ADVANCED_FACE('',(#9799),#37,.T.); +#10674=ADVANCED_FACE('',(#9800),#38,.F.); +#10675=ADVANCED_FACE('',(#9801),#10221,.T.); +#10676=ADVANCED_FACE('',(#9802),#39,.T.); +#10677=ADVANCED_FACE('',(#9803),#255,.T.); +#10678=ADVANCED_FACE('',(#9804),#256,.F.); +#10679=ADVANCED_FACE('',(#9805),#10222,.T.); +#10680=ADVANCED_FACE('',(#9806),#10223,.F.); +#10681=ADVANCED_FACE('',(#9807),#257,.F.); +#10682=ADVANCED_FACE('',(#9808),#10224,.F.); +#10683=ADVANCED_FACE('',(#9809),#258,.F.); +#10684=ADVANCED_FACE('',(#9810),#10225,.F.); +#10685=ADVANCED_FACE('',(#9811),#259,.F.); +#10686=ADVANCED_FACE('',(#9812),#10226,.F.); +#10687=ADVANCED_FACE('',(#9813),#260,.F.); +#10688=ADVANCED_FACE('',(#9814),#10227,.T.); +#10689=ADVANCED_FACE('',(#9815),#261,.T.); +#10690=ADVANCED_FACE('',(#9816),#10228,.T.); +#10691=ADVANCED_FACE('',(#9817),#262,.T.); +#10692=ADVANCED_FACE('',(#9818),#10229,.T.); +#10693=ADVANCED_FACE('',(#9819),#10230,.T.); +#10694=ADVANCED_FACE('',(#9820),#263,.T.); +#10695=ADVANCED_FACE('',(#9821),#264,.T.); +#10696=ADVANCED_FACE('',(#9822),#10231,.F.); +#10697=ADVANCED_FACE('',(#9823),#265,.T.); +#10698=ADVANCED_FACE('',(#9824),#26,.T.); +#10699=ADVANCED_FACE('',(#9825),#27,.T.); +#10700=ADVANCED_FACE('',(#9826),#266,.T.); +#10701=ADVANCED_FACE('',(#9827),#267,.T.); +#10702=ADVANCED_FACE('',(#9828),#28,.T.); +#10703=ADVANCED_FACE('',(#9829),#29,.T.); +#10704=ADVANCED_FACE('',(#9830),#268,.T.); +#10705=ADVANCED_FACE('',(#9831),#269,.T.); +#10706=ADVANCED_FACE('',(#9832),#18,.T.); +#10707=ADVANCED_FACE('',(#9833),#19,.T.); +#10708=ADVANCED_FACE('',(#9834),#270,.T.); +#10709=ADVANCED_FACE('',(#9835),#271,.T.); +#10710=ADVANCED_FACE('',(#9836),#20,.T.); +#10711=ADVANCED_FACE('',(#9837),#21,.T.); +#10712=ADVANCED_FACE('',(#9838),#272,.T.); +#10713=ADVANCED_FACE('',(#9839),#273,.T.); +#10714=ADVANCED_FACE('',(#9840),#10232,.T.); +#10715=ADVANCED_FACE('',(#9841),#10233,.T.); +#10716=ADVANCED_FACE('',(#9842),#10234,.T.); +#10717=ADVANCED_FACE('',(#9843),#10235,.T.); +#10718=ADVANCED_FACE('',(#9844),#10236,.T.); +#10719=ADVANCED_FACE('',(#9845),#10237,.T.); +#10720=ADVANCED_FACE('',(#9846),#10238,.T.); +#10721=ADVANCED_FACE('',(#9847),#10239,.T.); +#10722=ADVANCED_FACE('',(#9848),#10240,.T.); +#10723=ADVANCED_FACE('',(#9849),#10241,.T.); +#10724=ADVANCED_FACE('',(#9850),#10242,.T.); +#10725=ADVANCED_FACE('',(#9851),#274,.F.); +#10726=ADVANCED_FACE('',(#9852),#275,.F.); +#10727=ADVANCED_FACE('',(#9853),#276,.F.); +#10728=ADVANCED_FACE('',(#9854),#277,.T.); +#10729=ADVANCED_FACE('',(#9855),#278,.T.); +#10730=ADVANCED_FACE('',(#9856),#279,.F.); +#10731=ADVANCED_FACE('',(#9857),#280,.F.); +#10732=ADVANCED_FACE('',(#9858),#281,.T.); +#10733=ADVANCED_FACE('',(#9859),#10243,.F.); +#10734=ADVANCED_FACE('',(#9860),#282,.T.); +#10735=ADVANCED_FACE('',(#9861),#10244,.T.); +#10736=ADVANCED_FACE('',(#9862),#10245,.F.); +#10737=ADVANCED_FACE('',(#9863),#10246,.F.); +#10738=ADVANCED_FACE('',(#9864),#10247,.T.); +#10739=ADVANCED_FACE('',(#9865),#40,.F.); +#10740=ADVANCED_FACE('',(#9866),#41,.F.); +#10741=ADVANCED_FACE('',(#9867),#283,.T.); +#10742=ADVANCED_FACE('',(#9868),#284,.F.); +#10743=ADVANCED_FACE('',(#9869),#42,.T.); +#10744=ADVANCED_FACE('',(#9870),#43,.F.); +#10745=ADVANCED_FACE('',(#9871),#10248,.F.); +#10746=ADVANCED_FACE('',(#9872),#44,.F.); +#10747=ADVANCED_FACE('',(#9873),#10249,.F.); +#10748=ADVANCED_FACE('',(#9874),#45,.F.); +#10749=ADVANCED_FACE('',(#9875),#10250,.F.); +#10750=ADVANCED_FACE('',(#9876),#285,.T.); +#10751=ADVANCED_FACE('',(#9877),#286,.F.); +#10752=ADVANCED_FACE('',(#9878),#10251,.T.); +#10753=ADVANCED_FACE('',(#9879),#287,.F.); +#10754=ADVANCED_FACE('',(#9880),#10252,.F.); +#10755=ADVANCED_FACE('',(#9881),#288,.F.); +#10756=ADVANCED_FACE('',(#9882),#10253,.F.); +#10757=ADVANCED_FACE('',(#9883),#289,.F.); +#10758=ADVANCED_FACE('',(#9884),#10254,.F.); +#10759=ADVANCED_FACE('',(#9885),#290,.F.); +#10760=ADVANCED_FACE('',(#9886),#10255,.F.); +#10761=ADVANCED_FACE('',(#9887),#291,.T.); +#10762=ADVANCED_FACE('',(#9888),#10256,.T.); +#10763=ADVANCED_FACE('',(#9889),#292,.T.); +#10764=ADVANCED_FACE('',(#9890),#10257,.T.); +#10765=ADVANCED_FACE('',(#9891),#293,.T.); +#10766=ADVANCED_FACE('',(#9892),#294,.T.); +#10767=ADVANCED_FACE('',(#9893),#10258,.T.); +#10768=ADVANCED_FACE('',(#9894),#10259,.T.); +#10769=ADVANCED_FACE('',(#9895),#10260,.F.); +#10770=ADVANCED_FACE('',(#9896),#30,.T.); +#10771=ADVANCED_FACE('',(#9897),#295,.T.); +#10772=ADVANCED_FACE('',(#9898),#296,.T.); +#10773=ADVANCED_FACE('',(#9899),#31,.T.); +#10774=ADVANCED_FACE('',(#9900),#32,.T.); +#10775=ADVANCED_FACE('',(#9901),#297,.T.); +#10776=ADVANCED_FACE('',(#9902),#298,.T.); +#10777=ADVANCED_FACE('',(#9903),#33,.T.); +#10778=ADVANCED_FACE('',(#9904),#22,.T.); +#10779=ADVANCED_FACE('',(#9905),#299,.T.); +#10780=ADVANCED_FACE('',(#9906),#300,.T.); +#10781=ADVANCED_FACE('',(#9907),#23,.T.); +#10782=ADVANCED_FACE('',(#9908),#24,.T.); +#10783=ADVANCED_FACE('',(#9909),#301,.T.); +#10784=ADVANCED_FACE('',(#9910),#302,.T.); +#10785=ADVANCED_FACE('',(#9911),#25,.T.); +#10786=ADVANCED_FACE('',(#9912),#10261,.F.); +#10787=ADVANCED_FACE('',(#9913),#10262,.F.); +#10788=ADVANCED_FACE('',(#9914),#10263,.F.); +#10789=ADVANCED_FACE('',(#9915),#10264,.F.); +#10790=ADVANCED_FACE('',(#9916),#10265,.T.); +#10791=ADVANCED_FACE('',(#9917),#303,.F.); +#10792=ADVANCED_FACE('',(#9918),#46,.F.); +#10793=ADVANCED_FACE('',(#9919),#10266,.T.); +#10794=ADVANCED_FACE('',(#9920),#10267,.T.); +#10795=ADVANCED_FACE('',(#9921),#304,.T.); +#10796=ADVANCED_FACE('',(#9922),#305,.F.); +#10797=ADVANCED_FACE('',(#9923),#306,.T.); +#10798=ADVANCED_FACE('',(#9924),#307,.F.); +#10799=ADVANCED_FACE('',(#9925),#10268,.T.); +#10800=ADVANCED_FACE('',(#9926),#308,.F.); +#10801=ADVANCED_FACE('',(#9927),#309,.T.); +#10802=ADVANCED_FACE('',(#9928),#10269,.F.); +#10803=ADVANCED_FACE('',(#9929),#10270,.T.); +#10804=ADVANCED_FACE('',(#9930),#10271,.F.); +#10805=ADVANCED_FACE('',(#9931),#10272,.T.); +#10806=ADVANCED_FACE('',(#9932),#10273,.F.); +#10807=ADVANCED_FACE('',(#9933),#47,.F.); +#10808=ADVANCED_FACE('',(#9934),#310,.T.); +#10809=ADVANCED_FACE('',(#9935),#311,.F.); +#10810=ADVANCED_FACE('',(#9936),#312,.F.); +#10811=ADVANCED_FACE('',(#9937),#313,.F.); +#10812=ADVANCED_FACE('',(#9938),#314,.T.); +#10813=ADVANCED_FACE('',(#9939),#315,.T.); +#10814=CLOSED_SHELL('',(#10274,#10275,#10276,#10277,#10278,#10279,#10280, +#10281,#10282,#10283,#10284,#10285,#10286,#10287,#10288,#10289,#10290,#10291, +#10292,#10293,#10294,#10295,#10296,#10297,#10298,#10299,#10300,#10301,#10302, +#10303,#10304,#10305,#10306,#10307,#10308,#10309,#10310,#10311,#10312,#10313, +#10314,#10315,#10316,#10317,#10318,#10319,#10320,#10321,#10322,#10323,#10324, +#10325,#10326,#10327,#10328,#10329,#10330,#10331,#10332,#10333,#10334,#10335, +#10336,#10337,#10338,#10339,#10340,#10341,#10342,#10343,#10344,#10345,#10346, +#10347,#10348,#10349,#10350,#10351,#10352,#10353,#10354,#10355,#10356,#10357, +#10358,#10359,#10360,#10361,#10362,#10363,#10364,#10365,#10366,#10367,#10368, +#10369,#10370,#10371,#10372,#10373,#10374,#10375,#10376,#10377,#10378,#10379, +#10380,#10381,#10382,#10383,#10384,#10385,#10386,#10387,#10388,#10389,#10390, +#10391,#10392,#10393,#10394,#10395,#10396,#10397,#10398,#10399,#10400,#10401, +#10402,#10403,#10404,#10405,#10406,#10407,#10408,#10409,#10410,#10411,#10412, +#10413,#10414,#10415,#10416,#10417,#10418,#10419,#10420,#10421,#10422,#10423, +#10424,#10425,#10426,#10427,#10428,#10429,#10430,#10431,#10432,#10433,#10434, +#10435,#10436,#10437,#10438,#10439,#10440,#10441,#10442,#10443,#10444,#10445, +#10446,#10447,#10448,#10449,#10450,#10451,#10452,#10453)); +#10815=CLOSED_SHELL('',(#10454,#10455,#10456,#10457,#10458,#10459,#10460, +#10461,#10462,#10463,#10464,#10465,#10466,#10467,#10468,#10469,#10470,#10471, +#10472)); +#10816=CLOSED_SHELL('',(#10473,#10474,#10475,#10476,#10477,#10478,#10479, +#10480,#10481,#10482,#10483,#10484,#10485,#10486,#10487,#10488,#10489,#10490, +#10491)); +#10817=CLOSED_SHELL('',(#10492,#10493,#10494,#10495,#10496,#10497,#10498, +#10499,#10500,#10501,#10502,#10503,#10504,#10505,#10506,#10507,#10508,#10509, +#10510,#10511,#10512,#10513,#10514,#10515,#10516,#10517,#10518,#10519,#10520, +#10521,#10522,#10523,#10524,#10525,#10526,#10527,#10528,#10529,#10530,#10531, +#10532,#10533,#10534,#10535,#10536,#10537,#10538,#10539,#10540,#10541,#10542, +#10543,#10544,#10545,#10546,#10547,#10548,#10549,#10550,#10551,#10552,#10553, +#10554,#10555,#10556,#10557,#10558,#10559,#10560,#10561,#10562,#10563,#10564, +#10565,#10566,#10567,#10568,#10569,#10570,#10571,#10572,#10573,#10574,#10575, +#10576,#10577,#10578,#10579,#10580,#10581,#10582,#10583,#10584,#10585,#10586, +#10587,#10588,#10589)); +#10818=CLOSED_SHELL('',(#10590,#10591,#10592,#10593,#10594,#10595,#10596, +#10597,#10598,#10599,#10600,#10601,#10602,#10603,#10604,#10605,#10606,#10607, +#10608,#10609,#10610,#10611,#10612,#10613,#10614,#10615,#10616,#10617,#10618, +#10619,#10620,#10621,#10622,#10623,#10624,#10625,#10626,#10627,#10628,#10629, +#10630,#10631,#10632,#10633,#10634,#10635,#10636,#10637,#10638,#10639,#10640, +#10641,#10642,#10643,#10644,#10645,#10646,#10647,#10648,#10649,#10650,#10651, +#10652,#10653,#10654,#10655,#10656,#10657,#10658,#10659,#10660,#10661,#10662, +#10663,#10664,#10665,#10666,#10667,#10668,#10669,#10670,#10671,#10672,#10673, +#10674,#10675,#10676,#10677,#10678,#10679,#10680,#10681,#10682,#10683,#10684, +#10685,#10686,#10687,#10688,#10689,#10690,#10691,#10692,#10693,#10694,#10695, +#10696,#10697,#10698,#10699,#10700,#10701,#10702,#10703,#10704,#10705,#10706, +#10707,#10708,#10709,#10710,#10711,#10712,#10713,#10714,#10715,#10716,#10717, +#10718,#10719,#10720,#10721,#10722,#10723,#10724,#10725,#10726,#10727,#10728, +#10729,#10730,#10731,#10732,#10733,#10734,#10735,#10736,#10737,#10738,#10739, +#10740,#10741,#10742,#10743,#10744,#10745,#10746,#10747,#10748,#10749,#10750, +#10751,#10752,#10753,#10754,#10755,#10756,#10757,#10758,#10759,#10760,#10761, +#10762,#10763,#10764,#10765,#10766,#10767,#10768,#10769,#10770,#10771,#10772, +#10773,#10774,#10775,#10776,#10777,#10778,#10779,#10780,#10781,#10782,#10783, +#10784,#10785,#10786,#10787,#10788,#10789,#10790,#10791,#10792,#10793,#10794, +#10795,#10796,#10797,#10798,#10799,#10800,#10801,#10802,#10803,#10804,#10805, +#10806,#10807,#10808,#10809,#10810,#10811,#10812,#10813)); +#10819=STYLED_ITEM('',(#10824),#10857); +#10820=STYLED_ITEM('',(#10825),#10858); +#10821=STYLED_ITEM('',(#10826),#10859); +#10822=STYLED_ITEM('',(#10827),#10860); +#10823=STYLED_ITEM('',(#10828),#10861); +#10824=PRESENTATION_STYLE_ASSIGNMENT((#10829)); +#10825=PRESENTATION_STYLE_ASSIGNMENT((#10830)); +#10826=PRESENTATION_STYLE_ASSIGNMENT((#10831)); +#10827=PRESENTATION_STYLE_ASSIGNMENT((#10832)); +#10828=PRESENTATION_STYLE_ASSIGNMENT((#10833)); +#10829=SURFACE_STYLE_USAGE(.BOTH.,#10834); +#10830=SURFACE_STYLE_USAGE(.BOTH.,#10835); +#10831=SURFACE_STYLE_USAGE(.BOTH.,#10836); +#10832=SURFACE_STYLE_USAGE(.BOTH.,#10837); +#10833=SURFACE_STYLE_USAGE(.BOTH.,#10838); +#10834=SURFACE_SIDE_STYLE('',(#10839)); +#10835=SURFACE_SIDE_STYLE('',(#10840)); +#10836=SURFACE_SIDE_STYLE('',(#10841)); +#10837=SURFACE_SIDE_STYLE('',(#10842)); +#10838=SURFACE_SIDE_STYLE('',(#10843)); +#10839=SURFACE_STYLE_FILL_AREA(#10844); +#10840=SURFACE_STYLE_FILL_AREA(#10845); +#10841=SURFACE_STYLE_FILL_AREA(#10846); +#10842=SURFACE_STYLE_FILL_AREA(#10847); +#10843=SURFACE_STYLE_FILL_AREA(#10848); +#10844=FILL_AREA_STYLE('',(#10849)); +#10845=FILL_AREA_STYLE('',(#10850)); +#10846=FILL_AREA_STYLE('',(#10851)); +#10847=FILL_AREA_STYLE('',(#10852)); +#10848=FILL_AREA_STYLE('',(#10853)); +#10849=FILL_AREA_STYLE_COLOUR('',#10854); +#10850=FILL_AREA_STYLE_COLOUR('',#10855); +#10851=FILL_AREA_STYLE_COLOUR('',#10855); +#10852=FILL_AREA_STYLE_COLOUR('',#10856); +#10853=FILL_AREA_STYLE_COLOUR('',#10856); +#10854=COLOUR_RGB('',0.119999997317791,0.119999997317791,0.119999997317791); +#10855=COLOUR_RGB('',0.87058824300766,0.633254885673523,0.172549024224281); +#10856=COLOUR_RGB('',0.699999988079071,0.699999988079071,0.699999988079071); +#10857=MANIFOLD_SOLID_BREP('',#10814); +#10858=MANIFOLD_SOLID_BREP('',#10815); +#10859=MANIFOLD_SOLID_BREP('',#10816); +#10860=MANIFOLD_SOLID_BREP('',#10817); +#10861=MANIFOLD_SOLID_BREP('',#10818); +#10862=SHAPE_DEFINITION_REPRESENTATION(#18143,#10868); +#10863=SHAPE_DEFINITION_REPRESENTATION(#18142,#10869); +#10864=SHAPE_DEFINITION_REPRESENTATION(#18145,#10870); +#10865=SHAPE_DEFINITION_REPRESENTATION(#18150,#10871); +#10866=SHAPE_DEFINITION_REPRESENTATION(#18152,#10872); +#10867=SHAPE_DEFINITION_REPRESENTATION(#18154,#10873); +#10868=SHAPE_REPRESENTATION('629105150521_Housing',(#10874),#18128); +#10869=SHAPE_REPRESENTATION('629105150521',(#10874,#11109,#11137,#11138, +#11139,#11140,#11168,#11347,#11758),#18127); +#10870=SHAPE_REPRESENTATION('629105150521_Pin1',(#10874),#18129); +#10871=SHAPE_REPRESENTATION('629105150521_Pin2',(#10874),#18130); +#10872=SHAPE_REPRESENTATION('629105150521_Shielding2',(#10874),#18131); +#10873=SHAPE_REPRESENTATION('629105150521_Shielding',(#10874),#18132); +#10874=AXIS2_PLACEMENT_3D('',#14719,#11759,#11760); +#10875=AXIS2_PLACEMENT_3D('',#14720,#11761,#11762); +#10876=AXIS2_PLACEMENT_3D('',#14745,#11775,#11776); +#10877=AXIS2_PLACEMENT_3D('',#14754,#11781,#11782); +#10878=AXIS2_PLACEMENT_3D('',#14763,#11787,#11788); +#10879=AXIS2_PLACEMENT_3D('',#14772,#11793,#11794); +#10880=AXIS2_PLACEMENT_3D('',#14815,#11817,#11818); +#10881=AXIS2_PLACEMENT_3D('',#14821,#11822,#11823); +#10882=AXIS2_PLACEMENT_3D('',#14827,#11827,#11828); +#10883=AXIS2_PLACEMENT_3D('',#14831,#11830,#11831); +#10884=AXIS2_PLACEMENT_3D('',#14843,#11837,#11838); +#10885=AXIS2_PLACEMENT_3D('',#14844,#11839,#11840); +#10886=AXIS2_PLACEMENT_3D('',#14848,#11842,#11843); +#10887=AXIS2_PLACEMENT_3D('',#14852,#11845,#11846); +#10888=AXIS2_PLACEMENT_3D('',#14861,#11851,#11852); +#10889=AXIS2_PLACEMENT_3D('',#14867,#11856,#11857); +#10890=AXIS2_PLACEMENT_3D('',#14873,#11861,#11862); +#10891=AXIS2_PLACEMENT_3D('',#14879,#11866,#11867); +#10892=AXIS2_PLACEMENT_3D('',#14884,#11870,#11871); +#10893=AXIS2_PLACEMENT_3D('',#14893,#11876,#11877); +#10894=AXIS2_PLACEMENT_3D('',#14896,#11879,#11880); +#10895=AXIS2_PLACEMENT_3D('',#14901,#11883,#11884); +#10896=AXIS2_PLACEMENT_3D('',#14910,#11889,#11890); +#10897=AXIS2_PLACEMENT_3D('',#14913,#11892,#11893); +#10898=AXIS2_PLACEMENT_3D('',#14915,#11895,#11896); +#10899=AXIS2_PLACEMENT_3D('',#14920,#11899,#11900); +#10900=AXIS2_PLACEMENT_3D('',#14929,#11905,#11906); +#10901=AXIS2_PLACEMENT_3D('',#14932,#11908,#11909); +#10902=AXIS2_PLACEMENT_3D('',#14937,#11912,#11913); +#10903=AXIS2_PLACEMENT_3D('',#14946,#11918,#11919); +#10904=AXIS2_PLACEMENT_3D('',#14949,#11921,#11922); +#10905=AXIS2_PLACEMENT_3D('',#14951,#11924,#11925); +#10906=AXIS2_PLACEMENT_3D('',#14956,#11928,#11929); +#10907=AXIS2_PLACEMENT_3D('',#14968,#11935,#11936); +#10908=AXIS2_PLACEMENT_3D('',#14971,#11938,#11939); +#10909=AXIS2_PLACEMENT_3D('',#14976,#11942,#11943); +#10910=AXIS2_PLACEMENT_3D('',#14988,#11949,#11950); +#10911=AXIS2_PLACEMENT_3D('',#14991,#11952,#11953); +#10912=AXIS2_PLACEMENT_3D('',#14993,#11955,#11956); +#10913=AXIS2_PLACEMENT_3D('',#14998,#11959,#11960); +#10914=AXIS2_PLACEMENT_3D('',#15010,#11966,#11967); +#10915=AXIS2_PLACEMENT_3D('',#15013,#11969,#11970); +#10916=AXIS2_PLACEMENT_3D('',#15018,#11973,#11974); +#10917=AXIS2_PLACEMENT_3D('',#15030,#11980,#11981); +#10918=AXIS2_PLACEMENT_3D('',#15033,#11983,#11984); +#10919=AXIS2_PLACEMENT_3D('',#15035,#11986,#11987); +#10920=AXIS2_PLACEMENT_3D('',#15140,#12040,#12041); +#10921=AXIS2_PLACEMENT_3D('',#15149,#12046,#12047); +#10922=AXIS2_PLACEMENT_3D('',#15158,#12052,#12053); +#10923=AXIS2_PLACEMENT_3D('',#15175,#12062,#12063); +#10924=AXIS2_PLACEMENT_3D('',#15221,#12087,#12088); +#10925=AXIS2_PLACEMENT_3D('',#15231,#12095,#12096); +#10926=AXIS2_PLACEMENT_3D('',#15235,#12098,#12099); +#10927=AXIS2_PLACEMENT_3D('',#15239,#12101,#12102); +#10928=AXIS2_PLACEMENT_3D('',#15243,#12104,#12105); +#10929=AXIS2_PLACEMENT_3D('',#15247,#12107,#12108); +#10930=AXIS2_PLACEMENT_3D('',#15252,#12111,#12112); +#10931=AXIS2_PLACEMENT_3D('',#15256,#12114,#12115); +#10932=AXIS2_PLACEMENT_3D('',#15271,#12126,#12127); +#10933=AXIS2_PLACEMENT_3D('',#15275,#12129,#12130); +#10934=AXIS2_PLACEMENT_3D('',#15280,#12133,#12134); +#10935=AXIS2_PLACEMENT_3D('',#15284,#12136,#12137); +#10936=AXIS2_PLACEMENT_3D('',#15285,#12138,#12139); +#10937=AXIS2_PLACEMENT_3D('',#15292,#12145,#12146); +#10938=AXIS2_PLACEMENT_3D('',#15294,#12148,#12149); +#10939=AXIS2_PLACEMENT_3D('',#15318,#12163,#12164); +#10940=AXIS2_PLACEMENT_3D('',#15320,#12166,#12167); +#10941=AXIS2_PLACEMENT_3D('',#15344,#12181,#12182); +#10942=AXIS2_PLACEMENT_3D('',#15346,#12184,#12185); +#10943=AXIS2_PLACEMENT_3D('',#15370,#12199,#12200); +#10944=AXIS2_PLACEMENT_3D('',#15372,#12202,#12203); +#10945=AXIS2_PLACEMENT_3D('',#15396,#12217,#12218); +#10946=AXIS2_PLACEMENT_3D('',#15397,#12219,#12220); +#10947=AXIS2_PLACEMENT_3D('',#15399,#12221,#12222); +#10948=AXIS2_PLACEMENT_3D('',#15439,#12243,#12244); +#10949=AXIS2_PLACEMENT_3D('',#15454,#12253,#12254); +#10950=AXIS2_PLACEMENT_3D('',#15469,#12263,#12264); +#10951=AXIS2_PLACEMENT_3D('',#15498,#12281,#12282); +#10952=AXIS2_PLACEMENT_3D('',#15504,#12287,#12288); +#10953=AXIS2_PLACEMENT_3D('',#15506,#12290,#12291); +#10954=AXIS2_PLACEMENT_3D('',#15511,#12295,#12296); +#10955=AXIS2_PLACEMENT_3D('',#15521,#12304,#12305); +#10956=AXIS2_PLACEMENT_3D('',#15522,#12306,#12307); +#10957=AXIS2_PLACEMENT_3D('',#15523,#12308,#12309); +#10958=AXIS2_PLACEMENT_3D('',#15527,#12311,#12312); +#10959=AXIS2_PLACEMENT_3D('',#15532,#12315,#12316); +#10960=AXIS2_PLACEMENT_3D('',#15536,#12319,#12320); +#10961=AXIS2_PLACEMENT_3D('',#15540,#12323,#12324); +#10962=AXIS2_PLACEMENT_3D('',#15544,#12327,#12328); +#10963=AXIS2_PLACEMENT_3D('',#15545,#12329,#12330); +#10964=AXIS2_PLACEMENT_3D('',#15548,#12332,#12333); +#10965=AXIS2_PLACEMENT_3D('',#15552,#12335,#12336); +#10966=AXIS2_PLACEMENT_3D('',#15553,#12337,#12338); +#10967=AXIS2_PLACEMENT_3D('',#15556,#12341,#12342); +#10968=AXIS2_PLACEMENT_3D('',#15558,#12344,#12345); +#10969=AXIS2_PLACEMENT_3D('',#15560,#12347,#12348); +#10970=AXIS2_PLACEMENT_3D('',#15562,#12350,#12351); +#10971=AXIS2_PLACEMENT_3D('',#15566,#12354,#12355); +#10972=AXIS2_PLACEMENT_3D('',#15570,#12358,#12359); +#10973=AXIS2_PLACEMENT_3D('',#15572,#12361,#12362); +#10974=AXIS2_PLACEMENT_3D('',#15573,#12363,#12364); +#10975=AXIS2_PLACEMENT_3D('',#15577,#12367,#12368); +#10976=AXIS2_PLACEMENT_3D('',#15583,#12372,#12373); +#10977=AXIS2_PLACEMENT_3D('',#15586,#12376,#12377); +#10978=AXIS2_PLACEMENT_3D('',#15587,#12378,#12379); +#10979=AXIS2_PLACEMENT_3D('',#15588,#12380,#12381); +#10980=AXIS2_PLACEMENT_3D('',#15590,#12382,#12383); +#10981=AXIS2_PLACEMENT_3D('',#15591,#12384,#12385); +#10982=AXIS2_PLACEMENT_3D('',#15593,#12386,#12387); +#10983=AXIS2_PLACEMENT_3D('',#15594,#12388,#12389); +#10984=AXIS2_PLACEMENT_3D('',#15597,#12392,#12393); +#10985=AXIS2_PLACEMENT_3D('',#15599,#12395,#12396); +#10986=AXIS2_PLACEMENT_3D('',#15602,#12399,#12400); +#10987=AXIS2_PLACEMENT_3D('',#15603,#12401,#12402); +#10988=AXIS2_PLACEMENT_3D('',#15607,#12405,#12406); +#10989=AXIS2_PLACEMENT_3D('',#15611,#12409,#12410); +#10990=AXIS2_PLACEMENT_3D('',#15613,#12412,#12413); +#10991=AXIS2_PLACEMENT_3D('',#15614,#12414,#12415); +#10992=AXIS2_PLACEMENT_3D('',#15618,#12418,#12419); +#10993=AXIS2_PLACEMENT_3D('',#15624,#12423,#12424); +#10994=AXIS2_PLACEMENT_3D('',#15627,#12427,#12428); +#10995=AXIS2_PLACEMENT_3D('',#15628,#12429,#12430); +#10996=AXIS2_PLACEMENT_3D('',#15629,#12431,#12432); +#10997=AXIS2_PLACEMENT_3D('',#15631,#12433,#12434); +#10998=AXIS2_PLACEMENT_3D('',#15632,#12435,#12436); +#10999=AXIS2_PLACEMENT_3D('',#15634,#12437,#12438); +#11000=AXIS2_PLACEMENT_3D('',#15635,#12439,#12440); +#11001=AXIS2_PLACEMENT_3D('',#15638,#12443,#12444); +#11002=AXIS2_PLACEMENT_3D('',#15639,#12445,#12446); +#11003=AXIS2_PLACEMENT_3D('',#15645,#12450,#12451); +#11004=AXIS2_PLACEMENT_3D('',#15651,#12455,#12456); +#11005=AXIS2_PLACEMENT_3D('',#15653,#12458,#12459); +#11006=AXIS2_PLACEMENT_3D('',#15659,#12463,#12464); +#11007=AXIS2_PLACEMENT_3D('',#15660,#12465,#12466); +#11008=AXIS2_PLACEMENT_3D('',#15661,#12467,#12468); +#11009=AXIS2_PLACEMENT_3D('',#15663,#12470,#12471); +#11010=AXIS2_PLACEMENT_3D('',#15666,#12474,#12475); +#11011=AXIS2_PLACEMENT_3D('',#15667,#12476,#12477); +#11012=AXIS2_PLACEMENT_3D('',#15670,#12480,#12481); +#11013=AXIS2_PLACEMENT_3D('',#15672,#12483,#12484); +#11014=AXIS2_PLACEMENT_3D('',#15674,#12486,#12487); +#11015=AXIS2_PLACEMENT_3D('',#15675,#12488,#12489); +#11016=AXIS2_PLACEMENT_3D('',#15678,#12492,#12493); +#11017=AXIS2_PLACEMENT_3D('',#15680,#12495,#12496); +#11018=AXIS2_PLACEMENT_3D('',#15682,#12498,#12499); +#11019=AXIS2_PLACEMENT_3D('',#15683,#12500,#12501); +#11020=AXIS2_PLACEMENT_3D('',#15685,#12503,#12504); +#11021=AXIS2_PLACEMENT_3D('',#15691,#12508,#12509); +#11022=AXIS2_PLACEMENT_3D('',#15692,#12510,#12511); +#11023=AXIS2_PLACEMENT_3D('',#15693,#12512,#12513); +#11024=AXIS2_PLACEMENT_3D('',#15695,#12515,#12516); +#11025=AXIS2_PLACEMENT_3D('',#15698,#12519,#12520); +#11026=AXIS2_PLACEMENT_3D('',#15699,#12521,#12522); +#11027=AXIS2_PLACEMENT_3D('',#15702,#12525,#12526); +#11028=AXIS2_PLACEMENT_3D('',#15704,#12528,#12529); +#11029=AXIS2_PLACEMENT_3D('',#15706,#12531,#12532); +#11030=AXIS2_PLACEMENT_3D('',#15707,#12533,#12534); +#11031=AXIS2_PLACEMENT_3D('',#15710,#12537,#12538); +#11032=AXIS2_PLACEMENT_3D('',#15712,#12540,#12541); +#11033=AXIS2_PLACEMENT_3D('',#15714,#12543,#12544); +#11034=AXIS2_PLACEMENT_3D('',#15715,#12545,#12546); +#11035=AXIS2_PLACEMENT_3D('',#15717,#12548,#12549); +#11036=AXIS2_PLACEMENT_3D('',#15723,#12553,#12554); +#11037=AXIS2_PLACEMENT_3D('',#15724,#12555,#12556); +#11038=AXIS2_PLACEMENT_3D('',#15725,#12557,#12558); +#11039=AXIS2_PLACEMENT_3D('',#15727,#12560,#12561); +#11040=AXIS2_PLACEMENT_3D('',#15730,#12564,#12565); +#11041=AXIS2_PLACEMENT_3D('',#15731,#12566,#12567); +#11042=AXIS2_PLACEMENT_3D('',#15734,#12570,#12571); +#11043=AXIS2_PLACEMENT_3D('',#15736,#12573,#12574); +#11044=AXIS2_PLACEMENT_3D('',#15738,#12576,#12577); +#11045=AXIS2_PLACEMENT_3D('',#15739,#12578,#12579); +#11046=AXIS2_PLACEMENT_3D('',#15742,#12582,#12583); +#11047=AXIS2_PLACEMENT_3D('',#15744,#12585,#12586); +#11048=AXIS2_PLACEMENT_3D('',#15746,#12588,#12589); +#11049=AXIS2_PLACEMENT_3D('',#15747,#12590,#12591); +#11050=AXIS2_PLACEMENT_3D('',#15749,#12593,#12594); +#11051=AXIS2_PLACEMENT_3D('',#15755,#12598,#12599); +#11052=AXIS2_PLACEMENT_3D('',#15756,#12600,#12601); +#11053=AXIS2_PLACEMENT_3D('',#15757,#12602,#12603); +#11054=AXIS2_PLACEMENT_3D('',#15759,#12605,#12606); +#11055=AXIS2_PLACEMENT_3D('',#15762,#12609,#12610); +#11056=AXIS2_PLACEMENT_3D('',#15763,#12611,#12612); +#11057=AXIS2_PLACEMENT_3D('',#15766,#12615,#12616); +#11058=AXIS2_PLACEMENT_3D('',#15768,#12618,#12619); +#11059=AXIS2_PLACEMENT_3D('',#15770,#12621,#12622); +#11060=AXIS2_PLACEMENT_3D('',#15771,#12623,#12624); +#11061=AXIS2_PLACEMENT_3D('',#15774,#12627,#12628); +#11062=AXIS2_PLACEMENT_3D('',#15776,#12630,#12631); +#11063=AXIS2_PLACEMENT_3D('',#15778,#12633,#12634); +#11064=AXIS2_PLACEMENT_3D('',#15779,#12635,#12636); +#11065=AXIS2_PLACEMENT_3D('',#15785,#12640,#12641); +#11066=AXIS2_PLACEMENT_3D('',#15786,#12642,#12643); +#11067=AXIS2_PLACEMENT_3D('',#15791,#12648,#12649); +#11068=AXIS2_PLACEMENT_3D('',#15793,#12651,#12652); +#11069=AXIS2_PLACEMENT_3D('',#15795,#12654,#12655); +#11070=AXIS2_PLACEMENT_3D('',#15800,#12660,#12661); +#11071=AXIS2_PLACEMENT_3D('',#15801,#12662,#12663); +#11072=AXIS2_PLACEMENT_3D('',#15802,#12664,#12665); +#11073=AXIS2_PLACEMENT_3D('',#15803,#12666,#12667); +#11074=AXIS2_PLACEMENT_3D('',#15807,#12671,#12672); +#11075=AXIS2_PLACEMENT_3D('',#15808,#12673,#12674); +#11076=AXIS2_PLACEMENT_3D('',#15809,#12675,#12676); +#11077=AXIS2_PLACEMENT_3D('',#15810,#12677,#12678); +#11078=AXIS2_PLACEMENT_3D('',#15811,#12679,#12680); +#11079=AXIS2_PLACEMENT_3D('',#15812,#12681,#12682); +#11080=AXIS2_PLACEMENT_3D('',#15813,#12683,#12684); +#11081=AXIS2_PLACEMENT_3D('',#15814,#12685,#12686); +#11082=AXIS2_PLACEMENT_3D('',#15815,#12687,#12688); +#11083=AXIS2_PLACEMENT_3D('',#15816,#12689,#12690); +#11084=AXIS2_PLACEMENT_3D('',#15817,#12691,#12692); +#11085=AXIS2_PLACEMENT_3D('',#15818,#12693,#12694); +#11086=AXIS2_PLACEMENT_3D('',#15819,#12695,#12696); +#11087=AXIS2_PLACEMENT_3D('',#15820,#12697,#12698); +#11088=AXIS2_PLACEMENT_3D('',#15821,#12699,#12700); +#11089=AXIS2_PLACEMENT_3D('',#15822,#12701,#12702); +#11090=AXIS2_PLACEMENT_3D('',#15823,#12703,#12704); +#11091=AXIS2_PLACEMENT_3D('',#15829,#12705,#12706); +#11092=AXIS2_PLACEMENT_3D('',#15830,#12707,#12708); +#11093=AXIS2_PLACEMENT_3D('',#15836,#12709,#12710); +#11094=AXIS2_PLACEMENT_3D('',#15837,#12711,#12712); +#11095=AXIS2_PLACEMENT_3D('',#15847,#12713,#12714); +#11096=AXIS2_PLACEMENT_3D('',#15857,#12715,#12716); +#11097=AXIS2_PLACEMENT_3D('',#15858,#12717,#12718); +#11098=AXIS2_PLACEMENT_3D('',#15867,#12719,#12720); +#11099=AXIS2_PLACEMENT_3D('',#15868,#12721,#12722); +#11100=AXIS2_PLACEMENT_3D('',#15869,#12723,#12724); +#11101=AXIS2_PLACEMENT_3D('',#15870,#12725,#12726); +#11102=AXIS2_PLACEMENT_3D('',#15871,#12727,#12728); +#11103=AXIS2_PLACEMENT_3D('',#15872,#12729,#12730); +#11104=AXIS2_PLACEMENT_3D('',#15873,#12731,#12732); +#11105=AXIS2_PLACEMENT_3D('',#15874,#12733,#12734); +#11106=AXIS2_PLACEMENT_3D('',#15875,#12735,#12736); +#11107=AXIS2_PLACEMENT_3D('',#15876,#12737,#12738); +#11108=AXIS2_PLACEMENT_3D('',#15877,#12739,#12740); +#11109=AXIS2_PLACEMENT_3D('',#15878,#12741,#12742); +#11110=AXIS2_PLACEMENT_3D('',#15879,#12743,#12744); +#11111=AXIS2_PLACEMENT_3D('',#15896,#12753,#12754); +#11112=AXIS2_PLACEMENT_3D('',#15907,#12761,#12762); +#11113=AXIS2_PLACEMENT_3D('',#15908,#12763,#12764); +#11114=AXIS2_PLACEMENT_3D('',#15910,#12765,#12766); +#11115=AXIS2_PLACEMENT_3D('',#15913,#12768,#12769); +#11116=AXIS2_PLACEMENT_3D('',#15919,#12773,#12774); +#11117=AXIS2_PLACEMENT_3D('',#15920,#12775,#12776); +#11118=AXIS2_PLACEMENT_3D('',#15922,#12777,#12778); +#11119=AXIS2_PLACEMENT_3D('',#15925,#12780,#12781); +#11120=AXIS2_PLACEMENT_3D('',#15931,#12785,#12786); +#11121=AXIS2_PLACEMENT_3D('',#15937,#12790,#12791); +#11122=AXIS2_PLACEMENT_3D('',#15943,#12795,#12796); +#11123=AXIS2_PLACEMENT_3D('',#15944,#12797,#12798); +#11124=AXIS2_PLACEMENT_3D('',#15946,#12799,#12800); +#11125=AXIS2_PLACEMENT_3D('',#15949,#12802,#12803); +#11126=AXIS2_PLACEMENT_3D('',#15955,#12807,#12808); +#11127=AXIS2_PLACEMENT_3D('',#15956,#12809,#12810); +#11128=AXIS2_PLACEMENT_3D('',#15958,#12811,#12812); +#11129=AXIS2_PLACEMENT_3D('',#15961,#12814,#12815); +#11130=AXIS2_PLACEMENT_3D('',#15967,#12819,#12820); +#11131=AXIS2_PLACEMENT_3D('',#15969,#12822,#12823); +#11132=AXIS2_PLACEMENT_3D('',#15971,#12825,#12826); +#11133=AXIS2_PLACEMENT_3D('',#15975,#12829,#12830); +#11134=AXIS2_PLACEMENT_3D('',#15979,#12833,#12834); +#11135=AXIS2_PLACEMENT_3D('',#15981,#12836,#12837); +#11136=AXIS2_PLACEMENT_3D('',#15982,#12838,#12839); +#11137=AXIS2_PLACEMENT_3D('',#15983,#12840,#12841); +#11138=AXIS2_PLACEMENT_3D('',#15984,#12842,#12843); +#11139=AXIS2_PLACEMENT_3D('',#15985,#12844,#12845); +#11140=AXIS2_PLACEMENT_3D('',#15986,#12846,#12847); +#11141=AXIS2_PLACEMENT_3D('',#15987,#12848,#12849); +#11142=AXIS2_PLACEMENT_3D('',#16004,#12858,#12859); +#11143=AXIS2_PLACEMENT_3D('',#16015,#12866,#12867); +#11144=AXIS2_PLACEMENT_3D('',#16016,#12868,#12869); +#11145=AXIS2_PLACEMENT_3D('',#16018,#12870,#12871); +#11146=AXIS2_PLACEMENT_3D('',#16021,#12873,#12874); +#11147=AXIS2_PLACEMENT_3D('',#16027,#12878,#12879); +#11148=AXIS2_PLACEMENT_3D('',#16028,#12880,#12881); +#11149=AXIS2_PLACEMENT_3D('',#16030,#12882,#12883); +#11150=AXIS2_PLACEMENT_3D('',#16033,#12885,#12886); +#11151=AXIS2_PLACEMENT_3D('',#16039,#12890,#12891); +#11152=AXIS2_PLACEMENT_3D('',#16045,#12895,#12896); +#11153=AXIS2_PLACEMENT_3D('',#16051,#12900,#12901); +#11154=AXIS2_PLACEMENT_3D('',#16052,#12902,#12903); +#11155=AXIS2_PLACEMENT_3D('',#16054,#12904,#12905); +#11156=AXIS2_PLACEMENT_3D('',#16057,#12907,#12908); +#11157=AXIS2_PLACEMENT_3D('',#16063,#12912,#12913); +#11158=AXIS2_PLACEMENT_3D('',#16064,#12914,#12915); +#11159=AXIS2_PLACEMENT_3D('',#16066,#12916,#12917); +#11160=AXIS2_PLACEMENT_3D('',#16069,#12919,#12920); +#11161=AXIS2_PLACEMENT_3D('',#16075,#12924,#12925); +#11162=AXIS2_PLACEMENT_3D('',#16077,#12927,#12928); +#11163=AXIS2_PLACEMENT_3D('',#16079,#12930,#12931); +#11164=AXIS2_PLACEMENT_3D('',#16083,#12934,#12935); +#11165=AXIS2_PLACEMENT_3D('',#16087,#12938,#12939); +#11166=AXIS2_PLACEMENT_3D('',#16089,#12941,#12942); +#11167=AXIS2_PLACEMENT_3D('',#16090,#12943,#12944); +#11168=AXIS2_PLACEMENT_3D('',#16091,#12945,#12946); +#11169=AXIS2_PLACEMENT_3D('',#16092,#12947,#12948); +#11170=AXIS2_PLACEMENT_3D('',#16096,#12950,#12951); +#11171=AXIS2_PLACEMENT_3D('',#16100,#12953,#12954); +#11172=AXIS2_PLACEMENT_3D('',#16104,#12956,#12957); +#11173=AXIS2_PLACEMENT_3D('',#16108,#12959,#12960); +#11174=AXIS2_PLACEMENT_3D('',#16116,#12964,#12965); +#11175=AXIS2_PLACEMENT_3D('',#16120,#12967,#12968); +#11176=AXIS2_PLACEMENT_3D('',#16124,#12970,#12971); +#11177=AXIS2_PLACEMENT_3D('',#16128,#12973,#12974); +#11178=AXIS2_PLACEMENT_3D('',#16132,#12976,#12977); +#11179=AXIS2_PLACEMENT_3D('',#16136,#12979,#12980); +#11180=AXIS2_PLACEMENT_3D('',#16140,#12982,#12983); +#11181=AXIS2_PLACEMENT_3D('',#16144,#12985,#12986); +#11182=AXIS2_PLACEMENT_3D('',#16149,#12989,#12990); +#11183=AXIS2_PLACEMENT_3D('',#16153,#12992,#12993); +#11184=AXIS2_PLACEMENT_3D('',#16161,#12997,#12998); +#11185=AXIS2_PLACEMENT_3D('',#16165,#13000,#13001); +#11186=AXIS2_PLACEMENT_3D('',#16169,#13003,#13004); +#11187=AXIS2_PLACEMENT_3D('',#16173,#13006,#13007); +#11188=AXIS2_PLACEMENT_3D('',#16177,#13009,#13010); +#11189=AXIS2_PLACEMENT_3D('',#16181,#13012,#13013); +#11190=AXIS2_PLACEMENT_3D('',#16185,#13015,#13016); +#11191=AXIS2_PLACEMENT_3D('',#16189,#13018,#13019); +#11192=AXIS2_PLACEMENT_3D('',#16197,#13023,#13024); +#11193=AXIS2_PLACEMENT_3D('',#16201,#13026,#13027); +#11194=AXIS2_PLACEMENT_3D('',#16205,#13029,#13030); +#11195=AXIS2_PLACEMENT_3D('',#16206,#13031,#13032); +#11196=AXIS2_PLACEMENT_3D('',#16208,#13034,#13035); +#11197=AXIS2_PLACEMENT_3D('',#16216,#13039,#13040); +#11198=AXIS2_PLACEMENT_3D('',#16217,#13041,#13042); +#11199=AXIS2_PLACEMENT_3D('',#16219,#13044,#13045); +#11200=AXIS2_PLACEMENT_3D('',#16227,#13049,#13050); +#11201=AXIS2_PLACEMENT_3D('',#16228,#13051,#13052); +#11202=AXIS2_PLACEMENT_3D('',#16239,#13058,#13059); +#11203=AXIS2_PLACEMENT_3D('',#16242,#13062,#13063); +#11204=AXIS2_PLACEMENT_3D('',#16244,#13065,#13066); +#11205=AXIS2_PLACEMENT_3D('',#16248,#13068,#13069); +#11206=AXIS2_PLACEMENT_3D('',#16252,#13071,#13072); +#11207=AXIS2_PLACEMENT_3D('',#16260,#13076,#13077); +#11208=AXIS2_PLACEMENT_3D('',#16264,#13079,#13080); +#11209=AXIS2_PLACEMENT_3D('',#16268,#13082,#13083); +#11210=AXIS2_PLACEMENT_3D('',#16269,#13084,#13085); +#11211=AXIS2_PLACEMENT_3D('',#16278,#13090,#13091); +#11212=AXIS2_PLACEMENT_3D('',#16287,#13096,#13097); +#11213=AXIS2_PLACEMENT_3D('',#16298,#13103,#13104); +#11214=AXIS2_PLACEMENT_3D('',#16306,#13108,#13109); +#11215=AXIS2_PLACEMENT_3D('',#16310,#13111,#13112); +#11216=AXIS2_PLACEMENT_3D('',#16314,#13114,#13115); +#11217=AXIS2_PLACEMENT_3D('',#16316,#13117,#13118); +#11218=AXIS2_PLACEMENT_3D('',#16320,#13120,#13121); +#11219=AXIS2_PLACEMENT_3D('',#16324,#13123,#13124); +#11220=AXIS2_PLACEMENT_3D('',#16325,#13125,#13126); +#11221=AXIS2_PLACEMENT_3D('',#16334,#13131,#13132); +#11222=AXIS2_PLACEMENT_3D('',#16343,#13137,#13138); +#11223=AXIS2_PLACEMENT_3D('',#16344,#13139,#13140); +#11224=AXIS2_PLACEMENT_3D('',#16345,#13141,#13142); +#11225=AXIS2_PLACEMENT_3D('',#16349,#13144,#13145); +#11226=AXIS2_PLACEMENT_3D('',#16353,#13147,#13148); +#11227=AXIS2_PLACEMENT_3D('',#16357,#13150,#13151); +#11228=AXIS2_PLACEMENT_3D('',#16358,#13152,#13153); +#11229=AXIS2_PLACEMENT_3D('',#16362,#13155,#13156); +#11230=AXIS2_PLACEMENT_3D('',#16366,#13158,#13159); +#11231=AXIS2_PLACEMENT_3D('',#16382,#13169,#13170); +#11232=AXIS2_PLACEMENT_3D('',#16383,#13171,#13172); +#11233=AXIS2_PLACEMENT_3D('',#16385,#13174,#13175); +#11234=AXIS2_PLACEMENT_3D('',#16386,#13176,#13177); +#11235=AXIS2_PLACEMENT_3D('',#16392,#13181,#13182); +#11236=AXIS2_PLACEMENT_3D('',#16396,#13184,#13185); +#11237=AXIS2_PLACEMENT_3D('',#16400,#13187,#13188); +#11238=AXIS2_PLACEMENT_3D('',#16401,#13189,#13190); +#11239=AXIS2_PLACEMENT_3D('',#16405,#13192,#13193); +#11240=AXIS2_PLACEMENT_3D('',#16409,#13195,#13196); +#11241=AXIS2_PLACEMENT_3D('',#16413,#13198,#13199); +#11242=AXIS2_PLACEMENT_3D('',#16423,#13205,#13206); +#11243=AXIS2_PLACEMENT_3D('',#16424,#13207,#13208); +#11244=AXIS2_PLACEMENT_3D('',#16431,#13213,#13214); +#11245=AXIS2_PLACEMENT_3D('',#16435,#13216,#13217); +#11246=AXIS2_PLACEMENT_3D('',#16439,#13219,#13220); +#11247=AXIS2_PLACEMENT_3D('',#16440,#13221,#13222); +#11248=AXIS2_PLACEMENT_3D('',#16444,#13224,#13225); +#11249=AXIS2_PLACEMENT_3D('',#16448,#13227,#13228); +#11250=AXIS2_PLACEMENT_3D('',#16452,#13230,#13231); +#11251=AXIS2_PLACEMENT_3D('',#16458,#13235,#13236); +#11252=AXIS2_PLACEMENT_3D('',#16459,#13237,#13238); +#11253=AXIS2_PLACEMENT_3D('',#16461,#13240,#13241); +#11254=AXIS2_PLACEMENT_3D('',#16462,#13242,#13243); +#11255=AXIS2_PLACEMENT_3D('',#16463,#13244,#13245); +#11256=AXIS2_PLACEMENT_3D('',#16467,#13247,#13248); +#11257=AXIS2_PLACEMENT_3D('',#16471,#13250,#13251); +#11258=AXIS2_PLACEMENT_3D('',#16475,#13253,#13254); +#11259=AXIS2_PLACEMENT_3D('',#16476,#13255,#13256); +#11260=AXIS2_PLACEMENT_3D('',#16480,#13258,#13259); +#11261=AXIS2_PLACEMENT_3D('',#16484,#13261,#13262); +#11262=AXIS2_PLACEMENT_3D('',#16495,#13269,#13270); +#11263=AXIS2_PLACEMENT_3D('',#16498,#13273,#13274); +#11264=AXIS2_PLACEMENT_3D('',#16501,#13277,#13278); +#11265=AXIS2_PLACEMENT_3D('',#16504,#13281,#13282); +#11266=AXIS2_PLACEMENT_3D('',#16507,#13285,#13286); +#11267=AXIS2_PLACEMENT_3D('',#16510,#13289,#13290); +#11268=AXIS2_PLACEMENT_3D('',#16513,#13293,#13294); +#11269=AXIS2_PLACEMENT_3D('',#16516,#13297,#13298); +#11270=AXIS2_PLACEMENT_3D('',#16519,#13301,#13302); +#11271=AXIS2_PLACEMENT_3D('',#16521,#13304,#13305); +#11272=AXIS2_PLACEMENT_3D('',#16525,#13308,#13309); +#11273=AXIS2_PLACEMENT_3D('',#16529,#13312,#13313); +#11274=AXIS2_PLACEMENT_3D('',#16533,#13316,#13317); +#11275=AXIS2_PLACEMENT_3D('',#16535,#13319,#13320); +#11276=AXIS2_PLACEMENT_3D('',#16537,#13322,#13323); +#11277=AXIS2_PLACEMENT_3D('',#16538,#13324,#13325); +#11278=AXIS2_PLACEMENT_3D('',#16544,#13329,#13330); +#11279=AXIS2_PLACEMENT_3D('',#16550,#13334,#13335); +#11280=AXIS2_PLACEMENT_3D('',#16551,#13336,#13337); +#11281=AXIS2_PLACEMENT_3D('',#16552,#13338,#13339); +#11282=AXIS2_PLACEMENT_3D('',#16553,#13340,#13341); +#11283=AXIS2_PLACEMENT_3D('',#16554,#13342,#13343); +#11284=AXIS2_PLACEMENT_3D('',#16555,#13344,#13345); +#11285=AXIS2_PLACEMENT_3D('',#16556,#13346,#13347); +#11286=AXIS2_PLACEMENT_3D('',#16557,#13348,#13349); +#11287=AXIS2_PLACEMENT_3D('',#16558,#13350,#13351); +#11288=AXIS2_PLACEMENT_3D('',#16559,#13352,#13353); +#11289=AXIS2_PLACEMENT_3D('',#16560,#13354,#13355); +#11290=AXIS2_PLACEMENT_3D('',#16561,#13356,#13357); +#11291=AXIS2_PLACEMENT_3D('',#16562,#13358,#13359); +#11292=AXIS2_PLACEMENT_3D('',#16563,#13360,#13361); +#11293=AXIS2_PLACEMENT_3D('',#16564,#13362,#13363); +#11294=AXIS2_PLACEMENT_3D('',#16565,#13364,#13365); +#11295=AXIS2_PLACEMENT_3D('',#16566,#13366,#13367); +#11296=AXIS2_PLACEMENT_3D('',#16567,#13368,#13369); +#11297=AXIS2_PLACEMENT_3D('',#16568,#13370,#13371); +#11298=AXIS2_PLACEMENT_3D('',#16569,#13372,#13373); +#11299=AXIS2_PLACEMENT_3D('',#16572,#13376,#13377); +#11300=AXIS2_PLACEMENT_3D('',#16575,#13380,#13381); +#11301=AXIS2_PLACEMENT_3D('',#16578,#13384,#13385); +#11302=AXIS2_PLACEMENT_3D('',#16581,#13388,#13389); +#11303=AXIS2_PLACEMENT_3D('',#16584,#13392,#13393); +#11304=AXIS2_PLACEMENT_3D('',#16587,#13396,#13397); +#11305=AXIS2_PLACEMENT_3D('',#16590,#13400,#13401); +#11306=AXIS2_PLACEMENT_3D('',#16593,#13404,#13405); +#11307=AXIS2_PLACEMENT_3D('',#16595,#13407,#13408); +#11308=AXIS2_PLACEMENT_3D('',#16599,#13411,#13412); +#11309=AXIS2_PLACEMENT_3D('',#16603,#13415,#13416); +#11310=AXIS2_PLACEMENT_3D('',#16607,#13419,#13420); +#11311=AXIS2_PLACEMENT_3D('',#16609,#13422,#13423); +#11312=AXIS2_PLACEMENT_3D('',#16611,#13425,#13426); +#11313=AXIS2_PLACEMENT_3D('',#16612,#13427,#13428); +#11314=AXIS2_PLACEMENT_3D('',#16618,#13432,#13433); +#11315=AXIS2_PLACEMENT_3D('',#16624,#13437,#13438); +#11316=AXIS2_PLACEMENT_3D('',#16625,#13439,#13440); +#11317=AXIS2_PLACEMENT_3D('',#16626,#13441,#13442); +#11318=AXIS2_PLACEMENT_3D('',#16627,#13443,#13444); +#11319=AXIS2_PLACEMENT_3D('',#16628,#13445,#13446); +#11320=AXIS2_PLACEMENT_3D('',#16629,#13447,#13448); +#11321=AXIS2_PLACEMENT_3D('',#16630,#13449,#13450); +#11322=AXIS2_PLACEMENT_3D('',#16631,#13451,#13452); +#11323=AXIS2_PLACEMENT_3D('',#16632,#13453,#13454); +#11324=AXIS2_PLACEMENT_3D('',#16633,#13455,#13456); +#11325=AXIS2_PLACEMENT_3D('',#16634,#13457,#13458); +#11326=AXIS2_PLACEMENT_3D('',#16635,#13459,#13460); +#11327=AXIS2_PLACEMENT_3D('',#16636,#13461,#13462); +#11328=AXIS2_PLACEMENT_3D('',#16637,#13463,#13464); +#11329=AXIS2_PLACEMENT_3D('',#16638,#13465,#13466); +#11330=AXIS2_PLACEMENT_3D('',#16639,#13467,#13468); +#11331=AXIS2_PLACEMENT_3D('',#16640,#13469,#13470); +#11332=AXIS2_PLACEMENT_3D('',#16641,#13471,#13472); +#11333=AXIS2_PLACEMENT_3D('',#16642,#13473,#13474); +#11334=AXIS2_PLACEMENT_3D('',#16643,#13475,#13476); +#11335=AXIS2_PLACEMENT_3D('',#16646,#13479,#13480); +#11336=AXIS2_PLACEMENT_3D('',#16649,#13483,#13484); +#11337=AXIS2_PLACEMENT_3D('',#16652,#13487,#13488); +#11338=AXIS2_PLACEMENT_3D('',#16653,#13489,#13490); +#11339=AXIS2_PLACEMENT_3D('',#16654,#13491,#13492); +#11340=AXIS2_PLACEMENT_3D('',#16655,#13493,#13494); +#11341=AXIS2_PLACEMENT_3D('',#16656,#13495,#13496); +#11342=AXIS2_PLACEMENT_3D('',#16657,#13497,#13498); +#11343=AXIS2_PLACEMENT_3D('',#16658,#13499,#13500); +#11344=AXIS2_PLACEMENT_3D('',#16659,#13501,#13502); +#11345=AXIS2_PLACEMENT_3D('',#16660,#13503,#13504); +#11346=AXIS2_PLACEMENT_3D('',#16661,#13505,#13506); +#11347=AXIS2_PLACEMENT_3D('',#16662,#13507,#13508); +#11348=AXIS2_PLACEMENT_3D('',#16663,#13509,#13510); +#11349=AXIS2_PLACEMENT_3D('',#16672,#13515,#13516); +#11350=AXIS2_PLACEMENT_3D('',#16673,#13517,#13518); +#11351=AXIS2_PLACEMENT_3D('',#16678,#13520,#13521); +#11352=AXIS2_PLACEMENT_3D('',#16681,#13523,#13524); +#11353=AXIS2_PLACEMENT_3D('',#16685,#13526,#13527); +#11354=AXIS2_PLACEMENT_3D('',#16689,#13529,#13530); +#11355=AXIS2_PLACEMENT_3D('',#16693,#13532,#13533); +#11356=AXIS2_PLACEMENT_3D('',#16697,#13535,#13536); +#11357=AXIS2_PLACEMENT_3D('',#16701,#13538,#13539); +#11358=AXIS2_PLACEMENT_3D('',#16705,#13541,#13542); +#11359=AXIS2_PLACEMENT_3D('',#16709,#13544,#13545); +#11360=AXIS2_PLACEMENT_3D('',#16713,#13547,#13548); +#11361=AXIS2_PLACEMENT_3D('',#16717,#13550,#13551); +#11362=AXIS2_PLACEMENT_3D('',#16721,#13553,#13554); +#11363=AXIS2_PLACEMENT_3D('',#16725,#13556,#13557); +#11364=AXIS2_PLACEMENT_3D('',#16729,#13559,#13560); +#11365=AXIS2_PLACEMENT_3D('',#16730,#13561,#13562); +#11366=AXIS2_PLACEMENT_3D('',#16735,#13564,#13565); +#11367=AXIS2_PLACEMENT_3D('',#16739,#13567,#13568); +#11368=AXIS2_PLACEMENT_3D('',#16743,#13570,#13571); +#11369=AXIS2_PLACEMENT_3D('',#16749,#13574,#13575); +#11370=AXIS2_PLACEMENT_3D('',#16753,#13577,#13578); +#11371=AXIS2_PLACEMENT_3D('',#16757,#13580,#13581); +#11372=AXIS2_PLACEMENT_3D('',#16761,#13583,#13584); +#11373=AXIS2_PLACEMENT_3D('',#16765,#13586,#13587); +#11374=AXIS2_PLACEMENT_3D('',#16769,#13589,#13590); +#11375=AXIS2_PLACEMENT_3D('',#16773,#13592,#13593); +#11376=AXIS2_PLACEMENT_3D('',#16777,#13595,#13596); +#11377=AXIS2_PLACEMENT_3D('',#16781,#13598,#13599); +#11378=AXIS2_PLACEMENT_3D('',#16793,#13605,#13606); +#11379=AXIS2_PLACEMENT_3D('',#16797,#13608,#13609); +#11380=AXIS2_PLACEMENT_3D('',#16808,#13615,#13616); +#11381=AXIS2_PLACEMENT_3D('',#16815,#13620,#13621); +#11382=AXIS2_PLACEMENT_3D('',#16816,#13622,#13623); +#11383=AXIS2_PLACEMENT_3D('',#16820,#13625,#13626); +#11384=AXIS2_PLACEMENT_3D('',#16824,#13628,#13629); +#11385=AXIS2_PLACEMENT_3D('',#16828,#13631,#13632); +#11386=AXIS2_PLACEMENT_3D('',#16832,#13634,#13635); +#11387=AXIS2_PLACEMENT_3D('',#16836,#13637,#13638); +#11388=AXIS2_PLACEMENT_3D('',#16840,#13640,#13641); +#11389=AXIS2_PLACEMENT_3D('',#16844,#13643,#13644); +#11390=AXIS2_PLACEMENT_3D('',#16848,#13646,#13647); +#11391=AXIS2_PLACEMENT_3D('',#16852,#13649,#13650); +#11392=AXIS2_PLACEMENT_3D('',#16856,#13652,#13653); +#11393=AXIS2_PLACEMENT_3D('',#16860,#13655,#13656); +#11394=AXIS2_PLACEMENT_3D('',#16864,#13658,#13659); +#11395=AXIS2_PLACEMENT_3D('',#16868,#13661,#13662); +#11396=AXIS2_PLACEMENT_3D('',#16872,#13664,#13665); +#11397=AXIS2_PLACEMENT_3D('',#16876,#13667,#13668); +#11398=AXIS2_PLACEMENT_3D('',#16880,#13670,#13671); +#11399=AXIS2_PLACEMENT_3D('',#16884,#13673,#13674); +#11400=AXIS2_PLACEMENT_3D('',#16888,#13676,#13677); +#11401=AXIS2_PLACEMENT_3D('',#16892,#13679,#13680); +#11402=AXIS2_PLACEMENT_3D('',#16896,#13682,#13683); +#11403=AXIS2_PLACEMENT_3D('',#16897,#13684,#13685); +#11404=AXIS2_PLACEMENT_3D('',#16902,#13687,#13688); +#11405=AXIS2_PLACEMENT_3D('',#16906,#13690,#13691); +#11406=AXIS2_PLACEMENT_3D('',#16910,#13693,#13694); +#11407=AXIS2_PLACEMENT_3D('',#16916,#13697,#13698); +#11408=AXIS2_PLACEMENT_3D('',#16920,#13700,#13701); +#11409=AXIS2_PLACEMENT_3D('',#16927,#13705,#13706); +#11410=AXIS2_PLACEMENT_3D('',#16938,#13712,#13713); +#11411=AXIS2_PLACEMENT_3D('',#16942,#13715,#13716); +#11412=AXIS2_PLACEMENT_3D('',#16951,#13721,#13722); +#11413=AXIS2_PLACEMENT_3D('',#16954,#13725,#13726); +#11414=AXIS2_PLACEMENT_3D('',#16957,#13729,#13730); +#11415=AXIS2_PLACEMENT_3D('',#16960,#13733,#13734); +#11416=AXIS2_PLACEMENT_3D('',#16962,#13736,#13737); +#11417=AXIS2_PLACEMENT_3D('',#16970,#13741,#13742); +#11418=AXIS2_PLACEMENT_3D('',#16971,#13743,#13744); +#11419=AXIS2_PLACEMENT_3D('',#16974,#13747,#13748); +#11420=AXIS2_PLACEMENT_3D('',#16983,#13753,#13754); +#11421=AXIS2_PLACEMENT_3D('',#16992,#13759,#13760); +#11422=AXIS2_PLACEMENT_3D('',#17009,#13769,#13770); +#11423=AXIS2_PLACEMENT_3D('',#17013,#13772,#13773); +#11424=AXIS2_PLACEMENT_3D('',#17014,#13774,#13775); +#11425=AXIS2_PLACEMENT_3D('',#17015,#13776,#13777); +#11426=AXIS2_PLACEMENT_3D('',#17016,#13778,#13779); +#11427=AXIS2_PLACEMENT_3D('',#17020,#13781,#13782); +#11428=AXIS2_PLACEMENT_3D('',#17021,#13783,#13784); +#11429=AXIS2_PLACEMENT_3D('',#17030,#13790,#13791); +#11430=AXIS2_PLACEMENT_3D('',#17038,#13796,#13797); +#11431=AXIS2_PLACEMENT_3D('',#17055,#13806,#13807); +#11432=AXIS2_PLACEMENT_3D('',#17056,#13808,#13809); +#11433=AXIS2_PLACEMENT_3D('',#17060,#13811,#13812); +#11434=AXIS2_PLACEMENT_3D('',#17061,#13813,#13814); +#11435=AXIS2_PLACEMENT_3D('',#17062,#13815,#13816); +#11436=AXIS2_PLACEMENT_3D('',#17064,#13817,#13818); +#11437=AXIS2_PLACEMENT_3D('',#17067,#13820,#13821); +#11438=AXIS2_PLACEMENT_3D('',#17079,#13828,#13829); +#11439=AXIS2_PLACEMENT_3D('',#17084,#13833,#13834); +#11440=AXIS2_PLACEMENT_3D('',#17087,#13837,#13838); +#11441=AXIS2_PLACEMENT_3D('',#17096,#13843,#13844); +#11442=AXIS2_PLACEMENT_3D('',#17105,#13849,#13850); +#11443=AXIS2_PLACEMENT_3D('',#17120,#13858,#13859); +#11444=AXIS2_PLACEMENT_3D('',#17125,#13863,#13864); +#11445=AXIS2_PLACEMENT_3D('',#17129,#13866,#13867); +#11446=AXIS2_PLACEMENT_3D('',#17130,#13868,#13869); +#11447=AXIS2_PLACEMENT_3D('',#17131,#13870,#13871); +#11448=AXIS2_PLACEMENT_3D('',#17132,#13872,#13873); +#11449=AXIS2_PLACEMENT_3D('',#17136,#13875,#13876); +#11450=AXIS2_PLACEMENT_3D('',#17137,#13877,#13878); +#11451=AXIS2_PLACEMENT_3D('',#17148,#13885,#13886); +#11452=AXIS2_PLACEMENT_3D('',#17158,#13892,#13893); +#11453=AXIS2_PLACEMENT_3D('',#17168,#13899,#13900); +#11454=AXIS2_PLACEMENT_3D('',#17169,#13901,#13902); +#11455=AXIS2_PLACEMENT_3D('',#17173,#13904,#13905); +#11456=AXIS2_PLACEMENT_3D('',#17174,#13906,#13907); +#11457=AXIS2_PLACEMENT_3D('',#17175,#13908,#13909); +#11458=AXIS2_PLACEMENT_3D('',#17177,#13910,#13911); +#11459=AXIS2_PLACEMENT_3D('',#17180,#13913,#13914); +#11460=AXIS2_PLACEMENT_3D('',#17191,#13921,#13922); +#11461=AXIS2_PLACEMENT_3D('',#17193,#13924,#13925); +#11462=AXIS2_PLACEMENT_3D('',#17195,#13927,#13928); +#11463=AXIS2_PLACEMENT_3D('',#17196,#13929,#13930); +#11464=AXIS2_PLACEMENT_3D('',#17205,#13935,#13936); +#11465=AXIS2_PLACEMENT_3D('',#17214,#13941,#13942); +#11466=AXIS2_PLACEMENT_3D('',#17220,#13946,#13947); +#11467=AXIS2_PLACEMENT_3D('',#17221,#13948,#13949); +#11468=AXIS2_PLACEMENT_3D('',#17222,#13950,#13951); +#11469=AXIS2_PLACEMENT_3D('',#17223,#13952,#13953); +#11470=AXIS2_PLACEMENT_3D('',#17224,#13954,#13955); +#11471=AXIS2_PLACEMENT_3D('',#17226,#13956,#13957); +#11472=AXIS2_PLACEMENT_3D('',#17229,#13959,#13960); +#11473=AXIS2_PLACEMENT_3D('',#17232,#13963,#13964); +#11474=AXIS2_PLACEMENT_3D('',#17238,#13968,#13969); +#11475=AXIS2_PLACEMENT_3D('',#17239,#13970,#13971); +#11476=AXIS2_PLACEMENT_3D('',#17240,#13972,#13973); +#11477=AXIS2_PLACEMENT_3D('',#17241,#13974,#13975); +#11478=AXIS2_PLACEMENT_3D('',#17242,#13976,#13977); +#11479=AXIS2_PLACEMENT_3D('',#17246,#13979,#13980); +#11480=AXIS2_PLACEMENT_3D('',#17247,#13981,#13982); +#11481=AXIS2_PLACEMENT_3D('',#17250,#13985,#13986); +#11482=AXIS2_PLACEMENT_3D('',#17251,#13987,#13988); +#11483=AXIS2_PLACEMENT_3D('',#17252,#13989,#13990); +#11484=AXIS2_PLACEMENT_3D('',#17253,#13991,#13992); +#11485=AXIS2_PLACEMENT_3D('',#17254,#13993,#13994); +#11486=AXIS2_PLACEMENT_3D('',#17255,#13995,#13996); +#11487=AXIS2_PLACEMENT_3D('',#17256,#13997,#13998); +#11488=AXIS2_PLACEMENT_3D('',#17257,#13999,#14000); +#11489=AXIS2_PLACEMENT_3D('',#17258,#14001,#14002); +#11490=AXIS2_PLACEMENT_3D('',#17259,#14003,#14004); +#11491=AXIS2_PLACEMENT_3D('',#17261,#14006,#14007); +#11492=AXIS2_PLACEMENT_3D('',#17262,#14008,#14009); +#11493=AXIS2_PLACEMENT_3D('',#17264,#14011,#14012); +#11494=AXIS2_PLACEMENT_3D('',#17265,#14013,#14014); +#11495=AXIS2_PLACEMENT_3D('',#17266,#14015,#14016); +#11496=AXIS2_PLACEMENT_3D('',#17269,#14019,#14020); +#11497=AXIS2_PLACEMENT_3D('',#17272,#14023,#14024); +#11498=AXIS2_PLACEMENT_3D('',#17273,#14025,#14026); +#11499=AXIS2_PLACEMENT_3D('',#17274,#14027,#14028); +#11500=AXIS2_PLACEMENT_3D('',#17276,#14030,#14031); +#11501=AXIS2_PLACEMENT_3D('',#17279,#14034,#14035); +#11502=AXIS2_PLACEMENT_3D('',#17282,#14038,#14039); +#11503=AXIS2_PLACEMENT_3D('',#17285,#14042,#14043); +#11504=AXIS2_PLACEMENT_3D('',#17288,#14046,#14047); +#11505=AXIS2_PLACEMENT_3D('',#17291,#14050,#14051); +#11506=AXIS2_PLACEMENT_3D('',#17294,#14054,#14055); +#11507=AXIS2_PLACEMENT_3D('',#17295,#14056,#14057); +#11508=AXIS2_PLACEMENT_3D('',#17296,#14058,#14059); +#11509=AXIS2_PLACEMENT_3D('',#17297,#14060,#14061); +#11510=AXIS2_PLACEMENT_3D('',#17298,#14062,#14063); +#11511=AXIS2_PLACEMENT_3D('',#17299,#14064,#14065); +#11512=AXIS2_PLACEMENT_3D('',#17300,#14066,#14067); +#11513=AXIS2_PLACEMENT_3D('',#17302,#14069,#14070); +#11514=AXIS2_PLACEMENT_3D('',#17303,#14071,#14072); +#11515=AXIS2_PLACEMENT_3D('',#17308,#14074,#14075); +#11516=AXIS2_PLACEMENT_3D('',#17311,#14077,#14078); +#11517=AXIS2_PLACEMENT_3D('',#17317,#14082,#14083); +#11518=AXIS2_PLACEMENT_3D('',#17318,#14084,#14085); +#11519=AXIS2_PLACEMENT_3D('',#17320,#14086,#14087); +#11520=AXIS2_PLACEMENT_3D('',#17323,#14089,#14090); +#11521=AXIS2_PLACEMENT_3D('',#17326,#14092,#14093); +#11522=AXIS2_PLACEMENT_3D('',#17330,#14095,#14096); +#11523=AXIS2_PLACEMENT_3D('',#17333,#14098,#14099); +#11524=AXIS2_PLACEMENT_3D('',#17337,#14102,#14103); +#11525=AXIS2_PLACEMENT_3D('',#17338,#14104,#14105); +#11526=AXIS2_PLACEMENT_3D('',#17342,#14107,#14108); +#11527=AXIS2_PLACEMENT_3D('',#17345,#14110,#14111); +#11528=AXIS2_PLACEMENT_3D('',#17387,#14113,#14114); +#11529=AXIS2_PLACEMENT_3D('',#17388,#14115,#14116); +#11530=AXIS2_PLACEMENT_3D('',#17389,#14117,#14118); +#11531=AXIS2_PLACEMENT_3D('',#17421,#14124,#14125); +#11532=AXIS2_PLACEMENT_3D('',#17425,#14127,#14128); +#11533=AXIS2_PLACEMENT_3D('',#17426,#14129,#14130); +#11534=AXIS2_PLACEMENT_3D('',#17470,#14132,#14133); +#11535=AXIS2_PLACEMENT_3D('',#17474,#14135,#14136); +#11536=AXIS2_PLACEMENT_3D('',#17475,#14137,#14138); +#11537=AXIS2_PLACEMENT_3D('',#17496,#14139,#14140); +#11538=AXIS2_PLACEMENT_3D('',#17497,#14141,#14142); +#11539=AXIS2_PLACEMENT_3D('',#17498,#14143,#14144); +#11540=AXIS2_PLACEMENT_3D('',#17499,#14145,#14146); +#11541=AXIS2_PLACEMENT_3D('',#17504,#14148,#14149); +#11542=AXIS2_PLACEMENT_3D('',#17508,#14151,#14152); +#11543=AXIS2_PLACEMENT_3D('',#17512,#14154,#14155); +#11544=AXIS2_PLACEMENT_3D('',#17515,#14157,#14158); +#11545=AXIS2_PLACEMENT_3D('',#17521,#14162,#14163); +#11546=AXIS2_PLACEMENT_3D('',#17524,#14165,#14166); +#11547=AXIS2_PLACEMENT_3D('',#17525,#14167,#14168); +#11548=AXIS2_PLACEMENT_3D('',#17529,#14171,#14172); +#11549=AXIS2_PLACEMENT_3D('',#17532,#14174,#14175); +#11550=AXIS2_PLACEMENT_3D('',#17533,#14176,#14177); +#11551=AXIS2_PLACEMENT_3D('',#17537,#14180,#14181); +#11552=AXIS2_PLACEMENT_3D('',#17540,#14183,#14184); +#11553=AXIS2_PLACEMENT_3D('',#17541,#14185,#14186); +#11554=AXIS2_PLACEMENT_3D('',#17545,#14189,#14190); +#11555=AXIS2_PLACEMENT_3D('',#17546,#14191,#14192); +#11556=AXIS2_PLACEMENT_3D('',#17547,#14193,#14194); +#11557=AXIS2_PLACEMENT_3D('',#17553,#14198,#14199); +#11558=AXIS2_PLACEMENT_3D('',#17554,#14200,#14201); +#11559=AXIS2_PLACEMENT_3D('',#17557,#14203,#14204); +#11560=AXIS2_PLACEMENT_3D('',#17561,#14207,#14208); +#11561=AXIS2_PLACEMENT_3D('',#17562,#14209,#14210); +#11562=AXIS2_PLACEMENT_3D('',#17565,#14212,#14213); +#11563=AXIS2_PLACEMENT_3D('',#17569,#14216,#14217); +#11564=AXIS2_PLACEMENT_3D('',#17575,#14221,#14222); +#11565=AXIS2_PLACEMENT_3D('',#17576,#14223,#14224); +#11566=AXIS2_PLACEMENT_3D('',#17577,#14225,#14226); +#11567=AXIS2_PLACEMENT_3D('',#17578,#14227,#14228); +#11568=AXIS2_PLACEMENT_3D('',#17579,#14229,#14230); +#11569=AXIS2_PLACEMENT_3D('',#17580,#14231,#14232); +#11570=AXIS2_PLACEMENT_3D('',#17585,#14234,#14235); +#11571=AXIS2_PLACEMENT_3D('',#17589,#14237,#14238); +#11572=AXIS2_PLACEMENT_3D('',#17593,#14240,#14241); +#11573=AXIS2_PLACEMENT_3D('',#17596,#14243,#14244); +#11574=AXIS2_PLACEMENT_3D('',#17597,#14245,#14246); +#11575=AXIS2_PLACEMENT_3D('',#17598,#14247,#14248); +#11576=AXIS2_PLACEMENT_3D('',#17599,#14249,#14250); +#11577=AXIS2_PLACEMENT_3D('',#17600,#14251,#14252); +#11578=AXIS2_PLACEMENT_3D('',#17601,#14253,#14254); +#11579=AXIS2_PLACEMENT_3D('',#17602,#14255,#14256); +#11580=AXIS2_PLACEMENT_3D('',#17603,#14257,#14258); +#11581=AXIS2_PLACEMENT_3D('',#17604,#14259,#14260); +#11582=AXIS2_PLACEMENT_3D('',#17605,#14261,#14262); +#11583=AXIS2_PLACEMENT_3D('',#17606,#14263,#14264); +#11584=AXIS2_PLACEMENT_3D('',#17607,#14265,#14266); +#11585=AXIS2_PLACEMENT_3D('',#17608,#14267,#14268); +#11586=AXIS2_PLACEMENT_3D('',#17609,#14269,#14270); +#11587=AXIS2_PLACEMENT_3D('',#17610,#14271,#14272); +#11588=AXIS2_PLACEMENT_3D('',#17611,#14273,#14274); +#11589=AXIS2_PLACEMENT_3D('',#17612,#14275,#14276); +#11590=AXIS2_PLACEMENT_3D('',#17613,#14277,#14278); +#11591=AXIS2_PLACEMENT_3D('',#17614,#14279,#14280); +#11592=AXIS2_PLACEMENT_3D('',#17615,#14281,#14282); +#11593=AXIS2_PLACEMENT_3D('',#17616,#14283,#14284); +#11594=AXIS2_PLACEMENT_3D('',#17617,#14285,#14286); +#11595=AXIS2_PLACEMENT_3D('',#17618,#14287,#14288); +#11596=AXIS2_PLACEMENT_3D('',#17619,#14289,#14290); +#11597=AXIS2_PLACEMENT_3D('',#17620,#14291,#14292); +#11598=AXIS2_PLACEMENT_3D('',#17621,#14293,#14294); +#11599=AXIS2_PLACEMENT_3D('',#17622,#14295,#14296); +#11600=AXIS2_PLACEMENT_3D('',#17623,#14297,#14298); +#11601=AXIS2_PLACEMENT_3D('',#17624,#14299,#14300); +#11602=AXIS2_PLACEMENT_3D('',#17625,#14301,#14302); +#11603=AXIS2_PLACEMENT_3D('',#17626,#14303,#14304); +#11604=AXIS2_PLACEMENT_3D('',#17627,#14305,#14306); +#11605=AXIS2_PLACEMENT_3D('',#17628,#14307,#14308); +#11606=AXIS2_PLACEMENT_3D('',#17629,#14309,#14310); +#11607=AXIS2_PLACEMENT_3D('',#17632,#14313,#14314); +#11608=AXIS2_PLACEMENT_3D('',#17634,#14316,#14317); +#11609=AXIS2_PLACEMENT_3D('',#17636,#14319,#14320); +#11610=AXIS2_PLACEMENT_3D('',#17637,#14321,#14322); +#11611=AXIS2_PLACEMENT_3D('',#17640,#14325,#14326); +#11612=AXIS2_PLACEMENT_3D('',#17643,#14329,#14330); +#11613=AXIS2_PLACEMENT_3D('',#17645,#14332,#14333); +#11614=AXIS2_PLACEMENT_3D('',#17648,#14336,#14337); +#11615=AXIS2_PLACEMENT_3D('',#17651,#14340,#14341); +#11616=AXIS2_PLACEMENT_3D('',#17654,#14344,#14345); +#11617=AXIS2_PLACEMENT_3D('',#17657,#14348,#14349); +#11618=AXIS2_PLACEMENT_3D('',#17658,#14350,#14351); +#11619=AXIS2_PLACEMENT_3D('',#17659,#14352,#14353); +#11620=AXIS2_PLACEMENT_3D('',#17660,#14354,#14355); +#11621=AXIS2_PLACEMENT_3D('',#17661,#14356,#14357); +#11622=AXIS2_PLACEMENT_3D('',#17662,#14358,#14359); +#11623=AXIS2_PLACEMENT_3D('',#17663,#14360,#14361); +#11624=AXIS2_PLACEMENT_3D('',#17665,#14363,#14364); +#11625=AXIS2_PLACEMENT_3D('',#17666,#14365,#14366); +#11626=AXIS2_PLACEMENT_3D('',#17671,#14368,#14369); +#11627=AXIS2_PLACEMENT_3D('',#17674,#14371,#14372); +#11628=AXIS2_PLACEMENT_3D('',#17680,#14376,#14377); +#11629=AXIS2_PLACEMENT_3D('',#17681,#14378,#14379); +#11630=AXIS2_PLACEMENT_3D('',#17685,#14381,#14382); +#11631=AXIS2_PLACEMENT_3D('',#17686,#14383,#14384); +#11632=AXIS2_PLACEMENT_3D('',#17692,#14388,#14389); +#11633=AXIS2_PLACEMENT_3D('',#17693,#14390,#14391); +#11634=AXIS2_PLACEMENT_3D('',#17697,#14393,#14394); +#11635=AXIS2_PLACEMENT_3D('',#17700,#14396,#14397); +#11636=AXIS2_PLACEMENT_3D('',#17704,#14400,#14401); +#11637=AXIS2_PLACEMENT_3D('',#17705,#14402,#14403); +#11638=AXIS2_PLACEMENT_3D('',#17709,#14405,#14406); +#11639=AXIS2_PLACEMENT_3D('',#17750,#14407,#14408); +#11640=AXIS2_PLACEMENT_3D('',#17751,#14409,#14410); +#11641=AXIS2_PLACEMENT_3D('',#17798,#14413,#14414); +#11642=AXIS2_PLACEMENT_3D('',#17799,#14415,#14416); +#11643=AXIS2_PLACEMENT_3D('',#17803,#14418,#14419); +#11644=AXIS2_PLACEMENT_3D('',#17827,#14421,#14422); +#11645=AXIS2_PLACEMENT_3D('',#17856,#14427,#14428); +#11646=AXIS2_PLACEMENT_3D('',#17857,#14429,#14430); +#11647=AXIS2_PLACEMENT_3D('',#17858,#14431,#14432); +#11648=AXIS2_PLACEMENT_3D('',#17859,#14433,#14434); +#11649=AXIS2_PLACEMENT_3D('',#17860,#14435,#14436); +#11650=AXIS2_PLACEMENT_3D('',#17861,#14437,#14438); +#11651=AXIS2_PLACEMENT_3D('',#17865,#14440,#14441); +#11652=AXIS2_PLACEMENT_3D('',#17869,#14443,#14444); +#11653=AXIS2_PLACEMENT_3D('',#17873,#14446,#14447); +#11654=AXIS2_PLACEMENT_3D('',#17877,#14449,#14450); +#11655=AXIS2_PLACEMENT_3D('',#17878,#14451,#14452); +#11656=AXIS2_PLACEMENT_3D('',#17881,#14454,#14455); +#11657=AXIS2_PLACEMENT_3D('',#17884,#14457,#14458); +#11658=AXIS2_PLACEMENT_3D('',#17888,#14461,#14462); +#11659=AXIS2_PLACEMENT_3D('',#17891,#14464,#14465); +#11660=AXIS2_PLACEMENT_3D('',#17892,#14466,#14467); +#11661=AXIS2_PLACEMENT_3D('',#17896,#14470,#14471); +#11662=AXIS2_PLACEMENT_3D('',#17899,#14473,#14474); +#11663=AXIS2_PLACEMENT_3D('',#17900,#14475,#14476); +#11664=AXIS2_PLACEMENT_3D('',#17904,#14479,#14480); +#11665=AXIS2_PLACEMENT_3D('',#17907,#14482,#14483); +#11666=AXIS2_PLACEMENT_3D('',#17908,#14484,#14485); +#11667=AXIS2_PLACEMENT_3D('',#17910,#14487,#14488); +#11668=AXIS2_PLACEMENT_3D('',#17913,#14490,#14491); +#11669=AXIS2_PLACEMENT_3D('',#17916,#14493,#14494); +#11670=AXIS2_PLACEMENT_3D('',#17920,#14497,#14498); +#11671=AXIS2_PLACEMENT_3D('',#17921,#14499,#14500); +#11672=AXIS2_PLACEMENT_3D('',#17924,#14502,#14503); +#11673=AXIS2_PLACEMENT_3D('',#17928,#14506,#14507); +#11674=AXIS2_PLACEMENT_3D('',#17929,#14508,#14509); +#11675=AXIS2_PLACEMENT_3D('',#17932,#14511,#14512); +#11676=AXIS2_PLACEMENT_3D('',#17935,#14514,#14515); +#11677=AXIS2_PLACEMENT_3D('',#17938,#14517,#14518); +#11678=AXIS2_PLACEMENT_3D('',#17940,#14520,#14521); +#11679=AXIS2_PLACEMENT_3D('',#17942,#14523,#14524); +#11680=AXIS2_PLACEMENT_3D('',#17946,#14526,#14527); +#11681=AXIS2_PLACEMENT_3D('',#17950,#14529,#14530); +#11682=AXIS2_PLACEMENT_3D('',#17954,#14532,#14533); +#11683=AXIS2_PLACEMENT_3D('',#17958,#14535,#14536); +#11684=AXIS2_PLACEMENT_3D('',#17959,#14537,#14538); +#11685=AXIS2_PLACEMENT_3D('',#17960,#14539,#14540); +#11686=AXIS2_PLACEMENT_3D('',#17961,#14541,#14542); +#11687=AXIS2_PLACEMENT_3D('',#17962,#14543,#14544); +#11688=AXIS2_PLACEMENT_3D('',#17963,#14545,#14546); +#11689=AXIS2_PLACEMENT_3D('',#17964,#14547,#14548); +#11690=AXIS2_PLACEMENT_3D('',#17965,#14549,#14550); +#11691=AXIS2_PLACEMENT_3D('',#17966,#14551,#14552); +#11692=AXIS2_PLACEMENT_3D('',#17967,#14553,#14554); +#11693=AXIS2_PLACEMENT_3D('',#17968,#14555,#14556); +#11694=AXIS2_PLACEMENT_3D('',#17969,#14557,#14558); +#11695=AXIS2_PLACEMENT_3D('',#17970,#14559,#14560); +#11696=AXIS2_PLACEMENT_3D('',#17971,#14561,#14562); +#11697=AXIS2_PLACEMENT_3D('',#17972,#14563,#14564); +#11698=AXIS2_PLACEMENT_3D('',#17973,#14565,#14566); +#11699=AXIS2_PLACEMENT_3D('',#17974,#14567,#14568); +#11700=AXIS2_PLACEMENT_3D('',#17975,#14569,#14570); +#11701=AXIS2_PLACEMENT_3D('',#17976,#14571,#14572); +#11702=AXIS2_PLACEMENT_3D('',#17977,#14573,#14574); +#11703=AXIS2_PLACEMENT_3D('',#17978,#14575,#14576); +#11704=AXIS2_PLACEMENT_3D('',#17979,#14577,#14578); +#11705=AXIS2_PLACEMENT_3D('',#17980,#14579,#14580); +#11706=AXIS2_PLACEMENT_3D('',#17981,#14581,#14582); +#11707=AXIS2_PLACEMENT_3D('',#17982,#14583,#14584); +#11708=AXIS2_PLACEMENT_3D('',#17983,#14585,#14586); +#11709=AXIS2_PLACEMENT_3D('',#17984,#14587,#14588); +#11710=AXIS2_PLACEMENT_3D('',#17985,#14589,#14590); +#11711=AXIS2_PLACEMENT_3D('',#17986,#14591,#14592); +#11712=AXIS2_PLACEMENT_3D('',#17987,#14593,#14594); +#11713=AXIS2_PLACEMENT_3D('',#17988,#14595,#14596); +#11714=AXIS2_PLACEMENT_3D('',#17989,#14597,#14598); +#11715=AXIS2_PLACEMENT_3D('',#17990,#14599,#14600); +#11716=AXIS2_PLACEMENT_3D('',#17991,#14601,#14602); +#11717=AXIS2_PLACEMENT_3D('',#17994,#14605,#14606); +#11718=AXIS2_PLACEMENT_3D('',#17997,#14609,#14610); +#11719=AXIS2_PLACEMENT_3D('',#17998,#14611,#14612); +#11720=AXIS2_PLACEMENT_3D('',#17999,#14613,#14614); +#11721=AXIS2_PLACEMENT_3D('',#18001,#14616,#14617); +#11722=AXIS2_PLACEMENT_3D('',#18022,#14620,#14621); +#11723=AXIS2_PLACEMENT_3D('',#18023,#14622,#14623); +#11724=AXIS2_PLACEMENT_3D('',#18031,#14627,#14628); +#11725=AXIS2_PLACEMENT_3D('',#18032,#14629,#14630); +#11726=AXIS2_PLACEMENT_3D('',#18033,#14631,#14632); +#11727=AXIS2_PLACEMENT_3D('',#18041,#14636,#14637); +#11728=AXIS2_PLACEMENT_3D('',#18042,#14638,#14639); +#11729=AXIS2_PLACEMENT_3D('',#18044,#14641,#14642); +#11730=AXIS2_PLACEMENT_3D('',#18046,#14644,#14645); +#11731=AXIS2_PLACEMENT_3D('',#18047,#14646,#14647); +#11732=AXIS2_PLACEMENT_3D('',#18052,#14649,#14650); +#11733=AXIS2_PLACEMENT_3D('',#18055,#14652,#14653); +#11734=AXIS2_PLACEMENT_3D('',#18056,#14654,#14655); +#11735=AXIS2_PLACEMENT_3D('',#18061,#14657,#14658); +#11736=AXIS2_PLACEMENT_3D('',#18064,#14660,#14661); +#11737=AXIS2_PLACEMENT_3D('',#18070,#14665,#14666); +#11738=AXIS2_PLACEMENT_3D('',#18071,#14667,#14668); +#11739=AXIS2_PLACEMENT_3D('',#18072,#14669,#14670); +#11740=AXIS2_PLACEMENT_3D('',#18073,#14671,#14672); +#11741=AXIS2_PLACEMENT_3D('',#18074,#14673,#14674); +#11742=AXIS2_PLACEMENT_3D('',#18078,#14676,#14677); +#11743=AXIS2_PLACEMENT_3D('',#18079,#14678,#14679); +#11744=AXIS2_PLACEMENT_3D('',#18082,#14682,#14683); +#11745=AXIS2_PLACEMENT_3D('',#18088,#14687,#14688); +#11746=AXIS2_PLACEMENT_3D('',#18094,#14692,#14693); +#11747=AXIS2_PLACEMENT_3D('',#18095,#14694,#14695); +#11748=AXIS2_PLACEMENT_3D('',#18099,#14697,#14698); +#11749=AXIS2_PLACEMENT_3D('',#18100,#14699,#14700); +#11750=AXIS2_PLACEMENT_3D('',#18101,#14701,#14702); +#11751=AXIS2_PLACEMENT_3D('',#18102,#14703,#14704); +#11752=AXIS2_PLACEMENT_3D('',#18119,#14705,#14706); +#11753=AXIS2_PLACEMENT_3D('',#18120,#14707,#14708); +#11754=AXIS2_PLACEMENT_3D('',#18121,#14709,#14710); +#11755=AXIS2_PLACEMENT_3D('',#18122,#14711,#14712); +#11756=AXIS2_PLACEMENT_3D('',#18123,#14713,#14714); +#11757=AXIS2_PLACEMENT_3D('',#18124,#14715,#14716); +#11758=AXIS2_PLACEMENT_3D('',#18125,#14717,#14718); +#11759=DIRECTION('',(0.,0.,1.)); +#11760=DIRECTION('',(1.,0.,0.)); +#11761=DIRECTION('',(0.,0.,-1.)); +#11762=DIRECTION('',(-1.,0.,0.)); +#11763=DIRECTION('',(-1.,0.,0.)); +#11764=DIRECTION('',(0.,1.,0.)); +#11765=DIRECTION('',(-1.,0.,0.)); +#11766=DIRECTION('',(0.,1.,0.)); +#11767=DIRECTION('',(-1.,0.,0.)); +#11768=DIRECTION('',(0.,1.,0.)); +#11769=DIRECTION('',(1.,0.,0.)); +#11770=DIRECTION('',(0.,1.,0.)); +#11771=DIRECTION('',(-1.,0.,0.)); +#11772=DIRECTION('',(0.,1.,0.)); +#11773=DIRECTION('',(1.,0.,0.)); +#11774=DIRECTION('',(0.,1.,0.)); +#11775=DIRECTION('',(0.,0.,-1.)); +#11776=DIRECTION('',(-1.,0.,0.)); +#11777=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#11778=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11779=DIRECTION('',(0.,1.,0.)); +#11780=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11781=DIRECTION('',(0.,0.,-1.)); +#11782=DIRECTION('',(-1.,0.,0.)); +#11783=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11784=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#11785=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11786=DIRECTION('',(0.,1.,0.)); +#11787=DIRECTION('',(0.,-1.,-1.23908819712629E-16)); +#11788=DIRECTION('',(0.,1.23908819712629E-16,-1.)); +#11789=DIRECTION('',(0.,-1.23908819712629E-16,1.)); +#11790=DIRECTION('',(1.,0.,0.)); +#11791=DIRECTION('',(0.,-1.23908819712629E-16,1.)); +#11792=DIRECTION('',(-1.,0.,0.)); +#11793=DIRECTION('',(-3.66284517731589E-18,1.,0.)); +#11794=DIRECTION('',(-1.,-3.66284517731589E-18,0.)); +#11795=DIRECTION('',(0.,0.,1.)); +#11796=DIRECTION('',(-1.,0.,0.)); +#11797=DIRECTION('',(-2.71050543121361E-15,0.,-1.)); +#11798=DIRECTION('',(0.,0.,-1.)); +#11799=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11800=DIRECTION('',(0.,0.,-1.)); +#11801=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11802=DIRECTION('',(0.,0.,-1.)); +#11803=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11804=DIRECTION('',(0.,0.,-1.)); +#11805=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11806=DIRECTION('',(0.,0.,1.)); +#11807=DIRECTION('',(-1.,-3.66284517731589E-18,0.)); +#11808=DIRECTION('',(0.,0.,1.)); +#11809=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11810=DIRECTION('',(0.,0.,-1.)); +#11811=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11812=DIRECTION('',(0.,0.,-1.)); +#11813=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11814=DIRECTION('',(0.,0.,-1.)); +#11815=DIRECTION('',(1.,3.66284517731589E-18,0.)); +#11816=DIRECTION('',(0.,0.,-1.)); +#11817=DIRECTION('',(0.,0.,-1.)); +#11818=DIRECTION('',(-1.,0.,0.)); +#11819=DIRECTION('',(0.,1.,0.)); +#11820=DIRECTION('',(0.,1.,0.)); +#11821=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11822=DIRECTION('',(0.,0.,-1.)); +#11823=DIRECTION('',(-1.,0.,0.)); +#11824=DIRECTION('',(0.,1.,0.)); +#11825=DIRECTION('',(0.,1.,0.)); +#11826=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11827=DIRECTION('',(0.,-1.,0.)); +#11828=DIRECTION('',(0.,0.,-1.)); +#11829=DIRECTION('',(0.,0.,1.)); +#11830=DIRECTION('',(0.,-1.,0.)); +#11831=DIRECTION('',(0.,0.,-1.)); +#11832=DIRECTION('',(-1.,0.,0.)); +#11833=DIRECTION('',(0.,0.,1.)); +#11834=DIRECTION('',(1.,-2.25875452601147E-16,0.)); +#11835=DIRECTION('',(0.,0.,-1.)); +#11836=DIRECTION('',(-1.,0.,0.)); +#11837=DIRECTION('',(0.,-1.,0.)); +#11838=DIRECTION('',(0.,0.,-1.)); +#11839=DIRECTION('',(0.,-1.,0.)); +#11840=DIRECTION('',(0.,0.,-1.)); +#11841=DIRECTION('',(-1.,0.,0.)); +#11842=DIRECTION('',(0.,-1.,0.)); +#11843=DIRECTION('',(0.,0.,-1.)); +#11844=DIRECTION('',(0.,0.,1.)); +#11845=DIRECTION('',(0.,-1.,0.)); +#11846=DIRECTION('',(0.,0.,-1.)); +#11847=DIRECTION('',(-1.,0.,0.)); +#11848=DIRECTION('',(0.,0.,-1.)); +#11849=DIRECTION('',(1.,2.25875452601147E-16,0.)); +#11850=DIRECTION('',(0.,0.,1.)); +#11851=DIRECTION('',(0.,0.,-1.)); +#11852=DIRECTION('',(-1.,0.,0.)); +#11853=DIRECTION('',(0.,1.,0.)); +#11854=DIRECTION('',(0.,1.,0.)); +#11855=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11856=DIRECTION('',(0.,0.,-1.)); +#11857=DIRECTION('',(-1.,0.,0.)); +#11858=DIRECTION('',(0.,1.,0.)); +#11859=DIRECTION('',(-1.80700362080917E-16,-1.,0.)); +#11860=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#11861=DIRECTION('',(0.,0.,1.)); +#11862=DIRECTION('',(1.,0.,0.)); +#11863=DIRECTION('',(-3.09772049281587E-16,1.,0.)); +#11864=DIRECTION('',(-3.09772049281587E-16,-1.,0.)); +#11865=DIRECTION('',(1.,1.17211045674109E-16,0.)); +#11866=DIRECTION('',(-1.,0.,0.)); +#11867=DIRECTION('',(0.,0.,1.)); +#11868=DIRECTION('',(0.,1.,0.)); +#11869=DIRECTION('',(0.,0.,-1.)); +#11870=DIRECTION('',(-1.,0.,0.)); +#11871=DIRECTION('',(0.,-1.,0.)); +#11872=DIRECTION('',(0.,1.,0.)); +#11873=DIRECTION('',(0.,0.,1.)); +#11874=DIRECTION('',(0.,0.,-1.)); +#11875=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11876=DIRECTION('',(1.,0.,0.)); +#11877=DIRECTION('',(0.,1.,0.)); +#11878=DIRECTION('',(0.,0.,1.)); +#11879=DIRECTION('',(-1.,0.,0.)); +#11880=DIRECTION('',(0.,0.,1.)); +#11881=DIRECTION('',(0.,1.,0.)); +#11882=DIRECTION('',(0.,0.,1.)); +#11883=DIRECTION('',(1.,0.,0.)); +#11884=DIRECTION('',(0.,1.,0.)); +#11885=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11886=DIRECTION('',(0.,0.,-1.)); +#11887=DIRECTION('',(0.,0.,1.)); +#11888=DIRECTION('',(0.,1.,0.)); +#11889=DIRECTION('',(-1.,0.,0.)); +#11890=DIRECTION('',(0.,-1.,0.)); +#11891=DIRECTION('',(0.,0.,-1.)); +#11892=DIRECTION('',(0.,0.,-1.)); +#11893=DIRECTION('',(-1.,0.,0.)); +#11894=DIRECTION('',(1.,0.,0.)); +#11895=DIRECTION('',(-1.,0.,0.)); +#11896=DIRECTION('',(0.,0.,1.)); +#11897=DIRECTION('',(0.,1.,0.)); +#11898=DIRECTION('',(0.,0.,-1.)); +#11899=DIRECTION('',(-1.,0.,0.)); +#11900=DIRECTION('',(0.,-1.,0.)); +#11901=DIRECTION('',(0.,1.,0.)); +#11902=DIRECTION('',(0.,0.,1.)); +#11903=DIRECTION('',(0.,0.,-1.)); +#11904=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11905=DIRECTION('',(1.,0.,0.)); +#11906=DIRECTION('',(0.,1.,0.)); +#11907=DIRECTION('',(0.,0.,1.)); +#11908=DIRECTION('',(-1.,0.,0.)); +#11909=DIRECTION('',(0.,0.,1.)); +#11910=DIRECTION('',(0.,1.,0.)); +#11911=DIRECTION('',(0.,0.,1.)); +#11912=DIRECTION('',(1.,0.,0.)); +#11913=DIRECTION('',(0.,1.,0.)); +#11914=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11915=DIRECTION('',(0.,0.,-1.)); +#11916=DIRECTION('',(0.,0.,1.)); +#11917=DIRECTION('',(0.,1.,0.)); +#11918=DIRECTION('',(-1.,0.,0.)); +#11919=DIRECTION('',(0.,-1.,0.)); +#11920=DIRECTION('',(0.,0.,-1.)); +#11921=DIRECTION('',(0.,0.,-1.)); +#11922=DIRECTION('',(-1.,0.,0.)); +#11923=DIRECTION('',(1.,0.,0.)); +#11924=DIRECTION('',(-1.,0.,0.)); +#11925=DIRECTION('',(0.,0.,1.)); +#11926=DIRECTION('',(0.,1.,0.)); +#11927=DIRECTION('',(0.,0.,-1.)); +#11928=DIRECTION('',(-1.,0.,0.)); +#11929=DIRECTION('',(0.,-1.,0.)); +#11930=DIRECTION('',(0.,1.,0.)); +#11931=DIRECTION('',(0.,0.,1.)); +#11932=DIRECTION('',(0.,1.,0.)); +#11933=DIRECTION('',(0.,0.,-1.)); +#11934=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11935=DIRECTION('',(1.,0.,0.)); +#11936=DIRECTION('',(0.,1.,0.)); +#11937=DIRECTION('',(0.,0.,1.)); +#11938=DIRECTION('',(-1.,0.,0.)); +#11939=DIRECTION('',(0.,0.,1.)); +#11940=DIRECTION('',(0.,1.,0.)); +#11941=DIRECTION('',(0.,0.,1.)); +#11942=DIRECTION('',(1.,0.,0.)); +#11943=DIRECTION('',(0.,1.,0.)); +#11944=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11945=DIRECTION('',(0.,0.,-1.)); +#11946=DIRECTION('',(0.,1.,0.)); +#11947=DIRECTION('',(0.,0.,1.)); +#11948=DIRECTION('',(0.,1.,0.)); +#11949=DIRECTION('',(-1.,0.,0.)); +#11950=DIRECTION('',(0.,-1.,0.)); +#11951=DIRECTION('',(0.,0.,-1.)); +#11952=DIRECTION('',(0.,0.,-1.)); +#11953=DIRECTION('',(-1.,0.,0.)); +#11954=DIRECTION('',(1.,0.,0.)); +#11955=DIRECTION('',(-1.,0.,0.)); +#11956=DIRECTION('',(0.,0.,1.)); +#11957=DIRECTION('',(0.,1.,0.)); +#11958=DIRECTION('',(0.,0.,-1.)); +#11959=DIRECTION('',(-1.,0.,0.)); +#11960=DIRECTION('',(0.,-1.,0.)); +#11961=DIRECTION('',(0.,1.,0.)); +#11962=DIRECTION('',(0.,0.,1.)); +#11963=DIRECTION('',(0.,1.,0.)); +#11964=DIRECTION('',(0.,0.,-1.)); +#11965=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11966=DIRECTION('',(1.,0.,0.)); +#11967=DIRECTION('',(0.,1.,0.)); +#11968=DIRECTION('',(0.,0.,1.)); +#11969=DIRECTION('',(-1.,0.,0.)); +#11970=DIRECTION('',(0.,0.,1.)); +#11971=DIRECTION('',(0.,1.,0.)); +#11972=DIRECTION('',(0.,0.,1.)); +#11973=DIRECTION('',(1.,0.,0.)); +#11974=DIRECTION('',(0.,1.,0.)); +#11975=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#11976=DIRECTION('',(0.,0.,-1.)); +#11977=DIRECTION('',(0.,1.,0.)); +#11978=DIRECTION('',(0.,0.,1.)); +#11979=DIRECTION('',(0.,1.,0.)); +#11980=DIRECTION('',(-1.,0.,0.)); +#11981=DIRECTION('',(0.,-1.,0.)); +#11982=DIRECTION('',(0.,0.,-1.)); +#11983=DIRECTION('',(0.,0.,-1.)); +#11984=DIRECTION('',(-1.,0.,0.)); +#11985=DIRECTION('',(1.,0.,0.)); +#11986=DIRECTION('',(0.,0.,1.)); +#11987=DIRECTION('',(1.,0.,0.)); +#11988=DIRECTION('',(1.,4.33680868994202E-16,0.)); +#11989=DIRECTION('',(1.62630325872826E-16,-1.,0.)); +#11990=DIRECTION('',(-1.,0.,0.)); +#11991=DIRECTION('',(-5.42101086242752E-17,1.,0.)); +#11992=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#11993=DIRECTION('',(0.,-1.,0.)); +#11994=DIRECTION('',(-1.,0.,0.)); +#11995=DIRECTION('',(0.,1.,0.)); +#11996=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#11997=DIRECTION('',(0.,-1.,0.)); +#11998=DIRECTION('',(-1.,0.,0.)); +#11999=DIRECTION('',(0.,1.,0.)); +#12000=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12001=DIRECTION('',(0.,-1.,0.)); +#12002=DIRECTION('',(-1.,0.,0.)); +#12003=DIRECTION('',(0.,1.,0.)); +#12004=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12005=DIRECTION('',(0.,-1.,0.)); +#12006=DIRECTION('',(-1.,0.,0.)); +#12007=DIRECTION('',(0.,1.,0.)); +#12008=DIRECTION('',(1.,0.,0.)); +#12009=DIRECTION('',(0.,1.,0.)); +#12010=DIRECTION('',(1.,0.,0.)); +#12011=DIRECTION('',(0.,1.,0.)); +#12012=DIRECTION('',(-1.,0.,0.)); +#12013=DIRECTION('',(0.,-1.,0.)); +#12014=DIRECTION('',(-1.,0.,0.)); +#12015=DIRECTION('',(0.,1.,0.)); +#12016=DIRECTION('',(-1.,0.,0.)); +#12017=DIRECTION('',(0.,-1.,0.)); +#12018=DIRECTION('',(1.,0.,0.)); +#12019=DIRECTION('',(0.,1.,0.)); +#12020=DIRECTION('',(1.,0.,0.)); +#12021=DIRECTION('',(0.,-1.,0.)); +#12022=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12023=DIRECTION('',(0.,1.,0.)); +#12024=DIRECTION('',(1.,0.,0.)); +#12025=DIRECTION('',(0.,-1.,0.)); +#12026=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12027=DIRECTION('',(0.,1.,0.)); +#12028=DIRECTION('',(1.,0.,0.)); +#12029=DIRECTION('',(0.,-1.,0.)); +#12030=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12031=DIRECTION('',(0.,1.,0.)); +#12032=DIRECTION('',(1.,0.,0.)); +#12033=DIRECTION('',(0.,-1.,0.)); +#12034=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12035=DIRECTION('',(0.,1.,0.)); +#12036=DIRECTION('',(1.,0.,0.)); +#12037=DIRECTION('',(1.62630325872826E-16,-1.,0.)); +#12038=DIRECTION('',(-1.,-2.16840434497101E-16,0.)); +#12039=DIRECTION('',(-5.42101086242752E-17,1.,0.)); +#12040=DIRECTION('',(0.,3.74752510004907E-16,-1.)); +#12041=DIRECTION('',(0.,1.,3.74752510004907E-16)); +#12042=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12043=DIRECTION('',(1.,2.16840434497101E-16,8.12614970983433E-32)); +#12044=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12045=DIRECTION('',(-1.,-4.33680868994202E-16,-1.62522994196687E-31)); +#12046=DIRECTION('',(0.,3.74752510004907E-16,-1.)); +#12047=DIRECTION('',(0.,1.,3.74752510004907E-16)); +#12048=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12049=DIRECTION('',(-1.,0.,0.)); +#12050=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12051=DIRECTION('',(-1.,0.,0.)); +#12052=DIRECTION('',(0.,3.74752510004907E-16,-1.)); +#12053=DIRECTION('',(0.,1.,3.74752510004907E-16)); +#12054=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12055=DIRECTION('',(1.,0.,0.)); +#12056=DIRECTION('',(0.,-1.,-3.74752510004907E-16)); +#12057=DIRECTION('',(-1.,0.,0.)); +#12058=DIRECTION('',(0.,-1.,0.)); +#12059=DIRECTION('',(1.,0.,1.35525271560688E-16)); +#12060=DIRECTION('',(0.,-1.,0.)); +#12061=DIRECTION('',(-1.,0.,0.)); +#12062=DIRECTION('',(0.,1.,0.)); +#12063=DIRECTION('',(0.,0.,1.)); +#12064=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12065=DIRECTION('',(-1.,0.,0.)); +#12066=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12067=DIRECTION('',(1.,0.,0.)); +#12068=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12069=DIRECTION('',(-1.,0.,0.)); +#12070=DIRECTION('',(0.,0.,1.)); +#12071=DIRECTION('',(1.80700362080917E-16,0.,-1.)); +#12072=DIRECTION('',(-1.,0.,0.)); +#12073=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12074=DIRECTION('',(1.,0.,0.)); +#12075=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12076=DIRECTION('',(-1.,0.,0.)); +#12077=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12078=DIRECTION('',(1.,0.,0.)); +#12079=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12080=DIRECTION('',(-1.,0.,0.)); +#12081=DIRECTION('',(0.,0.,-1.)); +#12082=DIRECTION('',(1.,0.,0.)); +#12083=DIRECTION('',(0.,0.,1.)); +#12084=DIRECTION('',(-1.,0.,0.)); +#12085=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12086=DIRECTION('',(1.,0.,0.)); +#12087=DIRECTION('',(0.,1.,2.59434091273318E-16)); +#12088=DIRECTION('',(0.,-2.59434091273318E-16,1.)); +#12089=DIRECTION('',(0.,2.59434091273318E-16,-1.)); +#12090=DIRECTION('',(1.,0.,0.)); +#12091=DIRECTION('',(-1.80700362080917E-16,-2.59434091273318E-16,1.)); +#12092=DIRECTION('',(0.,2.59434091273318E-16,-1.)); +#12093=DIRECTION('',(1.,0.,0.)); +#12094=DIRECTION('',(0.,2.59434091273318E-16,-1.)); +#12095=DIRECTION('',(0.,1.,0.)); +#12096=DIRECTION('',(0.,0.,1.)); +#12097=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); +#12098=DIRECTION('',(0.,1.,0.)); +#12099=DIRECTION('',(0.,0.,1.)); +#12100=DIRECTION('',(1.,0.,0.)); +#12101=DIRECTION('',(0.,1.,0.)); +#12102=DIRECTION('',(0.,0.,1.)); +#12103=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); +#12104=DIRECTION('',(0.,1.,0.)); +#12105=DIRECTION('',(0.,0.,1.)); +#12106=DIRECTION('',(0.,0.,-1.)); +#12107=DIRECTION('',(0.,1.,0.)); +#12108=DIRECTION('',(0.,0.,1.)); +#12109=DIRECTION('',(-1.,0.,0.)); +#12110=DIRECTION('',(0.,0.,1.)); +#12111=DIRECTION('',(0.,1.,0.)); +#12112=DIRECTION('',(0.,0.,1.)); +#12113=DIRECTION('',(0.,0.,-1.)); +#12114=DIRECTION('',(0.,1.,0.)); +#12115=DIRECTION('',(0.,0.,1.)); +#12116=DIRECTION('',(1.,0.,0.)); +#12117=DIRECTION('',(1.,0.,0.)); +#12118=DIRECTION('',(0.,0.,1.)); +#12119=DIRECTION('',(1.,0.,0.)); +#12120=DIRECTION('',(0.,0.,1.)); +#12121=DIRECTION('',(-1.,0.,0.)); +#12122=DIRECTION('',(1.,0.,0.)); +#12123=DIRECTION('',(-1.,0.,0.)); +#12124=DIRECTION('',(1.,0.,0.)); +#12125=DIRECTION('',(-1.,0.,0.)); +#12126=DIRECTION('',(0.,1.,0.)); +#12127=DIRECTION('',(0.,0.,1.)); +#12128=DIRECTION('',(0.,0.,1.)); +#12129=DIRECTION('',(0.,1.,0.)); +#12130=DIRECTION('',(0.,0.,1.)); +#12131=DIRECTION('',(0.,0.,1.)); +#12132=DIRECTION('',(-1.,0.,0.)); +#12133=DIRECTION('',(0.,1.,0.)); +#12134=DIRECTION('',(0.,0.,1.)); +#12135=DIRECTION('',(0.,0.,-1.)); +#12136=DIRECTION('',(0.,1.,0.)); +#12137=DIRECTION('',(0.,0.,1.)); +#12138=DIRECTION('',(0.,0.,-1.)); +#12139=DIRECTION('',(-1.,0.,0.)); +#12140=DIRECTION('',(-1.,0.,0.)); +#12141=DIRECTION('',(0.,1.,0.)); +#12142=DIRECTION('',(1.,0.,0.)); +#12143=DIRECTION('',(1.,0.,0.)); +#12144=DIRECTION('',(0.,1.,0.)); +#12145=DIRECTION('',(0.,-1.,0.)); +#12146=DIRECTION('',(0.,0.,-1.)); +#12147=DIRECTION('',(-1.,0.,0.)); +#12148=DIRECTION('',(0.,1.20802470471924E-16,-1.)); +#12149=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12150=DIRECTION('',(1.,0.,0.)); +#12151=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12152=DIRECTION('',(-1.,-2.71050543121376E-16,-3.27435752318189E-32)); +#12153=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12154=DIRECTION('',(-1.,0.,0.)); +#12155=DIRECTION('',(-1.,0.,0.)); +#12156=DIRECTION('',(0.,1.,0.)); +#12157=DIRECTION('',(0.,1.,0.)); +#12158=DIRECTION('',(-1.,0.,0.)); +#12159=DIRECTION('',(1.,1.35525271560688E-16,1.63717876159095E-32)); +#12160=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12161=DIRECTION('',(-1.,0.,0.)); +#12162=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12163=DIRECTION('',(0.,-1.,0.)); +#12164=DIRECTION('',(0.,0.,-1.)); +#12165=DIRECTION('',(-1.,0.,0.)); +#12166=DIRECTION('',(0.,1.20802470471924E-16,-1.)); +#12167=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12168=DIRECTION('',(1.,0.,0.)); +#12169=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12170=DIRECTION('',(-1.,-2.71050543121376E-16,-3.27435752318189E-32)); +#12171=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12172=DIRECTION('',(-1.,0.,0.)); +#12173=DIRECTION('',(-1.,0.,0.)); +#12174=DIRECTION('',(0.,1.,0.)); +#12175=DIRECTION('',(0.,1.,0.)); +#12176=DIRECTION('',(-1.,0.,0.)); +#12177=DIRECTION('',(1.,1.35525271560688E-16,1.63717876159095E-32)); +#12178=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12179=DIRECTION('',(-1.,0.,0.)); +#12180=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12181=DIRECTION('',(0.,-1.,0.)); +#12182=DIRECTION('',(0.,0.,-1.)); +#12183=DIRECTION('',(-1.,0.,0.)); +#12184=DIRECTION('',(0.,1.20802470471924E-16,-1.)); +#12185=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12186=DIRECTION('',(1.,0.,0.)); +#12187=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12188=DIRECTION('',(-1.,-2.71050543121376E-16,-3.27435752318189E-32)); +#12189=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12190=DIRECTION('',(-1.,0.,0.)); +#12191=DIRECTION('',(-1.,0.,0.)); +#12192=DIRECTION('',(0.,1.,0.)); +#12193=DIRECTION('',(0.,1.,0.)); +#12194=DIRECTION('',(-1.,0.,0.)); +#12195=DIRECTION('',(1.,1.35525271560688E-16,1.63717876159095E-32)); +#12196=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12197=DIRECTION('',(-1.,0.,0.)); +#12198=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12199=DIRECTION('',(0.,-1.,0.)); +#12200=DIRECTION('',(0.,0.,-1.)); +#12201=DIRECTION('',(-1.,0.,0.)); +#12202=DIRECTION('',(0.,1.20802470471924E-16,-1.)); +#12203=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12204=DIRECTION('',(1.,0.,0.)); +#12205=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12206=DIRECTION('',(-1.,-2.71050543121376E-16,-3.27435752318189E-32)); +#12207=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12208=DIRECTION('',(-1.,0.,0.)); +#12209=DIRECTION('',(-1.,0.,0.)); +#12210=DIRECTION('',(0.,1.,0.)); +#12211=DIRECTION('',(0.,1.,0.)); +#12212=DIRECTION('',(-1.,0.,0.)); +#12213=DIRECTION('',(1.,1.35525271560688E-16,1.63717876159095E-32)); +#12214=DIRECTION('',(0.,1.,1.20802470471924E-16)); +#12215=DIRECTION('',(-1.,0.,0.)); +#12216=DIRECTION('',(0.,-1.,-1.20802470471924E-16)); +#12217=DIRECTION('',(0.,0.,1.)); +#12218=DIRECTION('',(1.,0.,0.)); +#12219=DIRECTION('',(0.,0.,1.)); +#12220=DIRECTION('',(1.,0.,0.)); +#12221=DIRECTION('',(0.,0.,1.)); +#12222=DIRECTION('',(-1.,0.,0.)); +#12223=DIRECTION('',(-1.,0.,0.)); +#12224=DIRECTION('',(0.,1.,0.)); +#12225=DIRECTION('',(-1.,0.,0.)); +#12226=DIRECTION('',(0.,1.,0.)); +#12227=DIRECTION('',(-1.,0.,0.)); +#12228=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); +#12229=DIRECTION('',(0.,1.,0.)); +#12230=DIRECTION('',(0.,1.,0.)); +#12231=DIRECTION('',(-1.,1.46513807092636E-17,0.)); +#12232=DIRECTION('',(-1.80700362080917E-16,-1.,0.)); +#12233=DIRECTION('',(0.,1.,0.)); +#12234=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); +#12235=DIRECTION('',(1.,0.,0.)); +#12236=DIRECTION('',(0.,-1.,0.)); +#12237=DIRECTION('',(1.,0.,0.)); +#12238=DIRECTION('',(0.,1.,0.)); +#12239=DIRECTION('',(-1.,0.,0.)); +#12240=DIRECTION('',(-0.624695047554425,0.78086880944303,0.)); +#12241=DIRECTION('',(-1.,0.,0.)); +#12242=DIRECTION('',(-0.624695047554424,-0.780868809443031,0.)); +#12243=DIRECTION('',(1.,0.,0.)); +#12244=DIRECTION('',(0.,0.,-1.)); +#12245=DIRECTION('',(0.,0.,-1.)); +#12246=DIRECTION('',(0.,1.,0.)); +#12247=DIRECTION('',(0.,0.,-1.)); +#12248=DIRECTION('',(0.,1.,0.)); +#12249=DIRECTION('',(0.,-1.,0.)); +#12250=DIRECTION('',(0.,1.,0.)); +#12251=DIRECTION('',(0.,-1.14126544472158E-16,1.)); +#12252=DIRECTION('',(0.,-1.,0.)); +#12253=DIRECTION('',(1.,0.,0.)); +#12254=DIRECTION('',(0.,0.,-1.)); +#12255=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12256=DIRECTION('',(0.,1.,0.)); +#12257=DIRECTION('',(0.,-1.,0.)); +#12258=DIRECTION('',(0.,1.,0.)); +#12259=DIRECTION('',(0.,0.,-1.)); +#12260=DIRECTION('',(0.,1.,0.)); +#12261=DIRECTION('',(0.,0.,1.)); +#12262=DIRECTION('',(0.,1.,0.)); +#12263=DIRECTION('',(0.,0.,-1.)); +#12264=DIRECTION('',(-1.,0.,0.)); +#12265=DIRECTION('',(0.,-1.,0.)); +#12266=DIRECTION('',(0.,-1.,0.)); +#12267=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); +#12268=DIRECTION('',(-1.,0.,0.)); +#12269=DIRECTION('',(1.,1.17211045674109E-16,0.)); +#12270=DIRECTION('',(-1.,0.,0.)); +#12271=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); +#12272=DIRECTION('',(0.,1.,0.)); +#12273=DIRECTION('',(0.,-1.,0.)); +#12274=DIRECTION('',(0.,-1.,0.)); +#12275=DIRECTION('',(-1.,0.,0.)); +#12276=DIRECTION('',(0.,1.,0.)); +#12277=DIRECTION('',(1.,0.,0.)); +#12278=DIRECTION('',(0.,-1.,0.)); +#12279=DIRECTION('',(-1.,0.,0.)); +#12280=DIRECTION('',(0.,1.,0.)); +#12281=DIRECTION('',(-1.,3.09772049281587E-16,0.)); +#12282=DIRECTION('',(-3.09772049281587E-16,-1.,0.)); +#12283=DIRECTION('',(-3.09772049281587E-16,-1.,0.)); +#12284=DIRECTION('',(0.,0.,-1.)); +#12285=DIRECTION('',(0.,0.,1.)); +#12286=DIRECTION('',(0.,0.,-1.)); +#12287=DIRECTION('',(1.17211045674109E-16,-1.,0.)); +#12288=DIRECTION('',(1.,1.17211045674109E-16,0.)); +#12289=DIRECTION('',(0.,0.,1.)); +#12290=DIRECTION('',(1.,3.09772049281587E-16,0.)); +#12291=DIRECTION('',(-3.09772049281587E-16,1.,0.)); +#12292=DIRECTION('',(0.,0.,-1.)); +#12293=DIRECTION('',(-3.09772049281587E-16,1.,0.)); +#12294=DIRECTION('',(0.,0.,-1.)); +#12295=DIRECTION('',(-1.46513807092636E-17,-1.,0.)); +#12296=DIRECTION('',(1.,-1.46513807092636E-17,0.)); +#12297=DIRECTION('',(0.,2.03019835375307E-15,-1.)); +#12298=DIRECTION('',(-1.,0.,0.)); +#12299=DIRECTION('',(-1.,0.,0.)); +#12300=DIRECTION('',(-1.,0.,0.)); +#12301=DIRECTION('',(-1.,0.,0.)); +#12302=DIRECTION('',(0.,2.03019835375307E-15,-1.)); +#12303=DIRECTION('',(-1.,0.,0.)); +#12304=DIRECTION('',(0.,-1.,0.)); +#12305=DIRECTION('',(0.,0.,-1.)); +#12306=DIRECTION('',(0.,-1.,0.)); +#12307=DIRECTION('',(0.,0.,-1.)); +#12308=DIRECTION('',(0.,-1.,0.)); +#12309=DIRECTION('',(0.,0.,-1.)); +#12310=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#12311=DIRECTION('',(0.,-1.,0.)); +#12312=DIRECTION('',(0.,0.,-1.)); +#12313=DIRECTION('',(-1.,0.,0.)); +#12314=DIRECTION('',(0.,0.,-1.)); +#12315=DIRECTION('',(-0.78086880944303,-0.624695047554425,0.)); +#12316=DIRECTION('',(0.624695047554425,-0.78086880944303,0.)); +#12317=DIRECTION('',(-0.624695047554425,0.78086880944303,0.)); +#12318=DIRECTION('',(0.,0.,-1.)); +#12319=DIRECTION('',(0.,-1.,0.)); +#12320=DIRECTION('',(0.,0.,-1.)); +#12321=DIRECTION('',(-1.,0.,0.)); +#12322=DIRECTION('',(0.,0.,-1.)); +#12323=DIRECTION('',(0.780868809443031,-0.624695047554424,0.)); +#12324=DIRECTION('',(0.624695047554424,0.780868809443031,0.)); +#12325=DIRECTION('',(-0.624695047554424,-0.780868809443031,0.)); +#12326=DIRECTION('',(0.,0.,-1.)); +#12327=DIRECTION('',(0.,-1.,0.)); +#12328=DIRECTION('',(0.,0.,-1.)); +#12329=DIRECTION('',(0.,-1.,0.)); +#12330=DIRECTION('',(0.,0.,-1.)); +#12331=DIRECTION('',(-1.,0.,0.)); +#12332=DIRECTION('',(0.,-1.,0.)); +#12333=DIRECTION('',(0.,0.,-1.)); +#12334=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); +#12335=DIRECTION('',(0.,-1.,0.)); +#12336=DIRECTION('',(0.,0.,-1.)); +#12337=DIRECTION('',(0.,0.,1.)); +#12338=DIRECTION('',(1.,0.,0.)); +#12339=DIRECTION('',(0.,-1.,0.)); +#12340=DIRECTION('',(0.,-1.,0.)); +#12341=DIRECTION('',(1.,0.,0.)); +#12342=DIRECTION('',(0.,0.,-1.)); +#12343=DIRECTION('',(0.,0.,1.)); +#12344=DIRECTION('',(0.,1.,0.)); +#12345=DIRECTION('',(0.,0.,1.)); +#12346=DIRECTION('',(0.,0.,1.)); +#12347=DIRECTION('',(-1.,0.,0.)); +#12348=DIRECTION('',(0.,0.,1.)); +#12349=DIRECTION('',(0.,0.707106781186548,0.707106781186547)); +#12350=DIRECTION('',(1.,0.,0.)); +#12351=DIRECTION('',(0.,0.,-1.)); +#12352=DIRECTION('',(0.,-1.,0.)); +#12353=DIRECTION('',(0.,0.,-1.)); +#12354=DIRECTION('',(0.,-1.,0.)); +#12355=DIRECTION('',(0.,0.,-1.)); +#12356=DIRECTION('',(0.,0.,1.)); +#12357=DIRECTION('',(-1.,0.,0.)); +#12358=DIRECTION('',(0.,0.,-1.)); +#12359=DIRECTION('',(-1.,0.,0.)); +#12360=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#12361=DIRECTION('',(0.,1.,0.)); +#12362=DIRECTION('',(0.,0.,1.)); +#12363=DIRECTION('',(0.,1.,0.)); +#12364=DIRECTION('',(0.,0.,1.)); +#12365=DIRECTION('',(0.,0.,1.)); +#12366=DIRECTION('',(1.,0.,0.)); +#12367=DIRECTION('',(0.,0.,-1.)); +#12368=DIRECTION('',(-1.,0.,0.)); +#12369=DIRECTION('',(0.,1.,0.)); +#12370=DIRECTION('',(1.,0.,0.)); +#12371=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); +#12372=DIRECTION('',(0.,-1.,-1.14126544472158E-16)); +#12373=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12374=DIRECTION('',(0.,-1.14126544472158E-16,1.)); +#12375=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12376=DIRECTION('',(-1.,0.,0.)); +#12377=DIRECTION('',(0.,0.,1.)); +#12378=DIRECTION('',(0.,0.,1.)); +#12379=DIRECTION('',(1.,0.,0.)); +#12380=DIRECTION('',(0.,0.,1.)); +#12381=DIRECTION('',(1.,0.,0.)); +#12382=DIRECTION('',(0.,0.,1.)); +#12383=DIRECTION('',(1.,0.,0.)); +#12384=DIRECTION('',(0.,0.,1.)); +#12385=DIRECTION('',(1.,0.,0.)); +#12386=DIRECTION('',(0.,0.,1.)); +#12387=DIRECTION('',(1.,0.,0.)); +#12388=DIRECTION('',(0.707106781186547,0.,-0.707106781186547)); +#12389=DIRECTION('',(0.,-1.,0.)); +#12390=DIRECTION('',(0.,-1.,0.)); +#12391=DIRECTION('',(0.,1.,0.)); +#12392=DIRECTION('',(-1.,0.,0.)); +#12393=DIRECTION('',(0.,0.,1.)); +#12394=DIRECTION('',(0.,0.,1.)); +#12395=DIRECTION('',(1.,0.,0.)); +#12396=DIRECTION('',(0.,0.,-1.)); +#12397=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); +#12398=DIRECTION('',(0.,0.,1.)); +#12399=DIRECTION('',(0.,1.,0.)); +#12400=DIRECTION('',(0.,0.,1.)); +#12401=DIRECTION('',(0.,-1.,0.)); +#12402=DIRECTION('',(0.,0.,-1.)); +#12403=DIRECTION('',(-1.,0.,0.)); +#12404=DIRECTION('',(0.,0.,-1.)); +#12405=DIRECTION('',(-1.,0.,0.)); +#12406=DIRECTION('',(0.,0.,1.)); +#12407=DIRECTION('',(0.,0.,1.)); +#12408=DIRECTION('',(0.,1.,0.)); +#12409=DIRECTION('',(0.,0.,-1.)); +#12410=DIRECTION('',(-1.,0.,0.)); +#12411=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); +#12412=DIRECTION('',(0.,1.,0.)); +#12413=DIRECTION('',(0.,0.,1.)); +#12414=DIRECTION('',(0.,1.,0.)); +#12415=DIRECTION('',(0.,0.,1.)); +#12416=DIRECTION('',(-1.,0.,0.)); +#12417=DIRECTION('',(0.,0.,-1.)); +#12418=DIRECTION('',(0.,-1.,-1.14126544472158E-16)); +#12419=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12420=DIRECTION('',(-1.,0.,0.)); +#12421=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12422=DIRECTION('',(0.,-1.14126544472158E-16,1.)); +#12423=DIRECTION('',(0.,0.,-1.)); +#12424=DIRECTION('',(-1.,0.,0.)); +#12425=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); +#12426=DIRECTION('',(0.,-1.,0.)); +#12427=DIRECTION('',(1.,0.,0.)); +#12428=DIRECTION('',(0.,0.,-1.)); +#12429=DIRECTION('',(0.,0.,1.)); +#12430=DIRECTION('',(1.,0.,0.)); +#12431=DIRECTION('',(0.,0.,1.)); +#12432=DIRECTION('',(1.,0.,0.)); +#12433=DIRECTION('',(0.,0.,1.)); +#12434=DIRECTION('',(1.,0.,0.)); +#12435=DIRECTION('',(0.,0.,1.)); +#12436=DIRECTION('',(1.,0.,0.)); +#12437=DIRECTION('',(0.,0.,1.)); +#12438=DIRECTION('',(1.,0.,0.)); +#12439=DIRECTION('',(0.707106781186547,0.,0.707106781186547)); +#12440=DIRECTION('',(0.,-1.,0.)); +#12441=DIRECTION('',(0.,1.,0.)); +#12442=DIRECTION('',(0.,-1.,0.)); +#12443=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); +#12444=DIRECTION('',(-1.,0.,0.)); +#12445=DIRECTION('',(-1.,0.,0.)); +#12446=DIRECTION('',(0.,0.,1.)); +#12447=DIRECTION('',(0.,1.,0.)); +#12448=DIRECTION('',(0.,0.,1.)); +#12449=DIRECTION('',(0.,1.,0.)); +#12450=DIRECTION('',(1.,0.,0.)); +#12451=DIRECTION('',(0.,0.,-1.)); +#12452=DIRECTION('',(0.,1.,0.)); +#12453=DIRECTION('',(0.,0.,-1.)); +#12454=DIRECTION('',(0.,1.,0.)); +#12455=DIRECTION('',(0.,0.,1.)); +#12456=DIRECTION('',(1.,0.,0.)); +#12457=DIRECTION('',(-1.,0.,0.)); +#12458=DIRECTION('',(0.,1.,0.)); +#12459=DIRECTION('',(0.,0.,1.)); +#12460=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12461=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12462=DIRECTION('',(-1.,0.,0.)); +#12463=DIRECTION('',(-1.,0.,0.)); +#12464=DIRECTION('',(0.,0.,1.)); +#12465=DIRECTION('',(-1.,0.,0.)); +#12466=DIRECTION('',(0.,0.,1.)); +#12467=DIRECTION('',(1.,0.,-1.80700362080917E-16)); +#12468=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12469=DIRECTION('',(0.,1.,0.)); +#12470=DIRECTION('',(0.,0.,-1.)); +#12471=DIRECTION('',(-1.,0.,0.)); +#12472=DIRECTION('',(0.,1.,0.)); +#12473=DIRECTION('',(-1.,0.,0.)); +#12474=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12475=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12476=DIRECTION('',(-1.,0.,0.)); +#12477=DIRECTION('',(0.,0.,1.)); +#12478=DIRECTION('',(0.,0.,1.)); +#12479=DIRECTION('',(0.,0.,1.)); +#12480=DIRECTION('',(0.,1.,0.)); +#12481=DIRECTION('',(0.,0.,1.)); +#12482=DIRECTION('',(0.,0.,1.)); +#12483=DIRECTION('',(1.,0.,0.)); +#12484=DIRECTION('',(0.,0.,-1.)); +#12485=DIRECTION('',(0.,0.,1.)); +#12486=DIRECTION('',(2.71050543121376E-16,-1.,0.)); +#12487=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12488=DIRECTION('',(-1.,0.,0.)); +#12489=DIRECTION('',(0.,0.,1.)); +#12490=DIRECTION('',(0.,0.,1.)); +#12491=DIRECTION('',(0.,0.,1.)); +#12492=DIRECTION('',(-1.35525271560688E-16,1.,0.)); +#12493=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12494=DIRECTION('',(0.,0.,1.)); +#12495=DIRECTION('',(1.,0.,0.)); +#12496=DIRECTION('',(0.,0.,-1.)); +#12497=DIRECTION('',(0.,0.,1.)); +#12498=DIRECTION('',(0.,-1.,0.)); +#12499=DIRECTION('',(0.,0.,-1.)); +#12500=DIRECTION('',(0.,0.,1.)); +#12501=DIRECTION('',(1.,0.,0.)); +#12502=DIRECTION('',(-1.,0.,0.)); +#12503=DIRECTION('',(0.,1.,0.)); +#12504=DIRECTION('',(0.,0.,1.)); +#12505=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12506=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12507=DIRECTION('',(-1.,0.,0.)); +#12508=DIRECTION('',(-1.,0.,0.)); +#12509=DIRECTION('',(0.,0.,1.)); +#12510=DIRECTION('',(-1.,0.,0.)); +#12511=DIRECTION('',(0.,0.,1.)); +#12512=DIRECTION('',(1.,0.,-1.80700362080917E-16)); +#12513=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12514=DIRECTION('',(0.,1.,0.)); +#12515=DIRECTION('',(0.,0.,-1.)); +#12516=DIRECTION('',(-1.,0.,0.)); +#12517=DIRECTION('',(0.,1.,0.)); +#12518=DIRECTION('',(-1.,0.,0.)); +#12519=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12520=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12521=DIRECTION('',(-1.,0.,0.)); +#12522=DIRECTION('',(0.,0.,1.)); +#12523=DIRECTION('',(0.,0.,1.)); +#12524=DIRECTION('',(0.,0.,1.)); +#12525=DIRECTION('',(0.,1.,0.)); +#12526=DIRECTION('',(0.,0.,1.)); +#12527=DIRECTION('',(0.,0.,1.)); +#12528=DIRECTION('',(1.,0.,0.)); +#12529=DIRECTION('',(0.,0.,-1.)); +#12530=DIRECTION('',(0.,0.,1.)); +#12531=DIRECTION('',(2.71050543121376E-16,-1.,0.)); +#12532=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12533=DIRECTION('',(-1.,0.,0.)); +#12534=DIRECTION('',(0.,0.,1.)); +#12535=DIRECTION('',(0.,0.,1.)); +#12536=DIRECTION('',(0.,0.,1.)); +#12537=DIRECTION('',(-1.35525271560688E-16,1.,0.)); +#12538=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12539=DIRECTION('',(0.,0.,1.)); +#12540=DIRECTION('',(1.,0.,0.)); +#12541=DIRECTION('',(0.,0.,-1.)); +#12542=DIRECTION('',(0.,0.,1.)); +#12543=DIRECTION('',(0.,-1.,0.)); +#12544=DIRECTION('',(0.,0.,-1.)); +#12545=DIRECTION('',(0.,0.,1.)); +#12546=DIRECTION('',(1.,0.,0.)); +#12547=DIRECTION('',(-1.,0.,0.)); +#12548=DIRECTION('',(0.,1.,0.)); +#12549=DIRECTION('',(0.,0.,1.)); +#12550=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12551=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12552=DIRECTION('',(-1.,0.,0.)); +#12553=DIRECTION('',(-1.,0.,0.)); +#12554=DIRECTION('',(0.,0.,1.)); +#12555=DIRECTION('',(-1.,0.,0.)); +#12556=DIRECTION('',(0.,0.,1.)); +#12557=DIRECTION('',(1.,0.,-1.80700362080917E-16)); +#12558=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12559=DIRECTION('',(0.,1.,0.)); +#12560=DIRECTION('',(0.,0.,-1.)); +#12561=DIRECTION('',(-1.,0.,0.)); +#12562=DIRECTION('',(0.,1.,0.)); +#12563=DIRECTION('',(-1.,0.,0.)); +#12564=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12565=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12566=DIRECTION('',(-1.,0.,0.)); +#12567=DIRECTION('',(0.,0.,1.)); +#12568=DIRECTION('',(0.,0.,1.)); +#12569=DIRECTION('',(0.,0.,1.)); +#12570=DIRECTION('',(0.,1.,0.)); +#12571=DIRECTION('',(0.,0.,1.)); +#12572=DIRECTION('',(0.,0.,1.)); +#12573=DIRECTION('',(1.,0.,0.)); +#12574=DIRECTION('',(0.,0.,-1.)); +#12575=DIRECTION('',(0.,0.,1.)); +#12576=DIRECTION('',(2.71050543121376E-16,-1.,0.)); +#12577=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12578=DIRECTION('',(-1.,0.,0.)); +#12579=DIRECTION('',(0.,0.,1.)); +#12580=DIRECTION('',(0.,0.,1.)); +#12581=DIRECTION('',(0.,0.,1.)); +#12582=DIRECTION('',(-1.35525271560688E-16,1.,0.)); +#12583=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12584=DIRECTION('',(0.,0.,1.)); +#12585=DIRECTION('',(1.,0.,0.)); +#12586=DIRECTION('',(0.,0.,-1.)); +#12587=DIRECTION('',(0.,0.,1.)); +#12588=DIRECTION('',(0.,-1.,0.)); +#12589=DIRECTION('',(0.,0.,-1.)); +#12590=DIRECTION('',(0.,0.,1.)); +#12591=DIRECTION('',(1.,0.,0.)); +#12592=DIRECTION('',(-1.,0.,0.)); +#12593=DIRECTION('',(0.,1.,0.)); +#12594=DIRECTION('',(0.,0.,1.)); +#12595=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12596=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12597=DIRECTION('',(-1.,0.,0.)); +#12598=DIRECTION('',(-1.,0.,0.)); +#12599=DIRECTION('',(0.,0.,1.)); +#12600=DIRECTION('',(-1.,0.,0.)); +#12601=DIRECTION('',(0.,0.,1.)); +#12602=DIRECTION('',(1.,0.,-1.80700362080917E-16)); +#12603=DIRECTION('',(-1.80700362080917E-16,0.,-1.)); +#12604=DIRECTION('',(0.,1.,0.)); +#12605=DIRECTION('',(0.,0.,-1.)); +#12606=DIRECTION('',(-1.,0.,0.)); +#12607=DIRECTION('',(0.,1.,0.)); +#12608=DIRECTION('',(-1.,0.,0.)); +#12609=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12610=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12611=DIRECTION('',(-1.,0.,0.)); +#12612=DIRECTION('',(0.,0.,1.)); +#12613=DIRECTION('',(0.,0.,1.)); +#12614=DIRECTION('',(0.,0.,1.)); +#12615=DIRECTION('',(0.,1.,0.)); +#12616=DIRECTION('',(0.,0.,1.)); +#12617=DIRECTION('',(0.,0.,1.)); +#12618=DIRECTION('',(1.,0.,0.)); +#12619=DIRECTION('',(0.,0.,-1.)); +#12620=DIRECTION('',(0.,0.,1.)); +#12621=DIRECTION('',(2.71050543121376E-16,-1.,0.)); +#12622=DIRECTION('',(1.,2.71050543121376E-16,0.)); +#12623=DIRECTION('',(-1.,0.,0.)); +#12624=DIRECTION('',(0.,0.,1.)); +#12625=DIRECTION('',(0.,0.,1.)); +#12626=DIRECTION('',(0.,0.,1.)); +#12627=DIRECTION('',(-1.35525271560688E-16,1.,0.)); +#12628=DIRECTION('',(-1.,-1.35525271560688E-16,0.)); +#12629=DIRECTION('',(0.,0.,1.)); +#12630=DIRECTION('',(1.,0.,0.)); +#12631=DIRECTION('',(0.,0.,-1.)); +#12632=DIRECTION('',(0.,0.,1.)); +#12633=DIRECTION('',(0.,-1.,0.)); +#12634=DIRECTION('',(0.,0.,-1.)); +#12635=DIRECTION('',(0.,-1.23908819712629E-16,1.)); +#12636=DIRECTION('',(0.,-1.,-1.23908819712629E-16)); +#12637=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#12638=DIRECTION('',(-1.,0.,0.)); +#12639=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#12640=DIRECTION('',(-1.,0.,0.)); +#12641=DIRECTION('',(0.,0.,1.)); +#12642=DIRECTION('',(-1.,0.,0.)); +#12643=DIRECTION('',(0.,-1.,-1.23908819712629E-16)); +#12644=DIRECTION('',(0.,0.,1.)); +#12645=DIRECTION('',(0.,0.,1.)); +#12646=DIRECTION('',(0.,0.,1.)); +#12647=DIRECTION('',(0.,0.,1.)); +#12648=DIRECTION('',(1.,0.,0.)); +#12649=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#12650=DIRECTION('',(0.,1.,0.)); +#12651=DIRECTION('',(-1.,0.,0.)); +#12652=DIRECTION('',(0.,0.,1.)); +#12653=DIRECTION('',(0.,1.,0.)); +#12654=DIRECTION('',(1.,0.,0.)); +#12655=DIRECTION('',(0.,1.,1.23908819712629E-16)); +#12656=DIRECTION('',(0.,0.,1.)); +#12657=DIRECTION('',(0.,0.,1.)); +#12658=DIRECTION('',(0.,0.,1.)); +#12659=DIRECTION('',(0.,0.,1.)); +#12660=DIRECTION('',(-1.,0.,0.)); +#12661=DIRECTION('',(0.,-1.,-1.23908819712629E-16)); +#12662=DIRECTION('',(-1.,0.,0.)); +#12663=DIRECTION('',(0.,0.,1.)); +#12664=DIRECTION('',(-1.,0.,0.)); +#12665=DIRECTION('',(0.,0.,1.)); +#12666=DIRECTION('',(0.,0.,1.)); +#12667=DIRECTION('',(1.,0.,0.)); +#12668=DIRECTION('',(0.,-1.,0.)); +#12669=DIRECTION('',(-1.,0.,0.)); +#12670=DIRECTION('',(0.,-1.,0.)); +#12671=DIRECTION('',(1.,0.,0.)); +#12672=DIRECTION('',(0.,0.,-1.)); +#12673=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12674=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12675=DIRECTION('',(0.,1.,0.)); +#12676=DIRECTION('',(0.,0.,1.)); +#12677=DIRECTION('',(4.33680868994202E-16,-1.,0.)); +#12678=DIRECTION('',(1.,4.33680868994202E-16,0.)); +#12679=DIRECTION('',(-2.16840434497101E-16,1.,0.)); +#12680=DIRECTION('',(-1.,-2.16840434497101E-16,0.)); +#12681=DIRECTION('',(0.,-1.,0.)); +#12682=DIRECTION('',(0.,0.,-1.)); +#12683=DIRECTION('',(0.,0.,-1.)); +#12684=DIRECTION('',(-1.,0.,0.)); +#12685=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); +#12686=DIRECTION('',(0.,0.,-1.)); +#12687=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); +#12688=DIRECTION('',(0.,0.,-1.)); +#12689=DIRECTION('',(-0.707106781186547,-0.707106781186548,-8.06996535096512E-17)); +#12690=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12691=DIRECTION('',(-0.707106781186547,-0.707106781186548,-8.06996535096512E-17)); +#12692=DIRECTION('',(-0.707106781186548,0.707106781186547,-8.06996535096513E-17)); +#12693=DIRECTION('',(-0.707106781186547,0.707106781186547,8.06996535096512E-17)); +#12694=DIRECTION('',(0.,1.14126544472158E-16,-1.)); +#12695=DIRECTION('',(-0.707106781186547,0.707106781186547,8.06996535096512E-17)); +#12696=DIRECTION('',(-0.707106781186548,-0.707106781186548,8.06996535096512E-17)); +#12697=DIRECTION('',(0.,-1.,0.)); +#12698=DIRECTION('',(0.,0.,-1.)); +#12699=DIRECTION('',(0.,1.,0.)); +#12700=DIRECTION('',(0.,0.,1.)); +#12701=DIRECTION('',(0.,1.,0.)); +#12702=DIRECTION('',(0.,0.,1.)); +#12703=DIRECTION('',(0.,0.,1.)); +#12704=DIRECTION('',(1.,0.,0.)); +#12705=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); +#12706=DIRECTION('',(0.707106781186547,0.,0.707106781186547)); +#12707=DIRECTION('',(0.707106781186547,0.,0.707106781186547)); +#12708=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); +#12709=DIRECTION('',(1.,0.,0.)); +#12710=DIRECTION('',(0.,0.,-1.)); +#12711=DIRECTION('',(0.707106781186547,0.,-0.707106781186547)); +#12712=DIRECTION('',(0.707106781186547,0.,0.707106781186547)); +#12713=DIRECTION('',(-1.,0.,0.)); +#12714=DIRECTION('',(0.,0.,1.)); +#12715=DIRECTION('',(-0.707106781186547,0.,-0.707106781186547)); +#12716=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); +#12717=DIRECTION('',(0.,0.,-1.)); +#12718=DIRECTION('',(-1.,0.,0.)); +#12719=DIRECTION('',(0.,-1.,0.)); +#12720=DIRECTION('',(0.,0.,-1.)); +#12721=DIRECTION('',(0.,1.,0.)); +#12722=DIRECTION('',(0.,0.,1.)); +#12723=DIRECTION('',(0.,1.,0.)); +#12724=DIRECTION('',(0.,0.,1.)); +#12725=DIRECTION('',(0.,-1.,0.)); +#12726=DIRECTION('',(0.,0.,-1.)); +#12727=DIRECTION('',(0.,-1.,0.)); +#12728=DIRECTION('',(0.,0.,-1.)); +#12729=DIRECTION('',(0.,1.,0.)); +#12730=DIRECTION('',(0.,0.,1.)); +#12731=DIRECTION('',(0.,1.,0.)); +#12732=DIRECTION('',(0.,0.,1.)); +#12733=DIRECTION('',(0.,1.,0.)); +#12734=DIRECTION('',(0.,0.,1.)); +#12735=DIRECTION('',(0.,1.,0.)); +#12736=DIRECTION('',(0.,0.,1.)); +#12737=DIRECTION('',(0.,1.,0.)); +#12738=DIRECTION('',(0.,0.,1.)); +#12739=DIRECTION('',(0.,1.,0.)); +#12740=DIRECTION('',(0.,0.,1.)); +#12741=DIRECTION('',(0.,0.,1.)); +#12742=DIRECTION('',(1.,0.,0.)); +#12743=DIRECTION('',(0.,-1.,0.)); +#12744=DIRECTION('',(0.,0.,-1.)); +#12745=DIRECTION('',(0.,0.,1.)); +#12746=DIRECTION('',(1.,0.,0.)); +#12747=DIRECTION('',(0.,0.,1.)); +#12748=DIRECTION('',(-1.,0.,0.)); +#12749=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12750=DIRECTION('',(1.,0.,2.03287907341032E-16)); +#12751=DIRECTION('',(1.80700362080917E-16,0.,-1.)); +#12752=DIRECTION('',(-1.,0.,0.)); +#12753=DIRECTION('',(0.,3.02006176179806E-17,1.)); +#12754=DIRECTION('',(0.,-1.,3.02006176179806E-17)); +#12755=DIRECTION('',(0.,1.,-3.02006176179806E-17)); +#12756=DIRECTION('',(0.,1.,0.)); +#12757=DIRECTION('',(-1.,0.,-2.03287907341032E-16)); +#12758=DIRECTION('',(0.,1.,0.)); +#12759=DIRECTION('',(0.,1.,-3.02006176179806E-17)); +#12760=DIRECTION('',(-1.,0.,0.)); +#12761=DIRECTION('',(-1.,0.,0.)); +#12762=DIRECTION('',(0.,0.,1.)); +#12763=DIRECTION('',(-1.,0.,0.)); +#12764=DIRECTION('',(0.,-1.,0.)); +#12765=DIRECTION('',(-1.,0.,0.)); +#12766=DIRECTION('',(0.,-1.,0.)); +#12767=DIRECTION('',(-1.,0.,0.)); +#12768=DIRECTION('',(0.,1.,0.)); +#12769=DIRECTION('',(0.,0.,1.)); +#12770=DIRECTION('',(0.,0.,-1.)); +#12771=DIRECTION('',(0.,0.,-1.)); +#12772=DIRECTION('',(-1.,0.,0.)); +#12773=DIRECTION('',(-1.,0.,0.)); +#12774=DIRECTION('',(0.,0.,1.)); +#12775=DIRECTION('',(1.,0.,0.)); +#12776=DIRECTION('',(0.,1.,0.)); +#12777=DIRECTION('',(1.,0.,0.)); +#12778=DIRECTION('',(0.,1.,0.)); +#12779=DIRECTION('',(-1.,0.,0.)); +#12780=DIRECTION('',(0.,0.,1.)); +#12781=DIRECTION('',(1.,0.,0.)); +#12782=DIRECTION('',(0.,1.,0.)); +#12783=DIRECTION('',(0.,1.,0.)); +#12784=DIRECTION('',(-1.,0.,0.)); +#12785=DIRECTION('',(0.,1.,0.)); +#12786=DIRECTION('',(0.,0.,1.)); +#12787=DIRECTION('',(0.,0.,-1.)); +#12788=DIRECTION('',(0.,0.,-1.)); +#12789=DIRECTION('',(-1.,0.,0.)); +#12790=DIRECTION('',(0.,0.,-1.)); +#12791=DIRECTION('',(-1.,0.,0.)); +#12792=DIRECTION('',(0.,-1.,0.)); +#12793=DIRECTION('',(0.,-1.,0.)); +#12794=DIRECTION('',(-1.,0.,0.)); +#12795=DIRECTION('',(-1.,0.,0.)); +#12796=DIRECTION('',(0.,0.,1.)); +#12797=DIRECTION('',(-1.,0.,0.)); +#12798=DIRECTION('',(0.,1.,0.)); +#12799=DIRECTION('',(-1.,0.,0.)); +#12800=DIRECTION('',(0.,1.,0.)); +#12801=DIRECTION('',(-1.,0.,0.)); +#12802=DIRECTION('',(0.,-1.,0.)); +#12803=DIRECTION('',(0.,0.,-1.)); +#12804=DIRECTION('',(0.,0.,1.)); +#12805=DIRECTION('',(0.,0.,1.)); +#12806=DIRECTION('',(-1.,0.,0.)); +#12807=DIRECTION('',(-1.,0.,0.)); +#12808=DIRECTION('',(0.,0.,1.)); +#12809=DIRECTION('',(1.,0.,0.)); +#12810=DIRECTION('',(0.,1.,0.)); +#12811=DIRECTION('',(1.,0.,0.)); +#12812=DIRECTION('',(0.,1.,0.)); +#12813=DIRECTION('',(-1.,0.,0.)); +#12814=DIRECTION('',(0.,1.50871517036857E-17,-1.)); +#12815=DIRECTION('',(0.,1.,1.50871517036857E-17)); +#12816=DIRECTION('',(0.,-1.,-1.50871517036857E-17)); +#12817=DIRECTION('',(-1.,0.,0.)); +#12818=DIRECTION('',(0.,-1.,-1.50871517036857E-17)); +#12819=DIRECTION('',(-1.,0.,0.)); +#12820=DIRECTION('',(0.,0.,1.)); +#12821=DIRECTION('',(0.,-0.707106781186546,0.707106781186549)); +#12822=DIRECTION('',(-1.,0.,0.)); +#12823=DIRECTION('',(0.,0.,1.)); +#12824=DIRECTION('',(0.,0.707106781186546,-0.707106781186549)); +#12825=DIRECTION('',(1.,0.,1.80700362080917E-16)); +#12826=DIRECTION('',(1.80700362080917E-16,0.,-1.)); +#12827=DIRECTION('',(0.,1.,0.)); +#12828=DIRECTION('',(1.80700362080917E-16,0.,-1.)); +#12829=DIRECTION('',(-2.03287907341032E-16,0.,1.)); +#12830=DIRECTION('',(1.,0.,2.03287907341032E-16)); +#12831=DIRECTION('',(0.,1.,0.)); +#12832=DIRECTION('',(1.,0.,2.03287907341032E-16)); +#12833=DIRECTION('',(-1.,0.,-1.80700362080917E-16)); +#12834=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12835=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12836=DIRECTION('',(0.,-1.,0.)); +#12837=DIRECTION('',(0.,0.,-1.)); +#12838=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); +#12839=DIRECTION('',(-1.,0.,0.)); +#12840=DIRECTION('',(1.11022302462516E-16,-1.11022302462516E-16,1.)); +#12841=DIRECTION('',(1.,5.55111512312579E-17,-1.11022302462516E-16)); +#12842=DIRECTION('',(1.11022302462516E-16,-1.11022302462516E-16,1.)); +#12843=DIRECTION('',(1.,5.55111512312579E-17,-1.11022302462516E-16)); +#12844=DIRECTION('',(1.11022302462516E-16,-1.11022302462516E-16,1.)); +#12845=DIRECTION('',(1.,5.55111512312579E-17,-1.11022302462516E-16)); +#12846=DIRECTION('',(1.11022302462516E-16,-1.11022302462516E-16,1.)); +#12847=DIRECTION('',(1.,5.55111512312579E-17,-1.11022302462516E-16)); +#12848=DIRECTION('',(0.,-1.,0.)); +#12849=DIRECTION('',(0.,0.,-1.)); +#12850=DIRECTION('',(0.,0.,1.)); +#12851=DIRECTION('',(1.,0.,0.)); +#12852=DIRECTION('',(0.,0.,1.)); +#12853=DIRECTION('',(-1.,0.,0.)); +#12854=DIRECTION('',(-1.80700362080917E-16,-7.2280144832367E-16,1.)); +#12855=DIRECTION('',(1.,-1.46936793852786E-31,2.03287907341032E-16)); +#12856=DIRECTION('',(1.80700362080917E-16,7.2280144832367E-16,-1.)); +#12857=DIRECTION('',(-1.,0.,0.)); +#12858=DIRECTION('',(0.,-2.78715211435862E-17,1.)); +#12859=DIRECTION('',(0.,-1.,-2.78715211435862E-17)); +#12860=DIRECTION('',(0.,1.,2.78715211435862E-17)); +#12861=DIRECTION('',(0.,1.,7.2280144832367E-16)); +#12862=DIRECTION('',(-1.,1.46936793852786E-31,-2.03287907341032E-16)); +#12863=DIRECTION('',(0.,1.,7.2280144832367E-16)); +#12864=DIRECTION('',(0.,1.,2.78715211435862E-17)); +#12865=DIRECTION('',(-1.,0.,0.)); +#12866=DIRECTION('',(-1.,0.,0.)); +#12867=DIRECTION('',(0.,0.,1.)); +#12868=DIRECTION('',(-1.,0.,0.)); +#12869=DIRECTION('',(0.,-1.,0.)); +#12870=DIRECTION('',(-1.,0.,0.)); +#12871=DIRECTION('',(0.,-1.,0.)); +#12872=DIRECTION('',(-1.,0.,0.)); +#12873=DIRECTION('',(0.,1.,0.)); +#12874=DIRECTION('',(0.,0.,1.)); +#12875=DIRECTION('',(0.,0.,-1.)); +#12876=DIRECTION('',(0.,0.,-1.)); +#12877=DIRECTION('',(-1.,0.,0.)); +#12878=DIRECTION('',(-1.,0.,0.)); +#12879=DIRECTION('',(0.,0.,1.)); +#12880=DIRECTION('',(1.,0.,0.)); +#12881=DIRECTION('',(0.,1.,0.)); +#12882=DIRECTION('',(1.,0.,0.)); +#12883=DIRECTION('',(0.,1.,0.)); +#12884=DIRECTION('',(-1.,0.,0.)); +#12885=DIRECTION('',(0.,0.,1.)); +#12886=DIRECTION('',(1.,0.,0.)); +#12887=DIRECTION('',(0.,1.,0.)); +#12888=DIRECTION('',(0.,1.,0.)); +#12889=DIRECTION('',(-1.,0.,0.)); +#12890=DIRECTION('',(0.,1.,0.)); +#12891=DIRECTION('',(0.,0.,1.)); +#12892=DIRECTION('',(0.,0.,-1.)); +#12893=DIRECTION('',(0.,0.,-1.)); +#12894=DIRECTION('',(-1.,0.,0.)); +#12895=DIRECTION('',(0.,0.,-1.)); +#12896=DIRECTION('',(-1.,0.,0.)); +#12897=DIRECTION('',(0.,-1.,0.)); +#12898=DIRECTION('',(0.,-1.,0.)); +#12899=DIRECTION('',(-1.,0.,0.)); +#12900=DIRECTION('',(-1.,0.,0.)); +#12901=DIRECTION('',(0.,0.,1.)); +#12902=DIRECTION('',(-1.,0.,0.)); +#12903=DIRECTION('',(0.,1.,0.)); +#12904=DIRECTION('',(-1.,0.,0.)); +#12905=DIRECTION('',(0.,1.,0.)); +#12906=DIRECTION('',(-1.,0.,0.)); +#12907=DIRECTION('',(0.,-1.,0.)); +#12908=DIRECTION('',(0.,0.,-1.)); +#12909=DIRECTION('',(0.,0.,1.)); +#12910=DIRECTION('',(0.,0.,1.)); +#12911=DIRECTION('',(-1.,0.,0.)); +#12912=DIRECTION('',(-1.,0.,0.)); +#12913=DIRECTION('',(0.,0.,1.)); +#12914=DIRECTION('',(1.,0.,0.)); +#12915=DIRECTION('',(0.,1.,0.)); +#12916=DIRECTION('',(1.,0.,0.)); +#12917=DIRECTION('',(0.,1.,0.)); +#12918=DIRECTION('',(-1.,0.,0.)); +#12919=DIRECTION('',(0.,0.,-1.)); +#12920=DIRECTION('',(-1.,0.,0.)); +#12921=DIRECTION('',(0.,-1.,0.)); +#12922=DIRECTION('',(-1.,0.,0.)); +#12923=DIRECTION('',(0.,-1.,0.)); +#12924=DIRECTION('',(-1.,0.,0.)); +#12925=DIRECTION('',(0.,0.,1.)); +#12926=DIRECTION('',(0.,-0.707106781186549,0.707106781186546)); +#12927=DIRECTION('',(-1.,0.,0.)); +#12928=DIRECTION('',(0.,0.,1.)); +#12929=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); +#12930=DIRECTION('',(1.,-1.30610483424699E-31,1.80700362080917E-16)); +#12931=DIRECTION('',(1.80700362080917E-16,0.,-1.)); +#12932=DIRECTION('',(0.,1.,7.2280144832367E-16)); +#12933=DIRECTION('',(1.80700362080917E-16,7.2280144832367E-16,-1.)); +#12934=DIRECTION('',(-2.03287907341032E-16,-7.2280144832367E-16,1.)); +#12935=DIRECTION('',(0.,-1.,-7.2280144832367E-16)); +#12936=DIRECTION('',(0.,1.,7.2280144832367E-16)); +#12937=DIRECTION('',(1.,-1.46936793852786E-31,2.03287907341032E-16)); +#12938=DIRECTION('',(-1.,1.30610483424699E-31,-1.80700362080917E-16)); +#12939=DIRECTION('',(-1.80700362080917E-16,0.,1.)); +#12940=DIRECTION('',(-1.80700362080917E-16,-7.2280144832367E-16,1.)); +#12941=DIRECTION('',(0.,-1.,-7.2280144832367E-16)); +#12942=DIRECTION('',(0.,7.2280144832367E-16,-1.)); +#12943=DIRECTION('',(0.,0.707106781186546,0.707106781186549)); +#12944=DIRECTION('',(-1.,0.,0.)); +#12945=DIRECTION('',(-9.62732013364509E-17,3.88578058618805E-16,1.)); +#12946=DIRECTION('',(1.,-1.83353578390874E-16,9.6273201336451E-17)); +#12947=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12948=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12949=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12950=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12951=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12952=DIRECTION('',(-6.19544098563145E-16,-1.,-7.6766978012884E-32)); +#12953=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12954=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12955=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#12956=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12957=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12958=DIRECTION('',(-6.19544098563145E-16,1.,-7.6766978012884E-32)); +#12959=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12960=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12961=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12962=DIRECTION('',(0.,1.,0.)); +#12963=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12964=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12965=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12966=DIRECTION('',(-2.4093381610789E-16,1.,-2.98538247827883E-32)); +#12967=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12968=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12969=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12970=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12971=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12972=DIRECTION('',(-2.4093381610789E-16,-1.,-2.98538247827883E-32)); +#12973=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12974=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12975=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12976=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12977=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12978=DIRECTION('',(-2.4093381610789E-16,1.,-2.98538247827883E-32)); +#12979=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12980=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12981=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12982=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12983=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12984=DIRECTION('',(-2.4093381610789E-16,-1.,-2.98538247827883E-32)); +#12985=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12986=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12987=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12988=DIRECTION('',(0.,-1.,0.)); +#12989=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12990=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12991=DIRECTION('',(6.19544098563145E-16,1.,7.6766978012884E-32)); +#12992=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12993=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12994=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#12995=DIRECTION('',(0.,-1.,0.)); +#12996=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#12997=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#12998=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#12999=DIRECTION('',(2.4093381610789E-16,1.,-2.98538247827883E-32)); +#13000=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13001=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13002=DIRECTION('',(1.,0.,-1.23908819712629E-16)); +#13003=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13004=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13005=DIRECTION('',(2.4093381610789E-16,-1.,-2.98538247827883E-32)); +#13006=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13007=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13008=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#13009=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13010=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13011=DIRECTION('',(2.4093381610789E-16,1.,2.98538247827883E-32)); +#13012=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13013=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13014=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#13015=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13016=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13017=DIRECTION('',(2.4093381610789E-16,-1.,2.98538247827883E-32)); +#13018=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13019=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13020=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#13021=DIRECTION('',(0.,-1.,0.)); +#13022=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#13023=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13024=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13025=DIRECTION('',(6.19544098563145E-16,-1.,7.6766978012884E-32)); +#13026=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13027=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13028=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13029=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13030=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13031=DIRECTION('',(0.,1.,0.)); +#13032=DIRECTION('',(0.,0.,-1.)); +#13033=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13034=DIRECTION('',(0.,1.,0.)); +#13035=DIRECTION('',(-1.,0.,0.)); +#13036=DIRECTION('',(0.,0.,-1.)); +#13037=DIRECTION('',(1.,0.,0.)); +#13038=DIRECTION('',(0.,0.,1.)); +#13039=DIRECTION('',(0.,-1.,0.)); +#13040=DIRECTION('',(0.,0.,-1.)); +#13041=DIRECTION('',(0.,1.,0.)); +#13042=DIRECTION('',(0.,0.,-1.)); +#13043=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13044=DIRECTION('',(0.,-1.,0.)); +#13045=DIRECTION('',(0.,0.,-1.)); +#13046=DIRECTION('',(0.,0.,-1.)); +#13047=DIRECTION('',(-1.,0.,0.)); +#13048=DIRECTION('',(0.,0.,1.)); +#13049=DIRECTION('',(0.,1.,0.)); +#13050=DIRECTION('',(1.,0.,0.)); +#13051=DIRECTION('',(0.,-1.,0.)); +#13052=DIRECTION('',(0.,0.,-1.)); +#13053=DIRECTION('',(0.,0.,1.)); +#13054=DIRECTION('',(0.371428571428571,0.,0.928461531958396)); +#13055=DIRECTION('',(1.,0.,0.)); +#13056=DIRECTION('',(0.,0.,1.)); +#13057=DIRECTION('',(-1.,0.,0.)); +#13058=DIRECTION('',(0.,1.,0.)); +#13059=DIRECTION('',(0.,0.,1.)); +#13060=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13061=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13062=DIRECTION('',(0.,1.,0.)); +#13063=DIRECTION('',(0.,0.,1.)); +#13064=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13065=DIRECTION('',(0.,1.,0.)); +#13066=DIRECTION('',(1.,0.,0.)); +#13067=DIRECTION('',(0.,0.,1.)); +#13068=DIRECTION('',(0.,1.,0.)); +#13069=DIRECTION('',(0.,0.,1.)); +#13070=DIRECTION('',(0.,0.,1.)); +#13071=DIRECTION('',(0.,1.,0.)); +#13072=DIRECTION('',(0.,0.,1.)); +#13073=DIRECTION('',(0.,0.,1.)); +#13074=DIRECTION('',(-1.,0.,0.)); +#13075=DIRECTION('',(0.,0.,-1.)); +#13076=DIRECTION('',(0.,1.,0.)); +#13077=DIRECTION('',(0.,0.,1.)); +#13078=DIRECTION('',(0.,0.,1.)); +#13079=DIRECTION('',(0.,1.,0.)); +#13080=DIRECTION('',(0.,0.,1.)); +#13081=DIRECTION('',(0.,0.,-1.)); +#13082=DIRECTION('',(0.,-1.,0.)); +#13083=DIRECTION('',(0.,0.,-1.)); +#13084=DIRECTION('',(0.,0.,1.)); +#13085=DIRECTION('',(0.,-1.,0.)); +#13086=DIRECTION('',(0.,1.,0.)); +#13087=DIRECTION('',(-1.,0.,0.)); +#13088=DIRECTION('',(0.,-1.,0.)); +#13089=DIRECTION('',(-1.,0.,0.)); +#13090=DIRECTION('',(0.,0.,1.)); +#13091=DIRECTION('',(0.,-1.,0.)); +#13092=DIRECTION('',(0.,1.,0.)); +#13093=DIRECTION('',(-1.,0.,0.)); +#13094=DIRECTION('',(0.,-1.,0.)); +#13095=DIRECTION('',(-1.,0.,0.)); +#13096=DIRECTION('',(0.,1.,0.)); +#13097=DIRECTION('',(0.,0.,1.)); +#13098=DIRECTION('',(0.,0.,1.)); +#13099=DIRECTION('',(-0.371428571428571,-3.34558506615005E-16,0.928461531958396)); +#13100=DIRECTION('',(-1.,0.,0.)); +#13101=DIRECTION('',(0.,0.,1.)); +#13102=DIRECTION('',(1.,0.,0.)); +#13103=DIRECTION('',(0.,1.,0.)); +#13104=DIRECTION('',(0.,0.,1.)); +#13105=DIRECTION('',(0.,0.,1.)); +#13106=DIRECTION('',(-1.,0.,0.)); +#13107=DIRECTION('',(0.,0.,-1.)); +#13108=DIRECTION('',(0.,1.,0.)); +#13109=DIRECTION('',(0.,0.,1.)); +#13110=DIRECTION('',(0.,0.,-1.)); +#13111=DIRECTION('',(0.,1.,0.)); +#13112=DIRECTION('',(0.,0.,1.)); +#13113=DIRECTION('',(0.,0.,-1.)); +#13114=DIRECTION('',(0.,1.,0.)); +#13115=DIRECTION('',(-1.,0.,0.)); +#13116=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13117=DIRECTION('',(0.,-1.,0.)); +#13118=DIRECTION('',(0.,0.,-1.)); +#13119=DIRECTION('',(0.,0.,1.)); +#13120=DIRECTION('',(0.,1.,0.)); +#13121=DIRECTION('',(0.,0.,1.)); +#13122=DIRECTION('',(0.,0.,-1.)); +#13123=DIRECTION('',(0.,1.,0.)); +#13124=DIRECTION('',(0.,0.,1.)); +#13125=DIRECTION('',(0.,0.,-1.)); +#13126=DIRECTION('',(0.,-1.,0.)); +#13127=DIRECTION('',(0.,-1.,0.)); +#13128=DIRECTION('',(1.,0.,0.)); +#13129=DIRECTION('',(0.,1.,0.)); +#13130=DIRECTION('',(1.,0.,0.)); +#13131=DIRECTION('',(0.,0.,-1.)); +#13132=DIRECTION('',(0.,-1.,0.)); +#13133=DIRECTION('',(0.,-1.,0.)); +#13134=DIRECTION('',(1.,0.,0.)); +#13135=DIRECTION('',(0.,1.,0.)); +#13136=DIRECTION('',(1.,0.,0.)); +#13137=DIRECTION('',(1.,0.,0.)); +#13138=DIRECTION('',(0.,0.,-1.)); +#13139=DIRECTION('',(1.,0.,0.)); +#13140=DIRECTION('',(0.,0.,-1.)); +#13141=DIRECTION('',(1.,0.,0.)); +#13142=DIRECTION('',(0.,0.,-1.)); +#13143=DIRECTION('',(0.,4.06575814682064E-16,1.)); +#13144=DIRECTION('',(1.,0.,0.)); +#13145=DIRECTION('',(0.,0.,-1.)); +#13146=DIRECTION('',(0.,-1.,0.)); +#13147=DIRECTION('',(1.,0.,0.)); +#13148=DIRECTION('',(0.,0.,-1.)); +#13149=DIRECTION('',(0.,2.71050543121376E-16,-1.)); +#13150=DIRECTION('',(1.,0.,0.)); +#13151=DIRECTION('',(0.,0.,-1.)); +#13152=DIRECTION('',(1.,0.,0.)); +#13153=DIRECTION('',(0.,0.,-1.)); +#13154=DIRECTION('',(0.,0.,-1.)); +#13155=DIRECTION('',(1.,0.,0.)); +#13156=DIRECTION('',(0.,0.,-1.)); +#13157=DIRECTION('',(0.,1.,0.)); +#13158=DIRECTION('',(1.,0.,0.)); +#13159=DIRECTION('',(0.,0.,-1.)); +#13160=DIRECTION('',(0.,1.,0.)); +#13161=DIRECTION('',(0.,-1.,0.)); +#13162=DIRECTION('',(0.,0.,1.)); +#13163=DIRECTION('',(0.,1.,0.)); +#13164=DIRECTION('',(0.,0.,1.)); +#13165=DIRECTION('',(0.,1.,2.4093381610789E-16)); +#13166=DIRECTION('',(0.,0.,-1.)); +#13167=DIRECTION('',(0.,0.,-1.)); +#13168=DIRECTION('',(0.,-1.,0.)); +#13169=DIRECTION('',(0.,-1.,0.)); +#13170=DIRECTION('',(0.,0.,-1.)); +#13171=DIRECTION('',(0.,-1.,0.)); +#13172=DIRECTION('',(0.,0.,-1.)); +#13173=DIRECTION('',(0.,-1.,0.)); +#13174=DIRECTION('',(-1.,0.,0.)); +#13175=DIRECTION('',(0.,0.,1.)); +#13176=DIRECTION('',(-1.,0.,0.)); +#13177=DIRECTION('',(0.,0.,1.)); +#13178=DIRECTION('',(0.,-1.,0.)); +#13179=DIRECTION('',(0.,0.,-1.)); +#13180=DIRECTION('',(0.,1.,0.)); +#13181=DIRECTION('',(-1.,0.,0.)); +#13182=DIRECTION('',(0.,0.,1.)); +#13183=DIRECTION('',(0.,-1.,0.)); +#13184=DIRECTION('',(-1.,0.,0.)); +#13185=DIRECTION('',(0.,0.,1.)); +#13186=DIRECTION('',(0.,0.,1.)); +#13187=DIRECTION('',(-1.,0.,0.)); +#13188=DIRECTION('',(0.,0.,1.)); +#13189=DIRECTION('',(-1.,0.,0.)); +#13190=DIRECTION('',(0.,0.,1.)); +#13191=DIRECTION('',(0.,-2.71050543121376E-16,1.)); +#13192=DIRECTION('',(-1.,0.,0.)); +#13193=DIRECTION('',(0.,0.,1.)); +#13194=DIRECTION('',(0.,1.,0.)); +#13195=DIRECTION('',(-1.,0.,0.)); +#13196=DIRECTION('',(0.,0.,1.)); +#13197=DIRECTION('',(0.,-4.06575814682064E-16,-1.)); +#13198=DIRECTION('',(-1.,0.,0.)); +#13199=DIRECTION('',(0.,0.,1.)); +#13200=DIRECTION('',(0.,0.,1.)); +#13201=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13202=DIRECTION('',(0.,0.,-1.)); +#13203=DIRECTION('',(0.,0.,-1.)); +#13204=DIRECTION('',(0.,1.,0.)); +#13205=DIRECTION('',(1.,0.,0.)); +#13206=DIRECTION('',(0.,0.,-1.)); +#13207=DIRECTION('',(1.,0.,0.)); +#13208=DIRECTION('',(0.,0.,-1.)); +#13209=DIRECTION('',(0.,1.,0.)); +#13210=DIRECTION('',(0.,0.,1.)); +#13211=DIRECTION('',(0.,-1.,0.)); +#13212=DIRECTION('',(0.,-1.,0.)); +#13213=DIRECTION('',(1.,0.,0.)); +#13214=DIRECTION('',(0.,0.,-1.)); +#13215=DIRECTION('',(0.,1.,0.)); +#13216=DIRECTION('',(1.,0.,0.)); +#13217=DIRECTION('',(0.,0.,-1.)); +#13218=DIRECTION('',(0.,0.,-1.)); +#13219=DIRECTION('',(1.,0.,0.)); +#13220=DIRECTION('',(0.,0.,-1.)); +#13221=DIRECTION('',(1.,0.,0.)); +#13222=DIRECTION('',(0.,0.,-1.)); +#13223=DIRECTION('',(0.,2.71050543121376E-16,-1.)); +#13224=DIRECTION('',(1.,0.,0.)); +#13225=DIRECTION('',(0.,0.,-1.)); +#13226=DIRECTION('',(0.,-1.,0.)); +#13227=DIRECTION('',(1.,0.,0.)); +#13228=DIRECTION('',(0.,0.,-1.)); +#13229=DIRECTION('',(0.,4.06575814682064E-16,1.)); +#13230=DIRECTION('',(1.,0.,0.)); +#13231=DIRECTION('',(0.,0.,-1.)); +#13232=DIRECTION('',(0.,1.,2.4093381610789E-16)); +#13233=DIRECTION('',(0.,-1.,0.)); +#13234=DIRECTION('',(0.,0.,-1.)); +#13235=DIRECTION('',(0.,-1.,0.)); +#13236=DIRECTION('',(0.,0.,-1.)); +#13237=DIRECTION('',(0.,-1.,0.)); +#13238=DIRECTION('',(0.,0.,-1.)); +#13239=DIRECTION('',(0.,-1.,0.)); +#13240=DIRECTION('',(-1.,0.,0.)); +#13241=DIRECTION('',(0.,0.,1.)); +#13242=DIRECTION('',(-1.,0.,0.)); +#13243=DIRECTION('',(0.,0.,1.)); +#13244=DIRECTION('',(-1.,0.,0.)); +#13245=DIRECTION('',(0.,0.,1.)); +#13246=DIRECTION('',(0.,-4.06575814682064E-16,-1.)); +#13247=DIRECTION('',(-1.,0.,0.)); +#13248=DIRECTION('',(0.,0.,1.)); +#13249=DIRECTION('',(0.,1.,0.)); +#13250=DIRECTION('',(-1.,0.,0.)); +#13251=DIRECTION('',(0.,0.,1.)); +#13252=DIRECTION('',(0.,-2.71050543121376E-16,1.)); +#13253=DIRECTION('',(-1.,0.,0.)); +#13254=DIRECTION('',(0.,0.,1.)); +#13255=DIRECTION('',(-1.,0.,0.)); +#13256=DIRECTION('',(0.,0.,1.)); +#13257=DIRECTION('',(0.,0.,1.)); +#13258=DIRECTION('',(-1.,0.,0.)); +#13259=DIRECTION('',(0.,0.,1.)); +#13260=DIRECTION('',(0.,-1.,0.)); +#13261=DIRECTION('',(-1.,0.,0.)); +#13262=DIRECTION('',(0.,0.,1.)); +#13263=DIRECTION('',(0.,1.,0.)); +#13264=DIRECTION('',(0.,0.,-1.)); +#13265=DIRECTION('',(0.,-1.,0.)); +#13266=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13267=DIRECTION('',(0.,1.,0.)); +#13268=DIRECTION('',(0.,0.,-1.)); +#13269=DIRECTION('',(0.,0.,1.)); +#13270=DIRECTION('',(1.,0.,0.)); +#13271=DIRECTION('',(1.,0.,0.)); +#13272=DIRECTION('',(1.,0.,0.)); +#13273=DIRECTION('',(0.,1.,0.)); +#13274=DIRECTION('',(0.,0.,1.)); +#13275=DIRECTION('',(1.,0.,0.)); +#13276=DIRECTION('',(1.,0.,0.)); +#13277=DIRECTION('',(0.,-1.,4.06575814682064E-16)); +#13278=DIRECTION('',(0.,-4.06575814682064E-16,-1.)); +#13279=DIRECTION('',(1.,0.,0.)); +#13280=DIRECTION('',(1.,0.,0.)); +#13281=DIRECTION('',(0.,0.,-1.)); +#13282=DIRECTION('',(-1.,0.,0.)); +#13283=DIRECTION('',(1.,0.,0.)); +#13284=DIRECTION('',(1.,0.,0.)); +#13285=DIRECTION('',(0.,1.,2.71050543121376E-16)); +#13286=DIRECTION('',(0.,-2.71050543121376E-16,1.)); +#13287=DIRECTION('',(1.,0.,0.)); +#13288=DIRECTION('',(1.,0.,0.)); +#13289=DIRECTION('',(1.,-2.4093381610789E-16,1.23908819712629E-16)); +#13290=DIRECTION('',(2.4093381610789E-16,1.,0.)); +#13291=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13292=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13293=DIRECTION('',(0.,-1.,0.)); +#13294=DIRECTION('',(0.,0.,-1.)); +#13295=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13296=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13297=DIRECTION('',(-1.,-2.4093381610789E-16,-1.23908819712629E-16)); +#13298=DIRECTION('',(2.4093381610789E-16,-1.,0.)); +#13299=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13300=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13301=DIRECTION('',(0.,0.,-1.)); +#13302=DIRECTION('',(-1.,0.,0.)); +#13303=DIRECTION('',(1.,0.,0.)); +#13304=DIRECTION('',(0.,-1.,0.)); +#13305=DIRECTION('',(0.,0.,-1.)); +#13306=DIRECTION('',(-1.,0.,0.)); +#13307=DIRECTION('',(-0.371428571428571,-3.34558506615005E-16,0.928461531958396)); +#13308=DIRECTION('',(0.,-1.,0.)); +#13309=DIRECTION('',(1.,0.,0.)); +#13310=DIRECTION('',(-1.,0.,0.)); +#13311=DIRECTION('',(0.371428571428571,3.09772049281573E-16,-0.928461531958396)); +#13312=DIRECTION('',(-0.928461531958396,8.94897031257876E-17,-0.371428571428571)); +#13313=DIRECTION('',(-0.371428571428571,0.,0.928461531958396)); +#13314=DIRECTION('',(0.371428571428571,3.09772049281573E-16,-0.928461531958396)); +#13315=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13316=DIRECTION('',(0.928461531958396,-8.94897031257877E-17,0.371428571428571)); +#13317=DIRECTION('',(0.371428571428571,0.,-0.928461531958396)); +#13318=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13319=DIRECTION('',(0.,-2.4093381610789E-16,1.)); +#13320=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13321=DIRECTION('',(-1.,0.,0.)); +#13322=DIRECTION('',(0.,-1.,0.)); +#13323=DIRECTION('',(1.,0.,0.)); +#13324=DIRECTION('',(1.,0.,0.)); +#13325=DIRECTION('',(0.,0.,-1.)); +#13326=DIRECTION('',(0.,0.,1.)); +#13327=DIRECTION('',(0.,1.,0.)); +#13328=DIRECTION('',(0.,-1.,0.)); +#13329=DIRECTION('',(1.,0.,0.)); +#13330=DIRECTION('',(0.,0.,-1.)); +#13331=DIRECTION('',(0.,-1.,0.)); +#13332=DIRECTION('',(0.,1.,0.)); +#13333=DIRECTION('',(0.,0.,1.)); +#13334=DIRECTION('',(0.,-1.,0.)); +#13335=DIRECTION('',(0.,0.,-1.)); +#13336=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13337=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13338=DIRECTION('',(0.,0.,1.)); +#13339=DIRECTION('',(1.,0.,0.)); +#13340=DIRECTION('',(0.,0.707106781186547,0.707106781186547)); +#13341=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13342=DIRECTION('',(0.,1.,0.)); +#13343=DIRECTION('',(0.,0.,1.)); +#13344=DIRECTION('',(0.,1.,0.)); +#13345=DIRECTION('',(0.,0.,1.)); +#13346=DIRECTION('',(0.,0.707106781186547,-0.707106781186547)); +#13347=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13348=DIRECTION('',(0.,0.,-1.)); +#13349=DIRECTION('',(-1.,0.,0.)); +#13350=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13351=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13352=DIRECTION('',(0.,-1.,0.)); +#13353=DIRECTION('',(0.,0.,-1.)); +#13354=DIRECTION('',(-1.,0.,0.)); +#13355=DIRECTION('',(0.,0.,1.)); +#13356=DIRECTION('',(1.,0.,0.)); +#13357=DIRECTION('',(0.,0.,-1.)); +#13358=DIRECTION('',(-1.,0.,0.)); +#13359=DIRECTION('',(0.,0.,1.)); +#13360=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13361=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13362=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13363=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13364=DIRECTION('',(1.,0.,0.)); +#13365=DIRECTION('',(0.,0.,-1.)); +#13366=DIRECTION('',(1.,0.,0.)); +#13367=DIRECTION('',(0.,0.,-1.)); +#13368=DIRECTION('',(1.,0.,0.)); +#13369=DIRECTION('',(0.,0.,-1.)); +#13370=DIRECTION('',(-1.,0.,0.)); +#13371=DIRECTION('',(0.,0.,1.)); +#13372=DIRECTION('',(0.,1.,0.)); +#13373=DIRECTION('',(0.,0.,1.)); +#13374=DIRECTION('',(1.,0.,0.)); +#13375=DIRECTION('',(-1.,0.,0.)); +#13376=DIRECTION('',(0.,0.,1.)); +#13377=DIRECTION('',(1.,0.,0.)); +#13378=DIRECTION('',(-1.,0.,0.)); +#13379=DIRECTION('',(-1.,0.,0.)); +#13380=DIRECTION('',(0.,-1.,4.06575814682064E-16)); +#13381=DIRECTION('',(0.,-4.06575814682064E-16,-1.)); +#13382=DIRECTION('',(1.,0.,0.)); +#13383=DIRECTION('',(-1.,0.,0.)); +#13384=DIRECTION('',(0.,1.,2.71050543121376E-16)); +#13385=DIRECTION('',(0.,-2.71050543121376E-16,1.)); +#13386=DIRECTION('',(1.,0.,0.)); +#13387=DIRECTION('',(-1.,0.,0.)); +#13388=DIRECTION('',(0.,0.,-1.)); +#13389=DIRECTION('',(-1.,0.,0.)); +#13390=DIRECTION('',(-1.,0.,0.)); +#13391=DIRECTION('',(-1.,0.,0.)); +#13392=DIRECTION('',(-1.,-2.4093381610789E-16,1.23908819712629E-16)); +#13393=DIRECTION('',(2.4093381610789E-16,-1.,0.)); +#13394=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13395=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13396=DIRECTION('',(1.,-2.4093381610789E-16,-1.23908819712629E-16)); +#13397=DIRECTION('',(2.4093381610789E-16,1.,0.)); +#13398=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13399=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13400=DIRECTION('',(0.,-1.,0.)); +#13401=DIRECTION('',(0.,0.,-1.)); +#13402=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13403=DIRECTION('',(1.23908819712629E-16,0.,1.)); +#13404=DIRECTION('',(0.,0.,-1.)); +#13405=DIRECTION('',(-1.,0.,0.)); +#13406=DIRECTION('',(-1.,0.,0.)); +#13407=DIRECTION('',(0.,1.,0.)); +#13408=DIRECTION('',(0.,0.,1.)); +#13409=DIRECTION('',(1.,0.,0.)); +#13410=DIRECTION('',(0.371428571428571,0.,0.928461531958396)); +#13411=DIRECTION('',(0.,1.,0.)); +#13412=DIRECTION('',(-1.,0.,0.)); +#13413=DIRECTION('',(1.,0.,0.)); +#13414=DIRECTION('',(-0.371428571428571,0.,-0.928461531958396)); +#13415=DIRECTION('',(0.928461531958396,8.94897031257876E-17,-0.371428571428571)); +#13416=DIRECTION('',(-0.371428571428571,0.,-0.928461531958396)); +#13417=DIRECTION('',(-0.371428571428571,0.,-0.928461531958396)); +#13418=DIRECTION('',(0.,1.,2.4093381610789E-16)); +#13419=DIRECTION('',(-0.928461531958396,-8.94897031257877E-17,0.371428571428571)); +#13420=DIRECTION('',(0.371428571428571,0.,0.928461531958396)); +#13421=DIRECTION('',(0.,1.,2.4093381610789E-16)); +#13422=DIRECTION('',(0.,-2.4093381610789E-16,1.)); +#13423=DIRECTION('',(0.,-1.,-2.4093381610789E-16)); +#13424=DIRECTION('',(1.,0.,0.)); +#13425=DIRECTION('',(0.,1.,0.)); +#13426=DIRECTION('',(-1.,0.,0.)); +#13427=DIRECTION('',(-1.,0.,0.)); +#13428=DIRECTION('',(0.,0.,1.)); +#13429=DIRECTION('',(0.,0.,-1.)); +#13430=DIRECTION('',(0.,1.,0.)); +#13431=DIRECTION('',(0.,-1.,0.)); +#13432=DIRECTION('',(-1.,0.,0.)); +#13433=DIRECTION('',(0.,0.,1.)); +#13434=DIRECTION('',(0.,-1.,0.)); +#13435=DIRECTION('',(0.,1.,0.)); +#13436=DIRECTION('',(0.,0.,-1.)); +#13437=DIRECTION('',(0.,-1.,0.)); +#13438=DIRECTION('',(0.,0.,-1.)); +#13439=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13440=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13441=DIRECTION('',(0.,0.,-1.)); +#13442=DIRECTION('',(-1.,0.,0.)); +#13443=DIRECTION('',(0.,0.707106781186547,-0.707106781186547)); +#13444=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13445=DIRECTION('',(0.,1.,0.)); +#13446=DIRECTION('',(0.,0.,1.)); +#13447=DIRECTION('',(0.,1.,0.)); +#13448=DIRECTION('',(0.,0.,1.)); +#13449=DIRECTION('',(0.,0.707106781186547,0.707106781186547)); +#13450=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13451=DIRECTION('',(0.,0.,1.)); +#13452=DIRECTION('',(1.,0.,0.)); +#13453=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); +#13454=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); +#13455=DIRECTION('',(0.,-1.,0.)); +#13456=DIRECTION('',(0.,0.,-1.)); +#13457=DIRECTION('',(-1.,0.,0.)); +#13458=DIRECTION('',(0.,0.,1.)); +#13459=DIRECTION('',(1.,0.,0.)); +#13460=DIRECTION('',(0.,0.,-1.)); +#13461=DIRECTION('',(-1.,0.,0.)); +#13462=DIRECTION('',(0.,0.,1.)); +#13463=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13464=DIRECTION('',(-1.,0.,1.23908819712629E-16)); +#13465=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13466=DIRECTION('',(-1.,0.,1.23908819712629E-16)); +#13467=DIRECTION('',(-1.,0.,0.)); +#13468=DIRECTION('',(0.,0.,1.)); +#13469=DIRECTION('',(-1.,0.,0.)); +#13470=DIRECTION('',(0.,0.,1.)); +#13471=DIRECTION('',(-1.,0.,0.)); +#13472=DIRECTION('',(0.,0.,1.)); +#13473=DIRECTION('',(1.,0.,0.)); +#13474=DIRECTION('',(0.,0.,-1.)); +#13475=DIRECTION('',(0.,1.,0.)); +#13476=DIRECTION('',(0.,0.,1.)); +#13477=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13478=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13479=DIRECTION('',(-1.,-6.19544098563145E-16,-1.23908819712629E-16)); +#13480=DIRECTION('',(6.19544098563145E-16,-1.,0.)); +#13481=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13482=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13483=DIRECTION('',(1.,-6.19544098563145E-16,1.23908819712629E-16)); +#13484=DIRECTION('',(6.19544098563145E-16,1.,0.)); +#13485=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13486=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13487=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13488=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13489=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13490=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13491=DIRECTION('',(-1.23908819712629E-16,0.,1.)); +#13492=DIRECTION('',(1.,0.,1.23908819712629E-16)); +#13493=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13494=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13495=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13496=DIRECTION('',(-1.,0.,1.23908819712629E-16)); +#13497=DIRECTION('',(1.,0.,0.)); +#13498=DIRECTION('',(0.,0.,-1.)); +#13499=DIRECTION('',(-1.23908819712629E-16,0.,-1.)); +#13500=DIRECTION('',(-1.,0.,1.23908819712629E-16)); +#13501=DIRECTION('',(1.,0.,0.)); +#13502=DIRECTION('',(0.,0.,-1.)); +#13503=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13504=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13505=DIRECTION('',(1.23908819712629E-16,0.,-1.)); +#13506=DIRECTION('',(-1.,0.,-1.23908819712629E-16)); +#13507=DIRECTION('',(-4.57376563818011E-16,3.1788807697275E-16,1.)); +#13508=DIRECTION('',(1.,-3.49580563024137E-19,4.57376563818011E-16)); +#13509=DIRECTION('',(0.,-1.,2.16840434497091E-14)); +#13510=DIRECTION('',(1.,0.,0.)); +#13511=DIRECTION('',(0.,0.,1.)); +#13512=DIRECTION('',(1.,0.,0.)); +#13513=DIRECTION('',(0.,0.,1.)); +#13514=DIRECTION('',(1.,0.,0.)); +#13515=DIRECTION('',(1.66800334228539E-16,9.9579925010296E-17,-1.)); +#13516=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); +#13517=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13518=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13519=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13520=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13521=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13522=DIRECTION('',(-1.66800334228539E-16,-9.9579925010296E-17,1.)); +#13523=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13524=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13525=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#13526=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13527=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13528=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13529=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13530=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13531=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#13532=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13533=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13534=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13535=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13536=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13537=DIRECTION('',(0.,-1.,0.)); +#13538=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13539=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13540=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#13541=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13542=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13543=DIRECTION('',(0.,-1.,0.)); +#13544=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13545=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13546=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#13547=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13548=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13549=DIRECTION('',(0.,1.,0.)); +#13550=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13551=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13552=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#13553=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13554=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13555=DIRECTION('',(0.,1.,0.)); +#13556=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13557=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13558=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#13559=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13560=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13561=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13562=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13563=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13564=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13565=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13566=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#13567=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13568=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13569=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13570=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13571=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13572=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#13573=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#13574=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13575=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13576=DIRECTION('',(0.,1.,0.)); +#13577=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13578=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13579=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#13580=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13581=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13582=DIRECTION('',(0.,-1.,0.)); +#13583=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13584=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13585=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#13586=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13587=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13588=DIRECTION('',(0.,-1.,0.)); +#13589=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13590=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13591=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#13592=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13593=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13594=DIRECTION('',(0.,1.,0.)); +#13595=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13596=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13597=DIRECTION('',(0.,-1.,0.)); +#13598=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13599=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13600=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13601=DIRECTION('',(0.,-1.,0.)); +#13602=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13603=DIRECTION('',(0.,-1.,0.)); +#13604=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13605=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13606=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13607=DIRECTION('',(0.,1.,0.)); +#13608=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13609=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13610=DIRECTION('',(1.,1.52168725962878E-16,1.17211045674109E-16)); +#13611=DIRECTION('',(0.,1.,-2.16840434497091E-14)); +#13612=DIRECTION('',(1.,0.,0.)); +#13613=DIRECTION('',(1.,0.,0.)); +#13614=DIRECTION('',(-1.,-1.0842021724855E-16,-1.66800334228539E-16)); +#13615=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13616=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13617=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13618=DIRECTION('',(2.16840434497091E-14,1.,0.)); +#13619=DIRECTION('',(1.,1.52168725962878E-16,1.17211045674109E-16)); +#13620=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13621=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13622=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13623=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13624=DIRECTION('',(0.,1.,0.)); +#13625=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13626=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13627=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13628=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13629=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13630=DIRECTION('',(0.,1.,0.)); +#13631=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13632=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13633=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13634=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13635=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13636=DIRECTION('',(0.,-1.,0.)); +#13637=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13638=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13639=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13640=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13641=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13642=DIRECTION('',(0.,-1.,0.)); +#13643=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13644=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13645=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13646=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13647=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13648=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#13649=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13650=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13651=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13652=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13653=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13654=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#13655=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13656=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13657=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13658=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13659=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13660=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13661=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13662=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13663=DIRECTION('',(0.,-1.,0.)); +#13664=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13665=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13666=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13667=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13668=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13669=DIRECTION('',(0.,1.,0.)); +#13670=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13671=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13672=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13673=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13674=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13675=DIRECTION('',(0.,1.,0.)); +#13676=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13677=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13678=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13679=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13680=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13681=DIRECTION('',(0.,-1.,0.)); +#13682=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13683=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13684=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13685=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13686=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13687=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13688=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13689=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#13690=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13691=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13692=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13693=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13694=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13695=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#13696=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13697=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13698=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13699=DIRECTION('',(0.,-1.,0.)); +#13700=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13701=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13702=DIRECTION('',(1.,1.52168725962878E-16,1.17211045674109E-16)); +#13703=DIRECTION('',(0.,1.,0.)); +#13704=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#13705=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13706=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13707=DIRECTION('',(-1.,-1.0842021724855E-16,-1.17211045674109E-16)); +#13708=DIRECTION('',(1.,0.,0.)); +#13709=DIRECTION('',(1.,0.,0.)); +#13710=DIRECTION('',(0.,-1.,2.16840434497091E-14)); +#13711=DIRECTION('',(1.,1.52168725962878E-16,1.17211045674109E-16)); +#13712=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13713=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13714=DIRECTION('',(0.,1.,0.)); +#13715=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13716=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13717=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13718=DIRECTION('',(0.,-1.,0.)); +#13719=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#13720=DIRECTION('',(0.,1.,0.)); +#13721=DIRECTION('',(0.,-1.,2.16840434497091E-14)); +#13722=DIRECTION('',(1.,0.,0.)); +#13723=DIRECTION('',(0.,0.,1.)); +#13724=DIRECTION('',(0.,2.16840434497091E-14,1.)); +#13725=DIRECTION('',(1.52168725962878E-16,-1.,1.78358554890058E-32)); +#13726=DIRECTION('',(1.,1.52168725962878E-16,0.)); +#13727=DIRECTION('',(-1.17211045674109E-16,2.73691106313441E-48,1.)); +#13728=DIRECTION('',(0.,0.,1.)); +#13729=DIRECTION('',(1.52168725962878E-16,-1.,1.78358554890058E-32)); +#13730=DIRECTION('',(1.,1.52168725962878E-16,0.)); +#13731=DIRECTION('',(-1.17211045674109E-16,2.73691106313441E-48,1.)); +#13732=DIRECTION('',(0.,2.16840434497091E-14,1.)); +#13733=DIRECTION('',(0.,1.,0.)); +#13734=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13735=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#13736=DIRECTION('',(0.,-1.,0.)); +#13737=DIRECTION('',(0.,0.,-1.)); +#13738=DIRECTION('',(0.,0.,1.)); +#13739=DIRECTION('',(-1.,0.,0.)); +#13740=DIRECTION('',(0.,0.,-1.)); +#13741=DIRECTION('',(0.,1.,0.)); +#13742=DIRECTION('',(0.,0.,1.)); +#13743=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#13744=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#13745=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#13746=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#13747=DIRECTION('',(0.,-1.,0.)); +#13748=DIRECTION('',(0.,0.,-1.)); +#13749=DIRECTION('',(0.,0.,-1.)); +#13750=DIRECTION('',(1.,0.,0.)); +#13751=DIRECTION('',(0.,0.,-1.)); +#13752=DIRECTION('',(1.,0.,0.)); +#13753=DIRECTION('',(0.,-1.,0.)); +#13754=DIRECTION('',(0.,0.,-1.)); +#13755=DIRECTION('',(0.,0.,-1.)); +#13756=DIRECTION('',(1.,0.,0.)); +#13757=DIRECTION('',(0.,0.,-1.)); +#13758=DIRECTION('',(1.,0.,0.)); +#13759=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); +#13760=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#13761=DIRECTION('',(0.,-1.,0.)); +#13762=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); +#13763=DIRECTION('',(0.,-1.,0.)); +#13764=DIRECTION('',(0.707106781186548,9.29268737383863E-17,0.707106781186547)); +#13765=DIRECTION('',(0.,-1.,0.)); +#13766=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#13767=DIRECTION('',(0.,-1.,0.)); +#13768=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); +#13769=DIRECTION('',(0.,-1.,0.)); +#13770=DIRECTION('',(0.,0.,-1.)); +#13771=DIRECTION('',(0.,-1.,0.)); +#13772=DIRECTION('',(0.,-1.,0.)); +#13773=DIRECTION('',(0.,0.,-1.)); +#13774=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13775=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13776=DIRECTION('',(0.,-1.,0.)); +#13777=DIRECTION('',(0.,0.,-1.)); +#13778=DIRECTION('',(0.,1.,0.)); +#13779=DIRECTION('',(0.,0.,1.)); +#13780=DIRECTION('',(0.,-1.,0.)); +#13781=DIRECTION('',(0.,1.,0.)); +#13782=DIRECTION('',(0.,0.,1.)); +#13783=DIRECTION('',(1.,0.,0.)); +#13784=DIRECTION('',(0.,0.,-1.)); +#13785=DIRECTION('',(0.,1.,0.)); +#13786=DIRECTION('',(0.,0.,-1.)); +#13787=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13788=DIRECTION('',(0.,1.,0.)); +#13789=DIRECTION('',(0.,0.,-1.)); +#13790=DIRECTION('',(1.,0.,0.)); +#13791=DIRECTION('',(0.,0.,-1.)); +#13792=DIRECTION('',(0.,0.,1.)); +#13793=DIRECTION('',(0.,-1.,0.)); +#13794=DIRECTION('',(0.,0.,1.)); +#13795=DIRECTION('',(0.,0.,1.)); +#13796=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); +#13797=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#13798=DIRECTION('',(0.,-1.,0.)); +#13799=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#13800=DIRECTION('',(0.,1.,0.)); +#13801=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); +#13802=DIRECTION('',(0.,-1.,0.)); +#13803=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); +#13804=DIRECTION('',(0.,1.,0.)); +#13805=DIRECTION('',(0.707106781186548,9.29268737383863E-17,0.707106781186547)); +#13806=DIRECTION('',(0.,-1.,0.)); +#13807=DIRECTION('',(0.,0.,-1.)); +#13808=DIRECTION('',(0.,-1.,0.)); +#13809=DIRECTION('',(0.,0.,-1.)); +#13810=DIRECTION('',(0.,-1.,0.)); +#13811=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13812=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13813=DIRECTION('',(0.,-1.,0.)); +#13814=DIRECTION('',(0.,0.,-1.)); +#13815=DIRECTION('',(0.,1.,0.)); +#13816=DIRECTION('',(0.,0.,1.)); +#13817=DIRECTION('',(0.,-1.,0.)); +#13818=DIRECTION('',(0.,0.,-1.)); +#13819=DIRECTION('',(0.,-1.,0.)); +#13820=DIRECTION('',(1.,0.,0.)); +#13821=DIRECTION('',(0.,0.,-1.)); +#13822=DIRECTION('',(0.,0.,-1.)); +#13823=DIRECTION('',(0.,1.,0.)); +#13824=DIRECTION('',(0.,0.,1.)); +#13825=DIRECTION('',(0.,0.,-1.)); +#13826=DIRECTION('',(0.,1.,0.)); +#13827=DIRECTION('',(0.,0.,-1.)); +#13828=DIRECTION('',(1.,0.,0.)); +#13829=DIRECTION('',(0.,0.,-1.)); +#13830=DIRECTION('',(0.,0.,-1.)); +#13831=DIRECTION('',(0.,1.,0.)); +#13832=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13833=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#13834=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#13835=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#13836=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#13837=DIRECTION('',(0.,-1.,0.)); +#13838=DIRECTION('',(0.,0.,-1.)); +#13839=DIRECTION('',(0.,0.,1.)); +#13840=DIRECTION('',(-1.,0.,0.)); +#13841=DIRECTION('',(0.,0.,1.)); +#13842=DIRECTION('',(-1.,0.,0.)); +#13843=DIRECTION('',(0.,-1.,0.)); +#13844=DIRECTION('',(0.,0.,-1.)); +#13845=DIRECTION('',(0.,0.,1.)); +#13846=DIRECTION('',(-1.,0.,0.)); +#13847=DIRECTION('',(0.,0.,1.)); +#13848=DIRECTION('',(-1.,0.,0.)); +#13849=DIRECTION('',(-1.,0.,0.)); +#13850=DIRECTION('',(0.,0.,1.)); +#13851=DIRECTION('',(0.,-1.,0.)); +#13852=DIRECTION('',(0.,0.,1.)); +#13853=DIRECTION('',(0.,-1.,0.)); +#13854=DIRECTION('',(0.,0.,-1.)); +#13855=DIRECTION('',(0.,-1.,0.)); +#13856=DIRECTION('',(0.,0.,-1.)); +#13857=DIRECTION('',(0.,-1.,0.)); +#13858=DIRECTION('',(-1.,0.,0.)); +#13859=DIRECTION('',(0.,0.,1.)); +#13860=DIRECTION('',(0.,0.,-1.)); +#13861=DIRECTION('',(0.,-1.,0.)); +#13862=DIRECTION('',(0.,-1.31418445149758E-16,-1.)); +#13863=DIRECTION('',(0.,-1.,0.)); +#13864=DIRECTION('',(0.,0.,-1.)); +#13865=DIRECTION('',(0.,-1.,0.)); +#13866=DIRECTION('',(0.,-1.,0.)); +#13867=DIRECTION('',(0.,0.,-1.)); +#13868=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13869=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13870=DIRECTION('',(0.,-1.,0.)); +#13871=DIRECTION('',(0.,0.,-1.)); +#13872=DIRECTION('',(0.,1.,0.)); +#13873=DIRECTION('',(0.,0.,1.)); +#13874=DIRECTION('',(0.,-1.,0.)); +#13875=DIRECTION('',(0.,1.,0.)); +#13876=DIRECTION('',(0.,0.,1.)); +#13877=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); +#13878=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); +#13879=DIRECTION('',(0.707106781186548,-9.29268737383863E-17,-0.707106781186547)); +#13880=DIRECTION('',(0.,-1.,0.)); +#13881=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); +#13882=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); +#13883=DIRECTION('',(0.,1.,0.)); +#13884=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); +#13885=DIRECTION('',(-1.,0.,0.)); +#13886=DIRECTION('',(0.,0.,1.)); +#13887=DIRECTION('',(0.,0.,1.)); +#13888=DIRECTION('',(0.,1.,0.)); +#13889=DIRECTION('',(0.,-1.31418445149758E-16,-1.)); +#13890=DIRECTION('',(0.,-1.,0.)); +#13891=DIRECTION('',(0.,0.,1.)); +#13892=DIRECTION('',(-1.,0.,0.)); +#13893=DIRECTION('',(0.,0.,1.)); +#13894=DIRECTION('',(0.,-1.,0.)); +#13895=DIRECTION('',(0.,0.,1.)); +#13896=DIRECTION('',(0.,1.,0.)); +#13897=DIRECTION('',(0.,0.,-1.)); +#13898=DIRECTION('',(0.,-1.,0.)); +#13899=DIRECTION('',(0.,-1.,0.)); +#13900=DIRECTION('',(0.,0.,-1.)); +#13901=DIRECTION('',(0.,-1.,0.)); +#13902=DIRECTION('',(0.,0.,-1.)); +#13903=DIRECTION('',(0.,-1.,0.)); +#13904=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13905=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13906=DIRECTION('',(0.,-1.,0.)); +#13907=DIRECTION('',(0.,0.,-1.)); +#13908=DIRECTION('',(0.,1.,0.)); +#13909=DIRECTION('',(0.,0.,1.)); +#13910=DIRECTION('',(0.,-1.,0.)); +#13911=DIRECTION('',(0.,0.,-1.)); +#13912=DIRECTION('',(0.,-1.,0.)); +#13913=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); +#13914=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); +#13915=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); +#13916=DIRECTION('',(0.,1.,0.)); +#13917=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); +#13918=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); +#13919=DIRECTION('',(0.,1.,0.)); +#13920=DIRECTION('',(0.707106781186548,-9.29268737383863E-17,-0.707106781186547)); +#13921=DIRECTION('',(0.,1.,0.)); +#13922=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13923=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#13924=DIRECTION('',(0.,1.,0.)); +#13925=DIRECTION('',(0.,0.,1.)); +#13926=DIRECTION('',(1.,0.,0.)); +#13927=DIRECTION('',(0.,-1.,0.)); +#13928=DIRECTION('',(0.,0.,-1.)); +#13929=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13930=DIRECTION('',(0.,-1.,0.)); +#13931=DIRECTION('',(0.,-1.,0.)); +#13932=DIRECTION('',(-8.03112720359633E-17,1.31418445149758E-16,1.)); +#13933=DIRECTION('',(0.,1.,0.)); +#13934=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13935=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13936=DIRECTION('',(0.,-1.,0.)); +#13937=DIRECTION('',(0.,1.,0.)); +#13938=DIRECTION('',(-8.03112720359633E-17,1.31418445149758E-16,1.)); +#13939=DIRECTION('',(0.,-1.,0.)); +#13940=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13941=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13942=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13943=DIRECTION('',(0.,-1.,0.)); +#13944=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13945=DIRECTION('',(-1.,0.,0.)); +#13946=DIRECTION('',(0.,-1.,0.)); +#13947=DIRECTION('',(0.,0.,-1.)); +#13948=DIRECTION('',(0.,1.,0.)); +#13949=DIRECTION('',(0.,0.,1.)); +#13950=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13951=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13952=DIRECTION('',(0.,-1.,0.)); +#13953=DIRECTION('',(0.,0.,-1.)); +#13954=DIRECTION('',(0.,1.,0.)); +#13955=DIRECTION('',(0.,0.,1.)); +#13956=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13957=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13958=DIRECTION('',(0.,-1.,0.)); +#13959=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13960=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13961=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13962=DIRECTION('',(-1.,0.,0.)); +#13963=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13964=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13965=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13966=DIRECTION('',(0.,1.,0.)); +#13967=DIRECTION('',(1.,1.05543824989655E-32,8.03112720359633E-17)); +#13968=DIRECTION('',(0.,-1.,0.)); +#13969=DIRECTION('',(0.,0.,-1.)); +#13970=DIRECTION('',(0.,-1.,0.)); +#13971=DIRECTION('',(0.,0.,-1.)); +#13972=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13973=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13974=DIRECTION('',(0.,-1.,0.)); +#13975=DIRECTION('',(0.,0.,-1.)); +#13976=DIRECTION('',(0.,-1.,0.)); +#13977=DIRECTION('',(0.,0.,-1.)); +#13978=DIRECTION('',(0.,-1.,0.)); +#13979=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#13980=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#13981=DIRECTION('',(8.03112720359633E-17,0.,-1.)); +#13982=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13983=DIRECTION('',(-1.,0.,-8.03112720359633E-17)); +#13984=DIRECTION('',(1.,1.05543824989655E-32,8.03112720359633E-17)); +#13985=DIRECTION('',(0.,1.,0.)); +#13986=DIRECTION('',(1.,0.,8.03112720359633E-17)); +#13987=DIRECTION('',(0.,-1.,0.)); +#13988=DIRECTION('',(0.,0.,-1.)); +#13989=DIRECTION('',(0.,1.,0.)); +#13990=DIRECTION('',(0.,0.,1.)); +#13991=DIRECTION('',(0.,1.,0.)); +#13992=DIRECTION('',(0.,0.,1.)); +#13993=DIRECTION('',(0.,-1.,0.)); +#13994=DIRECTION('',(0.,0.,-1.)); +#13995=DIRECTION('',(0.,-1.,0.)); +#13996=DIRECTION('',(0.,0.,-1.)); +#13997=DIRECTION('',(0.,-1.,0.)); +#13998=DIRECTION('',(0.,0.,-1.)); +#13999=DIRECTION('',(0.,-1.,0.)); +#14000=DIRECTION('',(0.,0.,-1.)); +#14001=DIRECTION('',(0.,-1.,0.)); +#14002=DIRECTION('',(0.,0.,-1.)); +#14003=DIRECTION('',(0.,0.,-1.)); +#14004=DIRECTION('',(-1.,0.,0.)); +#14005=DIRECTION('',(-1.,0.,0.)); +#14006=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#14007=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#14008=DIRECTION('',(0.,1.,-1.31418445149758E-16)); +#14009=DIRECTION('',(0.,1.31418445149758E-16,1.)); +#14010=DIRECTION('',(-1.,0.,0.)); +#14011=DIRECTION('',(0.,0.,-1.)); +#14012=DIRECTION('',(-1.,0.,0.)); +#14013=DIRECTION('',(-1.,0.,0.)); +#14014=DIRECTION('',(0.,0.,1.)); +#14015=DIRECTION('',(0.,-1.,0.)); +#14016=DIRECTION('',(0.,0.,-1.)); +#14017=DIRECTION('',(-1.,0.,0.)); +#14018=DIRECTION('',(-1.,0.,0.)); +#14019=DIRECTION('',(0.,1.,0.)); +#14020=DIRECTION('',(0.,0.,1.)); +#14021=DIRECTION('',(-1.,0.,0.)); +#14022=DIRECTION('',(-1.,0.,0.)); +#14023=DIRECTION('',(0.,0.,1.)); +#14024=DIRECTION('',(1.,0.,0.)); +#14025=DIRECTION('',(0.,0.,-1.)); +#14026=DIRECTION('',(-1.,0.,0.)); +#14027=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14028=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14029=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14030=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14031=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14032=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14033=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14034=DIRECTION('',(0.,-1.,0.)); +#14035=DIRECTION('',(0.,0.,-1.)); +#14036=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14037=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14038=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14039=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14040=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14041=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14042=DIRECTION('',(0.,-1.,0.)); +#14043=DIRECTION('',(0.,0.,-1.)); +#14044=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14045=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14046=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14047=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14048=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14049=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14050=DIRECTION('',(0.,-1.,0.)); +#14051=DIRECTION('',(0.,0.,-1.)); +#14052=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14053=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14054=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14055=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14056=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14057=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14058=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14059=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14060=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14061=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14062=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14063=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14064=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14065=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14066=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14067=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14068=DIRECTION('',(3.46944695195363E-15,0.,-1.)); +#14069=DIRECTION('',(0.972467345503095,0.233039185396286,0.)); +#14070=DIRECTION('',(-0.233039185396286,0.972467345503095,0.)); +#14071=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14072=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14073=DIRECTION('',(0.972467345503095,0.233039185396286,0.)); +#14074=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14075=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14076=DIRECTION('',(0.972467345503095,0.233039185396286,0.)); +#14077=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14078=DIRECTION('',(0.,0.,1.)); +#14079=DIRECTION('',(0.,0.,1.)); +#14080=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14081=DIRECTION('',(0.,0.,1.)); +#14082=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14083=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14084=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14085=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14086=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14087=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14088=DIRECTION('',(0.972467345503095,0.233039185396286,0.)); +#14089=DIRECTION('',(0.,0.,-1.)); +#14090=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14091=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14092=DIRECTION('',(0.,0.,1.)); +#14093=DIRECTION('',(1.,0.,0.)); +#14094=DIRECTION('',(-0.99671028793654,-0.0810469118564023,0.)); +#14095=DIRECTION('',(0.,0.,-1.)); +#14096=DIRECTION('',(1.,0.,0.)); +#14097=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14098=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14099=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14100=DIRECTION('',(0.,0.,1.)); +#14101=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14102=DIRECTION('',(0.,0.,-1.)); +#14103=DIRECTION('',(-1.,0.,0.)); +#14104=DIRECTION('',(0.,0.,1.)); +#14105=DIRECTION('',(1.,0.,0.)); +#14106=DIRECTION('',(0.99671028793654,0.0810469118564023,0.)); +#14107=DIRECTION('',(0.,0.,1.)); +#14108=DIRECTION('',(1.,0.,0.)); +#14109=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14110=DIRECTION('',(-0.972467345503095,-0.233039185396286,0.)); +#14111=DIRECTION('',(0.233039185396286,-0.972467345503095,0.)); +#14112=DIRECTION('',(0.,0.,1.)); +#14113=DIRECTION('',(0.,0.,1.)); +#14114=DIRECTION('',(1.,0.,0.)); +#14115=DIRECTION('',(0.,0.,1.)); +#14116=DIRECTION('',(1.,0.,0.)); +#14117=DIRECTION('',(4.29794177982465E-14,-0.250487963565413,0.968119713728035)); +#14118=DIRECTION('',(1.,1.07064964532944E-14,-4.16245725950178E-14)); +#14119=DIRECTION('',(6.12841422131691E-17,0.968119713728035,0.250487963565413)); +#14120=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14121=DIRECTION('',(6.12841422131691E-17,0.968119713728035,0.250487963565413)); +#14122=DIRECTION('',(1.,1.07064964532944E-14,-4.16245725950178E-14)); +#14123=DIRECTION('',(0.,-0.998701481470283,-0.0509445866512075)); +#14124=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14125=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14126=DIRECTION('',(0.,0.298060814548779,-0.954546882468598)); +#14127=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14128=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14129=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14130=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14131=DIRECTION('',(0.,-0.298060814548778,0.954546882468598)); +#14132=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14133=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14134=DIRECTION('',(0.,0.998701481470286,0.0509445866511485)); +#14135=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14136=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14137=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14138=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14139=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14140=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14141=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14142=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14143=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14144=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14145=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14146=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14147=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14148=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14149=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14150=DIRECTION('',(-4.33680868994202E-15,-1.,-5.46741558521994E-31)); +#14151=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14152=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14153=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14154=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14155=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14156=DIRECTION('',(-4.33680868994202E-15,1.,-5.46741558521994E-31)); +#14157=DIRECTION('',(1.,4.33680868994202E-15,1.26070020056454E-16)); +#14158=DIRECTION('',(-4.33680868994202E-15,1.,0.)); +#14159=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14160=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#14161=DIRECTION('',(-1.26070020056454E-16,-5.46741558521994E-31,1.)); +#14162=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14163=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14164=DIRECTION('',(0.,0.,-1.)); +#14165=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14166=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14167=DIRECTION('',(0.,-1.,0.)); +#14168=DIRECTION('',(0.,0.,-1.)); +#14169=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14170=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14171=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14172=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14173=DIRECTION('',(-1.26070020056454E-16,5.46741558521994E-31,1.)); +#14174=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14175=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14176=DIRECTION('',(-1.,4.33680868994202E-15,-1.26070020056454E-16)); +#14177=DIRECTION('',(-4.33680868994202E-15,-1.,0.)); +#14178=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14179=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#14180=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14181=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14182=DIRECTION('',(0.,0.,1.)); +#14183=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14184=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14185=DIRECTION('',(0.,1.,0.)); +#14186=DIRECTION('',(0.,0.,1.)); +#14187=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14188=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14189=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14190=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14191=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14192=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14193=DIRECTION('',(0.,1.,0.)); +#14194=DIRECTION('',(0.,0.,1.)); +#14195=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14196=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14197=DIRECTION('',(0.,0.,1.)); +#14198=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14199=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14200=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14201=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14202=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14203=DIRECTION('',(-1.,4.33680868994202E-15,-1.26070020056454E-16)); +#14204=DIRECTION('',(-4.33680868994202E-15,-1.,0.)); +#14205=DIRECTION('',(-4.33680868994202E-15,-1.,-5.46741558521994E-31)); +#14206=DIRECTION('',(-1.26070020056454E-16,5.46741558521994E-31,1.)); +#14207=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14208=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14209=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14210=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14211=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14212=DIRECTION('',(0.,-1.,0.)); +#14213=DIRECTION('',(0.,0.,-1.)); +#14214=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14215=DIRECTION('',(0.,0.,-1.)); +#14216=DIRECTION('',(1.,4.33680868994202E-15,1.26070020056454E-16)); +#14217=DIRECTION('',(-4.33680868994202E-15,1.,0.)); +#14218=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14219=DIRECTION('',(-4.33680868994202E-15,1.,-5.46741558521994E-31)); +#14220=DIRECTION('',(-1.26070020056454E-16,-5.46741558521994E-31,1.)); +#14221=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14222=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14223=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14224=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14225=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14226=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14227=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14228=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14229=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14230=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14231=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14232=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14233=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14234=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14235=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14236=DIRECTION('',(-4.33680868994202E-15,-1.,-5.46741558521994E-31)); +#14237=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14238=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14239=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14240=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14241=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14242=DIRECTION('',(-4.33680868994202E-15,1.,-5.46741558521994E-31)); +#14243=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14244=DIRECTION('',(1.26070020056454E-16,0.,-1.)); +#14245=DIRECTION('',(1.,-8.67361737988404E-16,0.)); +#14246=DIRECTION('',(8.67361737988404E-16,1.,0.)); +#14247=DIRECTION('',(-1.,0.,0.)); +#14248=DIRECTION('',(0.,0.,1.)); +#14249=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14250=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14251=DIRECTION('',(0.,-1.,4.33680868994202E-15)); +#14252=DIRECTION('',(0.,-4.33680868994202E-15,-1.)); +#14253=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14254=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14255=DIRECTION('',(4.33680868994202E-15,-1.,0.)); +#14256=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14257=DIRECTION('',(4.33680868994202E-15,1.,5.46741558521994E-31)); +#14258=DIRECTION('',(-1.,4.33680868994202E-15,0.)); +#14259=DIRECTION('',(-4.33680868994202E-15,-1.,-8.67361737988411E-16)); +#14260=DIRECTION('',(1.,-4.33680868994202E-15,0.)); +#14261=DIRECTION('',(4.33680868994202E-15,-1.,5.46741558521994E-31)); +#14262=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14263=DIRECTION('',(1.30104260698261E-15,-1.,0.)); +#14264=DIRECTION('',(1.,1.30104260698261E-15,0.)); +#14265=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14266=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14267=DIRECTION('',(1.,0.,0.)); +#14268=DIRECTION('',(0.,0.,-1.)); +#14269=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14270=DIRECTION('',(1.,0.,1.26070020056454E-16)); +#14271=DIRECTION('',(-1.,0.,0.)); +#14272=DIRECTION('',(0.,0.,1.)); +#14273=DIRECTION('',(-1.,0.,-1.26070020056454E-16)); +#14274=DIRECTION('',(-1.26070020056454E-16,0.,1.)); +#14275=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14276=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14277=DIRECTION('',(1.,0.,0.)); +#14278=DIRECTION('',(0.,0.,-1.)); +#14279=DIRECTION('',(-1.,0.,0.)); +#14280=DIRECTION('',(0.,0.,1.)); +#14281=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14282=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14283=DIRECTION('',(0.,-1.,4.33680868994202E-15)); +#14284=DIRECTION('',(0.,-4.33680868994202E-15,-1.)); +#14285=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14286=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14287=DIRECTION('',(4.33680868994202E-15,-1.,0.)); +#14288=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14289=DIRECTION('',(4.33680868994202E-15,1.,5.08321881436665E-31)); +#14290=DIRECTION('',(-1.,4.33680868994202E-15,0.)); +#14291=DIRECTION('',(-4.33680868994202E-15,-1.,-1.77809156287623E-14)); +#14292=DIRECTION('',(0.,1.77809156287623E-14,-1.)); +#14293=DIRECTION('',(4.33680868994202E-15,-1.,5.08321881436665E-31)); +#14294=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14295=DIRECTION('',(1.30104260698261E-15,-1.,0.)); +#14296=DIRECTION('',(1.,1.30104260698261E-15,0.)); +#14297=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14298=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14299=DIRECTION('',(1.,0.,0.)); +#14300=DIRECTION('',(0.,0.,-1.)); +#14301=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14302=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14303=DIRECTION('',(-1.,0.,0.)); +#14304=DIRECTION('',(0.,0.,1.)); +#14305=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14306=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14307=DIRECTION('',(1.,0.,0.)); +#14308=DIRECTION('',(0.,0.,-1.)); +#14309=DIRECTION('',(0.,0.,1.)); +#14310=DIRECTION('',(1.,0.,0.)); +#14311=DIRECTION('',(1.,0.,0.)); +#14312=DIRECTION('',(1.,0.,0.)); +#14313=DIRECTION('',(0.,-1.,0.)); +#14314=DIRECTION('',(0.,0.,-1.)); +#14315=DIRECTION('',(1.,0.,0.)); +#14316=DIRECTION('',(0.,1.,0.)); +#14317=DIRECTION('',(0.,0.,1.)); +#14318=DIRECTION('',(1.,0.,0.)); +#14319=DIRECTION('',(0.,0.,-1.)); +#14320=DIRECTION('',(-1.,0.,0.)); +#14321=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14322=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14323=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14324=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14325=DIRECTION('',(0.,-1.,0.)); +#14326=DIRECTION('',(0.,0.,-1.)); +#14327=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14328=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14329=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14330=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14331=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14332=DIRECTION('',(0.,-1.,0.)); +#14333=DIRECTION('',(0.,0.,-1.)); +#14334=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14335=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14336=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14337=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14338=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14339=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14340=DIRECTION('',(0.,-1.,0.)); +#14341=DIRECTION('',(0.,0.,-1.)); +#14342=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14343=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14344=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14345=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14346=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14347=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14348=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14349=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14350=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14351=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14352=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14353=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14354=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14355=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14356=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14357=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14358=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14359=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14360=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14361=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14362=DIRECTION('',(-5.20417042793045E-15,0.,-1.)); +#14363=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14364=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14365=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14366=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14367=DIRECTION('',(-0.972467345503095,0.233039185396286,0.)); +#14368=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14369=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14370=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14371=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14372=DIRECTION('',(0.,0.,1.)); +#14373=DIRECTION('',(0.,0.,1.)); +#14374=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14375=DIRECTION('',(0.,0.,1.)); +#14376=DIRECTION('',(-0.972467345503095,0.233039185396286,0.)); +#14377=DIRECTION('',(-0.233039185396286,-0.972467345503095,0.)); +#14378=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14379=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14380=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14381=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14382=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14383=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14384=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14385=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14386=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14387=DIRECTION('',(0.,0.,1.)); +#14388=DIRECTION('',(0.,0.,-1.)); +#14389=DIRECTION('',(-1.,0.,0.)); +#14390=DIRECTION('',(0.,0.,1.)); +#14391=DIRECTION('',(-1.,0.,0.)); +#14392=DIRECTION('',(-0.99671028793654,0.081046911856402,0.)); +#14393=DIRECTION('',(0.,0.,-1.)); +#14394=DIRECTION('',(-1.,0.,0.)); +#14395=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14396=DIRECTION('',(0.972467345503095,-0.233039185396286,0.)); +#14397=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14398=DIRECTION('',(0.,0.,1.)); +#14399=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14400=DIRECTION('',(0.,0.,-1.)); +#14401=DIRECTION('',(0.233039185396286,0.972467345503095,0.)); +#14402=DIRECTION('',(0.,0.,-1.)); +#14403=DIRECTION('',(-1.,0.,0.)); +#14404=DIRECTION('',(0.99671028793654,-0.081046911856402,0.)); +#14405=DIRECTION('',(0.,0.,-1.)); +#14406=DIRECTION('',(-1.,0.,0.)); +#14407=DIRECTION('',(0.,0.,-1.)); +#14408=DIRECTION('',(-1.,0.,0.)); +#14409=DIRECTION('',(0.,0.,-1.)); +#14410=DIRECTION('',(-1.,0.,0.)); +#14411=DIRECTION('',(0.,0.298060814548779,-0.954546882468597)); +#14412=DIRECTION('',(0.,-0.298060814548779,0.954546882468597)); +#14413=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14414=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14415=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14416=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14417=DIRECTION('',(0.,0.998701481470286,0.0509445866511486)); +#14418=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14419=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14420=DIRECTION('',(5.66990321798622E-17,0.968119713728035,0.250487963565413)); +#14421=DIRECTION('',(-4.24206516005366E-14,0.250487963565413,-0.968119713728035)); +#14422=DIRECTION('',(-1.,-1.05709711817337E-14,4.1082471508775E-14)); +#14423=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14424=DIRECTION('',(5.66990321798622E-17,0.968119713728035,0.250487963565413)); +#14425=DIRECTION('',(-1.,-1.05709711817337E-14,4.1082471508775E-14)); +#14426=DIRECTION('',(0.,-0.998701481470283,-0.0509445866512076)); +#14427=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14428=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14429=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14430=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14431=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14432=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14433=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14434=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14435=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14436=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14437=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14438=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14439=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14440=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14441=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14442=DIRECTION('',(-4.33680868994202E-15,-1.,5.46741558521994E-31)); +#14443=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14444=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14445=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14446=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14447=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14448=DIRECTION('',(-4.33680868994202E-15,1.,5.46741558521994E-31)); +#14449=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14450=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14451=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14452=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14453=DIRECTION('',(1.26070020056454E-16,5.46741558521994E-31,1.)); +#14454=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14455=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14456=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14457=DIRECTION('',(1.,4.33680868994202E-15,-1.26070020056454E-16)); +#14458=DIRECTION('',(-4.33680868994202E-15,1.,0.)); +#14459=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14460=DIRECTION('',(-4.33680868994202E-15,1.,-5.08321881436665E-31)); +#14461=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14462=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14463=DIRECTION('',(0.,0.,-1.)); +#14464=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14465=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14466=DIRECTION('',(0.,-1.,0.)); +#14467=DIRECTION('',(0.,0.,-1.)); +#14468=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14469=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14470=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14471=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14472=DIRECTION('',(1.26070020056454E-16,-5.46741558521994E-31,1.)); +#14473=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14474=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14475=DIRECTION('',(-1.,4.33680868994202E-15,1.26070020056454E-16)); +#14476=DIRECTION('',(-4.33680868994202E-15,-1.,0.)); +#14477=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14478=DIRECTION('',(-4.33680868994202E-15,-1.,-5.08321881436665E-31)); +#14479=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14480=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14481=DIRECTION('',(0.,0.,1.)); +#14482=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14483=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14484=DIRECTION('',(0.,1.,0.)); +#14485=DIRECTION('',(0.,0.,1.)); +#14486=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14487=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14488=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14489=DIRECTION('',(0.,0.,1.)); +#14490=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14491=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14492=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14493=DIRECTION('',(-1.,4.33680868994202E-15,1.26070020056454E-16)); +#14494=DIRECTION('',(-4.33680868994202E-15,-1.,0.)); +#14495=DIRECTION('',(-4.33680868994202E-15,-1.,5.46741558521994E-31)); +#14496=DIRECTION('',(1.26070020056454E-16,-5.46741558521994E-31,1.)); +#14497=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14498=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14499=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14500=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14501=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14502=DIRECTION('',(0.,-1.,0.)); +#14503=DIRECTION('',(0.,0.,-1.)); +#14504=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14505=DIRECTION('',(0.,0.,-1.)); +#14506=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14507=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14508=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14509=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14510=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14511=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14512=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14513=DIRECTION('',(1.26070020056454E-16,5.46741558521994E-31,1.)); +#14514=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14515=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14516=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14517=DIRECTION('',(0.,1.,0.)); +#14518=DIRECTION('',(0.,0.,1.)); +#14519=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14520=DIRECTION('',(1.,4.33680868994202E-15,-1.26070020056454E-16)); +#14521=DIRECTION('',(-4.33680868994202E-15,1.,0.)); +#14522=DIRECTION('',(-4.33680868994202E-15,1.,5.46741558521994E-31)); +#14523=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14524=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14525=DIRECTION('',(-4.33680868994202E-15,1.,5.46741558521994E-31)); +#14526=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14527=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14528=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14529=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14530=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14531=DIRECTION('',(-4.33680868994202E-15,-1.,5.46741558521994E-31)); +#14532=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14533=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14534=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14535=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14536=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14537=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14538=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14539=DIRECTION('',(1.,0.,0.)); +#14540=DIRECTION('',(0.,0.,-1.)); +#14541=DIRECTION('',(0.,-1.,4.33680868994202E-15)); +#14542=DIRECTION('',(0.,-4.33680868994202E-15,-1.)); +#14543=DIRECTION('',(4.33680868994202E-15,1.,-5.46741558521994E-31)); +#14544=DIRECTION('',(-1.,4.33680868994202E-15,0.)); +#14545=DIRECTION('',(-4.33680868994202E-15,-1.,-8.67361737988404E-16)); +#14546=DIRECTION('',(1.,-4.33680868994202E-15,0.)); +#14547=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14548=DIRECTION('',(-1.26070020056454E-16,0.,-1.)); +#14549=DIRECTION('',(1.,0.,0.)); +#14550=DIRECTION('',(0.,0.,-1.)); +#14551=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14552=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14553=DIRECTION('',(1.,0.,0.)); +#14554=DIRECTION('',(0.,0.,-1.)); +#14555=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14556=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14557=DIRECTION('',(4.33680868994201E-15,-1.,0.)); +#14558=DIRECTION('',(1.,4.33680868994201E-15,0.)); +#14559=DIRECTION('',(-1.,0.,1.26070020056454E-16)); +#14560=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14561=DIRECTION('',(1.,0.,0.)); +#14562=DIRECTION('',(0.,0.,-1.)); +#14563=DIRECTION('',(4.33680868994202E-15,-1.,-5.46741558521994E-31)); +#14564=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14565=DIRECTION('',(0.,-1.,0.)); +#14566=DIRECTION('',(0.,0.,-1.)); +#14567=DIRECTION('',(1.26070020056454E-16,0.,1.)); +#14568=DIRECTION('',(1.,0.,-1.26070020056454E-16)); +#14569=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14570=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14571=DIRECTION('',(1.,0.,0.)); +#14572=DIRECTION('',(0.,0.,-1.)); +#14573=DIRECTION('',(0.,-1.,4.33680868994202E-15)); +#14574=DIRECTION('',(0.,-4.33680868994202E-15,-1.)); +#14575=DIRECTION('',(4.33680868994202E-15,1.,5.08321881436665E-31)); +#14576=DIRECTION('',(-1.,4.33680868994202E-15,0.)); +#14577=DIRECTION('',(-4.33680868994202E-15,-1.,-1.25767452008319E-14)); +#14578=DIRECTION('',(0.,1.25767452008319E-14,-1.)); +#14579=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14580=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14581=DIRECTION('',(1.,0.,0.)); +#14582=DIRECTION('',(0.,0.,-1.)); +#14583=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14584=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14585=DIRECTION('',(1.,0.,0.)); +#14586=DIRECTION('',(0.,0.,-1.)); +#14587=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14588=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14589=DIRECTION('',(4.33680868994202E-15,-1.,0.)); +#14590=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14591=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14592=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14593=DIRECTION('',(1.,0.,0.)); +#14594=DIRECTION('',(0.,0.,-1.)); +#14595=DIRECTION('',(4.33680868994202E-15,-1.,5.08321881436665E-31)); +#14596=DIRECTION('',(1.,4.33680868994202E-15,0.)); +#14597=DIRECTION('',(0.,-1.,0.)); +#14598=DIRECTION('',(0.,0.,-1.)); +#14599=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14600=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14601=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14602=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14603=DIRECTION('',(-1.17211045674109E-16,2.73691106313441E-48,1.)); +#14604=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14605=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14606=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14607=DIRECTION('',(-1.17211045674109E-16,2.73691106313441E-48,1.)); +#14608=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14609=DIRECTION('',(1.,0.,0.)); +#14610=DIRECTION('',(0.,1.,-2.16840434497091E-14)); +#14611=DIRECTION('',(-1.,2.16840434497091E-14,0.)); +#14612=DIRECTION('',(2.16840434497091E-14,1.,0.)); +#14613=DIRECTION('',(-1.0842021724855E-16,1.,9.9579925010296E-17)); +#14614=DIRECTION('',(-1.,-1.0842021724855E-16,0.)); +#14615=DIRECTION('',(-1.66800334228539E-16,-9.9579925010296E-17,1.)); +#14616=DIRECTION('',(1.66800334228539E-16,9.9579925010296E-17,-1.)); +#14617=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); +#14618=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14619=DIRECTION('',(0.,-0.514599542833611,-0.857430644726114)); +#14620=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14621=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14622=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14623=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14624=DIRECTION('',(-1.58913753054058E-16,-6.12323399573677E-17,-1.)); +#14625=DIRECTION('',(-4.95892885544305E-17,1.,-6.12323399573677E-17)); +#14626=DIRECTION('',(-1.58913753054058E-16,-6.12323399573677E-17,-1.)); +#14627=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14628=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14629=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14630=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14631=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14632=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14633=DIRECTION('',(-2.77529232334224E-16,6.12323399573676E-17,1.)); +#14634=DIRECTION('',(0.,-1.,0.)); +#14635=DIRECTION('',(-2.77529232334224E-16,6.12323399573676E-17,1.)); +#14636=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14637=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14638=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14639=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14640=DIRECTION('',(1.,-2.46519032881566E-32,-1.88489737340817E-32)); +#14641=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14642=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14643=DIRECTION('',(1.,-2.46519032881566E-32,-1.88489737340817E-32)); +#14644=DIRECTION('',(0.,-1.,0.)); +#14645=DIRECTION('',(1.17211045674109E-16,2.46519032881566E-32,-1.)); +#14646=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14647=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14648=DIRECTION('',(0.,-1.,0.)); +#14649=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14650=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14651=DIRECTION('',(0.,-1.,0.)); +#14652=DIRECTION('',(4.95892885544305E-17,-1.,6.12323399573677E-17)); +#14653=DIRECTION('',(-1.,-4.95892885544305E-17,-1.17211045674109E-16)); +#14654=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14655=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14656=DIRECTION('',(-4.95892885544305E-17,1.,-6.12323399573677E-17)); +#14657=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14658=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14659=DIRECTION('',(-4.95892885544305E-17,1.,-6.12323399573677E-17)); +#14660=DIRECTION('',(1.83142258865794E-17,6.12323399573676E-17,1.)); +#14661=DIRECTION('',(1.,-1.17211045674109E-16,-1.83142258865794E-17)); +#14662=DIRECTION('',(1.,4.95892885544305E-17,-1.83142258865794E-17)); +#14663=DIRECTION('',(-4.95892885544305E-17,1.,-6.12323399573677E-17)); +#14664=DIRECTION('',(1.,0.,-1.83142258865795E-17)); +#14665=DIRECTION('',(4.95892885544305E-17,-1.,6.12323399573677E-17)); +#14666=DIRECTION('',(-1.,-4.95892885544305E-17,-1.17211045674109E-16)); +#14667=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14668=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14669=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14670=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14671=DIRECTION('',(0.,-1.,0.)); +#14672=DIRECTION('',(1.17211045674109E-16,2.46519032881566E-32,-1.)); +#14673=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14674=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14675=DIRECTION('',(0.,-1.,0.)); +#14676=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14677=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14678=DIRECTION('',(8.34001671142695E-17,-2.46519032881566E-32,1.)); +#14679=DIRECTION('',(1.,0.,-8.34001671142696E-17)); +#14680=DIRECTION('',(-1.,0.,8.34001671142696E-17)); +#14681=DIRECTION('',(-1.,0.,8.34001671142696E-17)); +#14682=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14683=DIRECTION('',(-1.16718671386061E-32,6.12323399573676E-17,1.)); +#14684=DIRECTION('',(-1.17211045674109E-16,6.12323399573677E-17,1.)); +#14685=DIRECTION('',(1.,-2.46519032881566E-32,-1.88489737340817E-32)); +#14686=DIRECTION('',(1.16718671386061E-32,-6.12323399573676E-17,-1.)); +#14687=DIRECTION('',(0.,1.,-6.12323399573677E-17)); +#14688=DIRECTION('',(-1.16718671386061E-32,6.12323399573676E-17,1.)); +#14689=DIRECTION('',(1.16718671386061E-32,-6.12323399573676E-17,-1.)); +#14690=DIRECTION('',(1.,-2.46519032881566E-32,-1.88489737340817E-32)); +#14691=DIRECTION('',(-1.17211045674109E-16,6.12323399573677E-17,1.)); +#14692=DIRECTION('',(-1.,-4.95892885544305E-17,-1.17211045674109E-16)); +#14693=DIRECTION('',(-4.95892885544305E-17,1.,-1.60812264967664E-16)); +#14694=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14695=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14696=DIRECTION('',(0.,0.51459954283361,0.857430644726114)); +#14697=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14698=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14699=DIRECTION('',(-1.,2.46519032881566E-32,1.88489737340817E-32)); +#14700=DIRECTION('',(-1.88489737340817E-32,-2.46519032881566E-32,1.)); +#14701=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14702=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14703=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14704=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14705=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14706=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14707=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14708=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14709=DIRECTION('',(1.17211045674109E-16,-2.73691106313441E-48,-1.)); +#14710=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14711=DIRECTION('',(1.17211045674109E-16,-2.73691106313441E-48,-1.)); +#14712=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14713=DIRECTION('',(1.17211045674109E-16,0.,-1.)); +#14714=DIRECTION('',(-1.,0.,-1.17211045674109E-16)); +#14715=DIRECTION('',(-1.17211045674109E-16,0.,1.)); +#14716=DIRECTION('',(1.,0.,1.17211045674109E-16)); +#14717=DIRECTION('',(-6.93889390390723E-17,-6.16297582203915E-33,1.)); +#14718=DIRECTION('',(1.,2.77555756156289E-17,6.93889390390723E-17)); +#14719=CARTESIAN_POINT('',(0.,0.,0.)); +#14720=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.17)); +#14721=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#14722=CARTESIAN_POINT('',(1.55,-1.24999999999998,0.17)); +#14723=CARTESIAN_POINT('',(1.425,-1.24999999999998,0.17)); +#14724=CARTESIAN_POINT('',(1.55,-3.94999999999998,0.17)); +#14725=CARTESIAN_POINT('',(1.55,-3.84999999999998,0.17)); +#14726=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.17)); +#14727=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.17)); +#14728=CARTESIAN_POINT('',(0.125,-3.94999999999998,0.17)); +#14729=CARTESIAN_POINT('',(0.125,-1.24999999999998,0.17)); +#14730=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#14731=CARTESIAN_POINT('',(0.525,-1.24999999999998,0.17)); +#14732=CARTESIAN_POINT('',(0.525,-3.94999999999998,0.17)); +#14733=CARTESIAN_POINT('',(0.525,-3.54999999999998,0.17)); +#14734=CARTESIAN_POINT('',(1.75,-3.54999999999998,0.17)); +#14735=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.17)); +#14736=CARTESIAN_POINT('',(0.775,-3.94999999999998,0.17)); +#14737=CARTESIAN_POINT('',(0.775,-1.24999999999998,0.17)); +#14738=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#14739=CARTESIAN_POINT('',(1.175,-1.24999999999998,0.17)); +#14740=CARTESIAN_POINT('',(1.175,-3.94999999999998,0.17)); +#14741=CARTESIAN_POINT('',(1.175,-3.54999999999998,0.17)); +#14742=CARTESIAN_POINT('',(1.75,-3.54999999999998,0.17)); +#14743=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.17)); +#14744=CARTESIAN_POINT('',(1.425,-3.94999999999998,0.17)); +#14745=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14746=CARTESIAN_POINT('',(0.125,0.0499999999999841,-0.800000000000001)); +#14747=CARTESIAN_POINT('',(0.125,0.0499999999999841,-0.800000000000001)); +#14748=CARTESIAN_POINT('',(0.125,0.999999999999985,-0.800000000000001)); +#14749=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14750=CARTESIAN_POINT('',(0.525,0.999999999999985,-0.800000000000001)); +#14751=CARTESIAN_POINT('',(0.525,0.0499999999999841,-0.800000000000001)); +#14752=CARTESIAN_POINT('',(0.525,0.0499999999999841,-0.800000000000001)); +#14753=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14754=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14755=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14756=CARTESIAN_POINT('',(-0.525,0.999999999999985,-0.800000000000001)); +#14757=CARTESIAN_POINT('',(-0.125,0.999999999999985,-0.800000000000001)); +#14758=CARTESIAN_POINT('',(-0.125,0.0499999999999841,-0.800000000000001)); +#14759=CARTESIAN_POINT('',(-0.125,0.0499999999999842,-0.8)); +#14760=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14761=CARTESIAN_POINT('',(-0.525,0.0499999999999841,-0.800000000000001)); +#14762=CARTESIAN_POINT('',(-0.525,0.0499999999999841,-0.8)); +#14763=CARTESIAN_POINT('',(0.125,0.349999999999984,-0.800000000000001)); +#14764=CARTESIAN_POINT('',(-0.125,0.349999999999984,-0.800000000000001)); +#14765=CARTESIAN_POINT('',(-0.125,0.349999999999984,-0.79)); +#14766=CARTESIAN_POINT('',(-0.125,0.349999999999984,0.110000000000001)); +#14767=CARTESIAN_POINT('',(0.125,0.349999999999984,-0.79)); +#14768=CARTESIAN_POINT('',(0.125,0.349999999999984,-0.79)); +#14769=CARTESIAN_POINT('',(0.125,0.349999999999984,-0.800000000000001)); +#14770=CARTESIAN_POINT('',(0.125,0.349999999999984,0.110000000000001)); +#14771=CARTESIAN_POINT('',(0.125,0.349999999999984,0.110000000000001)); +#14772=CARTESIAN_POINT('',(1.85,0.999999999999985,-9.66364667256536)); +#14773=CARTESIAN_POINT('',(0.125,0.999999999999985,-0.800000000000001)); +#14774=CARTESIAN_POINT('',(0.125,0.999999999999985,-0.79)); +#14775=CARTESIAN_POINT('',(0.125,0.999999999999985,-0.79)); +#14776=CARTESIAN_POINT('',(-0.125,0.999999999999985,-0.79)); +#14777=CARTESIAN_POINT('',(-0.125,0.999999999999985,-0.79)); +#14778=CARTESIAN_POINT('',(-0.525,0.999999999999985,0.11)); +#14779=CARTESIAN_POINT('',(-0.525,0.999999999999985,-0.79)); +#14780=CARTESIAN_POINT('',(-0.525,0.999999999999985,-0.79)); +#14781=CARTESIAN_POINT('',(-0.775,0.999999999999985,-0.79)); +#14782=CARTESIAN_POINT('',(-0.775,0.999999999999985,0.11)); +#14783=CARTESIAN_POINT('',(-0.775,0.999999999999985,-0.800000000000001)); +#14784=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14785=CARTESIAN_POINT('',(-1.175,0.999999999999985,-0.800000000000001)); +#14786=CARTESIAN_POINT('',(-1.175,0.999999999999985,0.110000000000001)); +#14787=CARTESIAN_POINT('',(-1.175,0.999999999999985,-0.79)); +#14788=CARTESIAN_POINT('',(-1.175,0.999999999999985,-0.79)); +#14789=CARTESIAN_POINT('',(-1.425,0.999999999999985,-0.79)); +#14790=CARTESIAN_POINT('',(-1.425,0.999999999999985,0.110000000000001)); +#14791=CARTESIAN_POINT('',(-1.425,0.999999999999985,-0.8)); +#14792=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14793=CARTESIAN_POINT('',(-1.85,0.999999999999985,-0.8)); +#14794=CARTESIAN_POINT('',(-1.85,0.999999999999985,-9.66364667256536)); +#14795=CARTESIAN_POINT('',(-1.85,0.999999999999985,-0.449999999999999)); +#14796=CARTESIAN_POINT('',(1.85,0.999999999999985,-0.449999999999999)); +#14797=CARTESIAN_POINT('',(1.85,0.999999999999985,-0.449999999999999)); +#14798=CARTESIAN_POINT('',(1.85,0.999999999999985,-9.66364667256536)); +#14799=CARTESIAN_POINT('',(1.85,0.999999999999985,-0.8)); +#14800=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14801=CARTESIAN_POINT('',(1.425,0.999999999999985,-0.800000000000001)); +#14802=CARTESIAN_POINT('',(1.425,0.999999999999985,0.11)); +#14803=CARTESIAN_POINT('',(1.425,0.999999999999985,-0.79)); +#14804=CARTESIAN_POINT('',(1.425,0.999999999999985,-0.79)); +#14805=CARTESIAN_POINT('',(1.175,0.999999999999985,-0.79)); +#14806=CARTESIAN_POINT('',(1.175,0.999999999999985,0.11)); +#14807=CARTESIAN_POINT('',(1.175,0.999999999999985,-0.800000000000001)); +#14808=CARTESIAN_POINT('',(-3.66284517731584E-18,0.999999999999985,-0.800000000000001)); +#14809=CARTESIAN_POINT('',(0.775,0.999999999999985,-0.800000000000001)); +#14810=CARTESIAN_POINT('',(0.775,0.999999999999985,0.11)); +#14811=CARTESIAN_POINT('',(0.775,0.999999999999985,-0.79)); +#14812=CARTESIAN_POINT('',(0.775,0.999999999999985,-0.79)); +#14813=CARTESIAN_POINT('',(0.525,0.999999999999985,-0.79)); +#14814=CARTESIAN_POINT('',(0.525,0.999999999999985,0.11)); +#14815=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14816=CARTESIAN_POINT('',(-1.175,0.0499999999999841,-0.8)); +#14817=CARTESIAN_POINT('',(-1.175,0.0499999999999841,-0.800000000000001)); +#14818=CARTESIAN_POINT('',(-0.775,0.0499999999999841,-0.8)); +#14819=CARTESIAN_POINT('',(-0.775,0.0499999999999841,-0.8)); +#14820=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14821=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14822=CARTESIAN_POINT('',(-1.85,0.0499999999999841,-0.800000000000001)); +#14823=CARTESIAN_POINT('',(-1.85,0.0499999999999841,-0.800000000000001)); +#14824=CARTESIAN_POINT('',(-1.425,0.0499999999999841,-0.8)); +#14825=CARTESIAN_POINT('',(-1.425,0.0499999999999841,-0.8)); +#14826=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14827=CARTESIAN_POINT('',(3.45,1.24999999999998,-1.05)); +#14828=CARTESIAN_POINT('',(3.45,1.24999999999998,-1.05)); +#14829=CARTESIAN_POINT('',(3.45,1.24999999999998,-0.950000000000001)); +#14830=CARTESIAN_POINT('',(3.45,1.24999999999998,0.95)); +#14831=CARTESIAN_POINT('',(3.35,1.24999999999998,-0.950000000000001)); +#14832=CARTESIAN_POINT('',(3.35,1.24999999999998,-1.05)); +#14833=CARTESIAN_POINT('',(3.45,1.24999999999998,-1.05)); +#14834=CARTESIAN_POINT('',(1.85,1.24999999999998,-1.05)); +#14835=CARTESIAN_POINT('',(1.85,1.24999999999998,-0.449999999999999)); +#14836=CARTESIAN_POINT('',(1.85,1.24999999999998,1.55)); +#14837=CARTESIAN_POINT('',(1.85,1.24999999999998,1.55)); +#14838=CARTESIAN_POINT('',(2.81,1.24999999999998,1.55)); +#14839=CARTESIAN_POINT('',(2.81,1.24999999999998,1.55)); +#14840=CARTESIAN_POINT('',(2.81,1.24999999999998,1.05)); +#14841=CARTESIAN_POINT('',(3.45,1.24999999999998,1.05)); +#14842=CARTESIAN_POINT('',(3.35,1.24999999999998,1.05)); +#14843=CARTESIAN_POINT('',(3.35,1.24999999999998,0.950000000000001)); +#14844=CARTESIAN_POINT('',(3.45,1.24999999999998,-1.05)); +#14845=CARTESIAN_POINT('',(3.45,1.24999999999998,-1.05)); +#14846=CARTESIAN_POINT('',(-1.85,1.24999999999998,-1.05)); +#14847=CARTESIAN_POINT('',(-3.35,1.24999999999998,-1.05)); +#14848=CARTESIAN_POINT('',(-3.35,1.24999999999998,-0.950000000000001)); +#14849=CARTESIAN_POINT('',(-3.45,1.24999999999998,-0.950000000000001)); +#14850=CARTESIAN_POINT('',(-3.45,1.24999999999998,-1.05)); +#14851=CARTESIAN_POINT('',(-3.45,1.24999999999998,0.95)); +#14852=CARTESIAN_POINT('',(-3.35,1.24999999999998,0.950000000000001)); +#14853=CARTESIAN_POINT('',(-3.35,1.24999999999998,1.05)); +#14854=CARTESIAN_POINT('',(3.45,1.24999999999998,1.05)); +#14855=CARTESIAN_POINT('',(-2.81,1.24999999999998,1.05)); +#14856=CARTESIAN_POINT('',(-2.81,1.24999999999998,1.55)); +#14857=CARTESIAN_POINT('',(-2.81,1.24999999999998,1.55)); +#14858=CARTESIAN_POINT('',(-2.81,1.24999999999998,1.55)); +#14859=CARTESIAN_POINT('',(-1.85,1.24999999999998,1.55)); +#14860=CARTESIAN_POINT('',(-1.85,1.24999999999998,-0.449999999999999)); +#14861=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14862=CARTESIAN_POINT('',(0.775,0.0499999999999841,-0.800000000000001)); +#14863=CARTESIAN_POINT('',(0.775,0.0499999999999841,-0.800000000000001)); +#14864=CARTESIAN_POINT('',(1.175,0.0499999999999841,-0.800000000000001)); +#14865=CARTESIAN_POINT('',(1.175,0.0499999999999841,-0.800000000000001)); +#14866=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14867=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#14868=CARTESIAN_POINT('',(1.425,0.0499999999999841,-0.800000000000001)); +#14869=CARTESIAN_POINT('',(1.425,0.0499999999999841,-0.800000000000001)); +#14870=CARTESIAN_POINT('',(1.85,1.24999999999998,-0.800000000000001)); +#14871=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14872=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#14873=CARTESIAN_POINT('',(0.,0.,-0.449999999999999)); +#14874=CARTESIAN_POINT('',(1.85,0.550000000000017,-0.449999999999999)); +#14875=CARTESIAN_POINT('',(1.85,0.550000000000017,-0.449999999999999)); +#14876=CARTESIAN_POINT('',(-1.85,1.24999999999998,-0.449999999999999)); +#14877=CARTESIAN_POINT('',(-1.85,0.550000000000016,-0.449999999999999)); +#14878=CARTESIAN_POINT('',(-1.85,0.550000000000016,-0.449999999999999)); +#14879=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.11)); +#14880=CARTESIAN_POINT('',(1.425,-7.96364667256537,-0.79)); +#14881=CARTESIAN_POINT('',(1.425,0.349999999999984,-0.79)); +#14882=CARTESIAN_POINT('',(1.425,0.0499999999999841,0.11)); +#14883=CARTESIAN_POINT('',(1.425,0.049999999999984,0.11)); +#14884=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.11)); +#14885=CARTESIAN_POINT('',(1.425,0.0399999999999845,0.12)); +#14886=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.12)); +#14887=CARTESIAN_POINT('',(1.425,-1.24999999999998,0.12)); +#14888=CARTESIAN_POINT('',(1.425,-1.24999999999998,1.05)); +#14889=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.42)); +#14890=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.42)); +#14891=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.42)); +#14892=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.42)); +#14893=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.11)); +#14894=CARTESIAN_POINT('',(1.425,0.349999999999984,0.11)); +#14895=CARTESIAN_POINT('',(1.425,0.349999999999984,-0.800000000000001)); +#14896=CARTESIAN_POINT('',(1.175,0.0399999999999841,0.11)); +#14897=CARTESIAN_POINT('',(1.175,-7.96364667256537,-0.79)); +#14898=CARTESIAN_POINT('',(1.175,0.349999999999984,-0.79)); +#14899=CARTESIAN_POINT('',(1.175,0.349999999999984,-0.800000000000001)); +#14900=CARTESIAN_POINT('',(1.175,0.349999999999984,0.11)); +#14901=CARTESIAN_POINT('',(1.175,0.0399999999999841,0.11)); +#14902=CARTESIAN_POINT('',(1.175,0.0399999999999841,0.42)); +#14903=CARTESIAN_POINT('',(1.175,0.0399999999999841,0.42)); +#14904=CARTESIAN_POINT('',(1.175,-3.54999999999998,0.42)); +#14905=CARTESIAN_POINT('',(1.175,-3.54999999999998,0.42)); +#14906=CARTESIAN_POINT('',(1.175,-1.24999999999998,1.05)); +#14907=CARTESIAN_POINT('',(1.175,-1.24999999999998,0.12)); +#14908=CARTESIAN_POINT('',(1.175,-3.54999999999998,0.12)); +#14909=CARTESIAN_POINT('',(1.175,0.0399999999999845,0.12)); +#14910=CARTESIAN_POINT('',(1.175,0.0399999999999841,0.11)); +#14911=CARTESIAN_POINT('',(1.175,0.049999999999984,0.11)); +#14912=CARTESIAN_POINT('',(1.175,0.0499999999999841,0.11)); +#14913=CARTESIAN_POINT('',(1.425,-7.96364667256537,-0.79)); +#14914=CARTESIAN_POINT('',(1.425,0.349999999999984,-0.79)); +#14915=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.11)); +#14916=CARTESIAN_POINT('',(0.775,-7.96364667256537,-0.79)); +#14917=CARTESIAN_POINT('',(0.775,0.349999999999984,-0.79)); +#14918=CARTESIAN_POINT('',(0.775,0.0499999999999841,0.11)); +#14919=CARTESIAN_POINT('',(0.775,0.049999999999984,0.11)); +#14920=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.11)); +#14921=CARTESIAN_POINT('',(0.775,0.0399999999999845,0.12)); +#14922=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.12)); +#14923=CARTESIAN_POINT('',(0.775,-1.24999999999998,0.12)); +#14924=CARTESIAN_POINT('',(0.775,-1.24999999999998,1.05)); +#14925=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.42)); +#14926=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.42)); +#14927=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.42)); +#14928=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.42)); +#14929=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.11)); +#14930=CARTESIAN_POINT('',(0.775,0.349999999999984,0.11)); +#14931=CARTESIAN_POINT('',(0.775,0.349999999999984,-0.800000000000001)); +#14932=CARTESIAN_POINT('',(0.525,0.0399999999999841,0.11)); +#14933=CARTESIAN_POINT('',(0.525,-7.96364667256537,-0.79)); +#14934=CARTESIAN_POINT('',(0.525,0.349999999999984,-0.79)); +#14935=CARTESIAN_POINT('',(0.525,0.349999999999984,-0.800000000000001)); +#14936=CARTESIAN_POINT('',(0.525,0.349999999999984,0.11)); +#14937=CARTESIAN_POINT('',(0.525,0.0399999999999841,0.11)); +#14938=CARTESIAN_POINT('',(0.525,0.0399999999999841,0.42)); +#14939=CARTESIAN_POINT('',(0.525,0.0399999999999841,0.42)); +#14940=CARTESIAN_POINT('',(0.525,-3.54999999999998,0.42)); +#14941=CARTESIAN_POINT('',(0.525,-3.54999999999998,0.42)); +#14942=CARTESIAN_POINT('',(0.525,-1.24999999999998,1.05)); +#14943=CARTESIAN_POINT('',(0.525,-1.24999999999998,0.12)); +#14944=CARTESIAN_POINT('',(0.525,-3.54999999999998,0.12)); +#14945=CARTESIAN_POINT('',(0.525,0.0399999999999845,0.12)); +#14946=CARTESIAN_POINT('',(0.525,0.0399999999999841,0.11)); +#14947=CARTESIAN_POINT('',(0.525,0.049999999999984,0.11)); +#14948=CARTESIAN_POINT('',(0.525,0.0499999999999841,0.11)); +#14949=CARTESIAN_POINT('',(0.775,-7.96364667256537,-0.79)); +#14950=CARTESIAN_POINT('',(0.775,0.349999999999984,-0.79)); +#14951=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.11)); +#14952=CARTESIAN_POINT('',(-0.525,-7.96364667256537,-0.79)); +#14953=CARTESIAN_POINT('',(-0.525,0.349999999999984,-0.79)); +#14954=CARTESIAN_POINT('',(-0.525,0.0499999999999841,0.11)); +#14955=CARTESIAN_POINT('',(-0.525,0.049999999999984,0.11)); +#14956=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.11)); +#14957=CARTESIAN_POINT('',(-0.525,0.0399999999999845,0.12)); +#14958=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.12)); +#14959=CARTESIAN_POINT('',(-0.525,-1.24999999999998,0.12)); +#14960=CARTESIAN_POINT('',(-0.525000000000001,-1.24999999999998,1.05)); +#14961=CARTESIAN_POINT('',(-0.525,-1.24999999999998,0.17)); +#14962=CARTESIAN_POINT('',(-0.525,-3.94999999999998,0.17)); +#14963=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.17)); +#14964=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.42)); +#14965=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.42)); +#14966=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.42)); +#14967=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.42)); +#14968=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.11)); +#14969=CARTESIAN_POINT('',(-0.525,0.349999999999984,0.11)); +#14970=CARTESIAN_POINT('',(-0.525,0.349999999999984,-0.8)); +#14971=CARTESIAN_POINT('',(-0.775,0.0399999999999841,0.11)); +#14972=CARTESIAN_POINT('',(-0.775,-7.96364667256537,-0.79)); +#14973=CARTESIAN_POINT('',(-0.775,0.349999999999984,-0.79)); +#14974=CARTESIAN_POINT('',(-0.775,0.349999999999984,-0.8)); +#14975=CARTESIAN_POINT('',(-0.775,0.349999999999984,0.11)); +#14976=CARTESIAN_POINT('',(-0.775,0.0399999999999841,0.11)); +#14977=CARTESIAN_POINT('',(-0.775,0.0399999999999841,0.42)); +#14978=CARTESIAN_POINT('',(-0.775,0.0399999999999841,0.42)); +#14979=CARTESIAN_POINT('',(-0.775,-3.54999999999998,0.42)); +#14980=CARTESIAN_POINT('',(-0.775,-3.54999999999998,0.42)); +#14981=CARTESIAN_POINT('',(-0.775,-3.54999999999998,0.17)); +#14982=CARTESIAN_POINT('',(-0.775,-3.94999999999998,0.17)); +#14983=CARTESIAN_POINT('',(-0.775,-1.24999999999998,0.17)); +#14984=CARTESIAN_POINT('',(-0.775,-1.24999999999998,1.05)); +#14985=CARTESIAN_POINT('',(-0.775,-1.24999999999998,0.12)); +#14986=CARTESIAN_POINT('',(-0.775,-3.54999999999998,0.12)); +#14987=CARTESIAN_POINT('',(-0.775,0.0399999999999845,0.12)); +#14988=CARTESIAN_POINT('',(-0.775,0.0399999999999841,0.11)); +#14989=CARTESIAN_POINT('',(-0.775,0.049999999999984,0.11)); +#14990=CARTESIAN_POINT('',(-0.775,0.0499999999999841,0.11)); +#14991=CARTESIAN_POINT('',(-0.525,-7.96364667256537,-0.79)); +#14992=CARTESIAN_POINT('',(-0.525,0.349999999999984,-0.79)); +#14993=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.110000000000001)); +#14994=CARTESIAN_POINT('',(-1.175,-7.96364667256537,-0.79)); +#14995=CARTESIAN_POINT('',(-1.175,0.349999999999984,-0.79)); +#14996=CARTESIAN_POINT('',(-1.175,0.0499999999999841,0.110000000000001)); +#14997=CARTESIAN_POINT('',(-1.175,0.049999999999984,0.110000000000001)); +#14998=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.110000000000001)); +#14999=CARTESIAN_POINT('',(-1.175,0.0399999999999845,0.120000000000001)); +#15000=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.120000000000001)); +#15001=CARTESIAN_POINT('',(-1.175,-1.24999999999998,0.120000000000001)); +#15002=CARTESIAN_POINT('',(-1.175,-1.24999999999998,1.05)); +#15003=CARTESIAN_POINT('',(-1.175,-1.24999999999998,0.17)); +#15004=CARTESIAN_POINT('',(-1.175,-3.94999999999998,0.17)); +#15005=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.17)); +#15006=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.42)); +#15007=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.42)); +#15008=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.42)); +#15009=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.42)); +#15010=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.110000000000001)); +#15011=CARTESIAN_POINT('',(-1.175,0.349999999999984,0.110000000000001)); +#15012=CARTESIAN_POINT('',(-1.175,0.349999999999984,-0.8)); +#15013=CARTESIAN_POINT('',(-1.425,0.0399999999999841,0.110000000000001)); +#15014=CARTESIAN_POINT('',(-1.425,-7.96364667256537,-0.79)); +#15015=CARTESIAN_POINT('',(-1.425,0.349999999999984,-0.79)); +#15016=CARTESIAN_POINT('',(-1.425,0.349999999999984,-0.8)); +#15017=CARTESIAN_POINT('',(-1.425,0.349999999999984,0.110000000000001)); +#15018=CARTESIAN_POINT('',(-1.425,0.0399999999999841,0.110000000000001)); +#15019=CARTESIAN_POINT('',(-1.425,0.0399999999999841,0.42)); +#15020=CARTESIAN_POINT('',(-1.425,0.0399999999999841,0.42)); +#15021=CARTESIAN_POINT('',(-1.425,-3.54999999999998,0.42)); +#15022=CARTESIAN_POINT('',(-1.425,-3.54999999999998,0.42)); +#15023=CARTESIAN_POINT('',(-1.425,-3.54999999999998,0.17)); +#15024=CARTESIAN_POINT('',(-1.425,-3.94999999999998,0.17)); +#15025=CARTESIAN_POINT('',(-1.425,-1.24999999999998,0.17)); +#15026=CARTESIAN_POINT('',(-1.425,-1.24999999999998,1.05)); +#15027=CARTESIAN_POINT('',(-1.425,-1.24999999999998,0.120000000000001)); +#15028=CARTESIAN_POINT('',(-1.425,-3.54999999999998,0.120000000000001)); +#15029=CARTESIAN_POINT('',(-1.425,0.0399999999999845,0.120000000000001)); +#15030=CARTESIAN_POINT('',(-1.425,0.0399999999999841,0.110000000000001)); +#15031=CARTESIAN_POINT('',(-1.425,0.049999999999984,0.110000000000001)); +#15032=CARTESIAN_POINT('',(-1.425,0.0499999999999841,0.110000000000001)); +#15033=CARTESIAN_POINT('',(-1.175,-7.96364667256537,-0.79)); +#15034=CARTESIAN_POINT('',(-1.175,0.349999999999984,-0.79)); +#15035=CARTESIAN_POINT('',(0.,0.,0.77)); +#15036=CARTESIAN_POINT('',(-0.125,-2.64999999999998,0.770000000000001)); +#15037=CARTESIAN_POINT('',(-0.125,-2.64999999999998,0.770000000000001)); +#15038=CARTESIAN_POINT('',(0.125,-2.64999999999998,0.770000000000001)); +#15039=CARTESIAN_POINT('',(0.125,-2.64999999999998,0.770000000000001)); +#15040=CARTESIAN_POINT('',(0.125,-3.14999999999998,0.77)); +#15041=CARTESIAN_POINT('',(0.125,-3.14999999999998,0.770000000000001)); +#15042=CARTESIAN_POINT('',(-0.125,-3.14999999999998,0.770000000000001)); +#15043=CARTESIAN_POINT('',(-0.125,-3.14999999999998,0.770000000000001)); +#15044=CARTESIAN_POINT('',(-1.4,-2.64999999999998,0.770000000000001)); +#15045=CARTESIAN_POINT('',(-1.4,-2.64999999999998,0.770000000000001)); +#15046=CARTESIAN_POINT('',(-1.2,-2.64999999999998,0.770000000000001)); +#15047=CARTESIAN_POINT('',(-1.2,-2.64999999999998,0.770000000000001)); +#15048=CARTESIAN_POINT('',(-1.2,-3.14999999999998,0.77)); +#15049=CARTESIAN_POINT('',(-1.2,-3.14999999999998,0.770000000000001)); +#15050=CARTESIAN_POINT('',(-1.4,-3.14999999999998,0.770000000000001)); +#15051=CARTESIAN_POINT('',(-1.4,-3.14999999999998,0.770000000000001)); +#15052=CARTESIAN_POINT('',(-0.750000000000001,-2.64999999999998,0.770000000000001)); +#15053=CARTESIAN_POINT('',(-0.750000000000001,-2.64999999999998,0.770000000000001)); +#15054=CARTESIAN_POINT('',(-0.550000000000001,-2.64999999999998,0.770000000000001)); +#15055=CARTESIAN_POINT('',(-0.550000000000001,-2.64999999999998,0.770000000000001)); +#15056=CARTESIAN_POINT('',(-0.550000000000001,-3.14999999999998,0.77)); +#15057=CARTESIAN_POINT('',(-0.550000000000001,-3.14999999999998,0.770000000000001)); +#15058=CARTESIAN_POINT('',(-0.750000000000001,-3.14999999999998,0.770000000000001)); +#15059=CARTESIAN_POINT('',(-0.750000000000001,-3.14999999999998,0.770000000000001)); +#15060=CARTESIAN_POINT('',(0.55,-2.64999999999998,0.770000000000001)); +#15061=CARTESIAN_POINT('',(0.55,-2.64999999999998,0.770000000000001)); +#15062=CARTESIAN_POINT('',(0.749999999999999,-2.64999999999998,0.770000000000001)); +#15063=CARTESIAN_POINT('',(0.749999999999999,-2.64999999999998,0.770000000000001)); +#15064=CARTESIAN_POINT('',(0.749999999999999,-3.14999999999998,0.77)); +#15065=CARTESIAN_POINT('',(0.749999999999999,-3.14999999999998,0.770000000000001)); +#15066=CARTESIAN_POINT('',(0.55,-3.14999999999998,0.770000000000001)); +#15067=CARTESIAN_POINT('',(0.55,-3.14999999999998,0.770000000000001)); +#15068=CARTESIAN_POINT('',(1.2,-2.64999999999998,0.770000000000001)); +#15069=CARTESIAN_POINT('',(1.2,-2.64999999999998,0.770000000000001)); +#15070=CARTESIAN_POINT('',(1.4,-2.64999999999998,0.770000000000001)); +#15071=CARTESIAN_POINT('',(1.4,-2.64999999999998,0.770000000000001)); +#15072=CARTESIAN_POINT('',(1.4,-3.14999999999998,0.77)); +#15073=CARTESIAN_POINT('',(1.4,-3.14999999999998,0.770000000000001)); +#15074=CARTESIAN_POINT('',(1.2,-3.14999999999998,0.770000000000001)); +#15075=CARTESIAN_POINT('',(1.2,-3.14999999999998,0.770000000000001)); +#15076=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.77)); +#15077=CARTESIAN_POINT('',(-1.55,-3.84999999999998,0.77)); +#15078=CARTESIAN_POINT('',(1.55,-3.84999999999998,0.77)); +#15079=CARTESIAN_POINT('',(1.55,0.,0.77)); +#15080=CARTESIAN_POINT('',(1.55,-1.24999999999998,0.77)); +#15081=CARTESIAN_POINT('',(1.3,-1.24999999999998,0.77)); +#15082=CARTESIAN_POINT('',(2.45,-1.24999999999998,0.77)); +#15083=CARTESIAN_POINT('',(2.45,-1.24999999999998,0.77)); +#15084=CARTESIAN_POINT('',(2.45,-0.750000000000015,0.77)); +#15085=CARTESIAN_POINT('',(2.45,-0.750000000000015,0.77)); +#15086=CARTESIAN_POINT('',(1.3,-0.750000000000015,0.77)); +#15087=CARTESIAN_POINT('',(1.3,-0.750000000000015,0.77)); +#15088=CARTESIAN_POINT('',(1.3,-1.24999999999998,0.77)); +#15089=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.770000000000001)); +#15090=CARTESIAN_POINT('',(-1.3,-1.24999999999998,0.77)); +#15091=CARTESIAN_POINT('',(-1.3,-1.24999999999998,0.77)); +#15092=CARTESIAN_POINT('',(-1.3,-0.750000000000015,0.77)); +#15093=CARTESIAN_POINT('',(-1.3,-0.750000000000015,0.77)); +#15094=CARTESIAN_POINT('',(-2.45,-0.750000000000015,0.77)); +#15095=CARTESIAN_POINT('',(-2.45,-0.750000000000015,0.77)); +#15096=CARTESIAN_POINT('',(-2.45,-1.24999999999998,0.77)); +#15097=CARTESIAN_POINT('',(-2.45,-1.24999999999998,0.77)); +#15098=CARTESIAN_POINT('',(-1.55,-1.24999999999998,0.77)); +#15099=CARTESIAN_POINT('',(-1.55,0.,0.77)); +#15100=CARTESIAN_POINT('',(1.2,-1.64999999999998,0.770000000000001)); +#15101=CARTESIAN_POINT('',(1.2,-1.64999999999998,0.770000000000001)); +#15102=CARTESIAN_POINT('',(1.4,-1.64999999999998,0.770000000000001)); +#15103=CARTESIAN_POINT('',(1.4,-1.64999999999998,0.770000000000001)); +#15104=CARTESIAN_POINT('',(1.4,-2.14999999999998,0.77)); +#15105=CARTESIAN_POINT('',(1.4,-2.14999999999998,0.770000000000001)); +#15106=CARTESIAN_POINT('',(1.2,-2.14999999999998,0.770000000000001)); +#15107=CARTESIAN_POINT('',(1.2,-2.14999999999998,0.770000000000001)); +#15108=CARTESIAN_POINT('',(0.55,-1.64999999999998,0.770000000000001)); +#15109=CARTESIAN_POINT('',(0.55,-1.64999999999998,0.770000000000001)); +#15110=CARTESIAN_POINT('',(0.749999999999999,-1.64999999999998,0.770000000000001)); +#15111=CARTESIAN_POINT('',(0.749999999999999,-1.64999999999998,0.770000000000001)); +#15112=CARTESIAN_POINT('',(0.749999999999999,-2.14999999999998,0.77)); +#15113=CARTESIAN_POINT('',(0.749999999999999,-2.14999999999998,0.770000000000001)); +#15114=CARTESIAN_POINT('',(0.55,-2.14999999999998,0.770000000000001)); +#15115=CARTESIAN_POINT('',(0.55,-2.14999999999998,0.770000000000001)); +#15116=CARTESIAN_POINT('',(-0.750000000000001,-1.64999999999998,0.770000000000001)); +#15117=CARTESIAN_POINT('',(-0.750000000000001,-1.64999999999998,0.770000000000001)); +#15118=CARTESIAN_POINT('',(-0.550000000000001,-1.64999999999998,0.770000000000001)); +#15119=CARTESIAN_POINT('',(-0.550000000000001,-1.64999999999998,0.770000000000001)); +#15120=CARTESIAN_POINT('',(-0.550000000000001,-2.14999999999998,0.77)); +#15121=CARTESIAN_POINT('',(-0.550000000000001,-2.14999999999998,0.770000000000001)); +#15122=CARTESIAN_POINT('',(-0.750000000000001,-2.14999999999998,0.770000000000001)); +#15123=CARTESIAN_POINT('',(-0.750000000000001,-2.14999999999998,0.770000000000001)); +#15124=CARTESIAN_POINT('',(-1.4,-1.64999999999998,0.770000000000001)); +#15125=CARTESIAN_POINT('',(-1.4,-1.64999999999998,0.770000000000001)); +#15126=CARTESIAN_POINT('',(-1.2,-1.64999999999998,0.770000000000001)); +#15127=CARTESIAN_POINT('',(-1.2,-1.64999999999998,0.770000000000001)); +#15128=CARTESIAN_POINT('',(-1.2,-2.14999999999998,0.77)); +#15129=CARTESIAN_POINT('',(-1.2,-2.14999999999998,0.770000000000001)); +#15130=CARTESIAN_POINT('',(-1.4,-2.14999999999998,0.770000000000001)); +#15131=CARTESIAN_POINT('',(-1.4,-2.14999999999998,0.770000000000001)); +#15132=CARTESIAN_POINT('',(-0.125,-1.64999999999998,0.770000000000001)); +#15133=CARTESIAN_POINT('',(-0.125,-1.64999999999998,0.770000000000001)); +#15134=CARTESIAN_POINT('',(0.125,-1.64999999999998,0.770000000000001)); +#15135=CARTESIAN_POINT('',(0.125,-1.64999999999998,0.770000000000001)); +#15136=CARTESIAN_POINT('',(0.125,-2.14999999999998,0.77)); +#15137=CARTESIAN_POINT('',(0.125,-2.14999999999998,0.770000000000001)); +#15138=CARTESIAN_POINT('',(-0.125,-2.14999999999998,0.770000000000001)); +#15139=CARTESIAN_POINT('',(-0.125,-2.14999999999998,0.770000000000001)); +#15140=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15141=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.420000000000001)); +#15142=CARTESIAN_POINT('',(-0.125,-2.14999999999998,0.42)); +#15143=CARTESIAN_POINT('',(-0.125,-2.64999999999998,0.42)); +#15144=CARTESIAN_POINT('',(0.125,-2.14999999999998,0.42)); +#15145=CARTESIAN_POINT('',(0.125,-2.14999999999998,0.42)); +#15146=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15147=CARTESIAN_POINT('',(0.125,-2.64999999999998,0.42)); +#15148=CARTESIAN_POINT('',(0.125000000000001,-2.64999999999998,0.42)); +#15149=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15150=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15151=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15152=CARTESIAN_POINT('',(0.125,-1.64999999999998,0.42)); +#15153=CARTESIAN_POINT('',(0.125,-1.64999999999998,0.42)); +#15154=CARTESIAN_POINT('',(-0.125,-1.64999999999998,0.42)); +#15155=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.420000000000001)); +#15156=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.420000000000001)); +#15157=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15158=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15159=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.420000000000001)); +#15160=CARTESIAN_POINT('',(-0.125,-3.14999999999998,0.42)); +#15161=CARTESIAN_POINT('',(-0.125,-3.84999999999998,0.419999999999999)); +#15162=CARTESIAN_POINT('',(0.125,-3.14999999999998,0.419999999999999)); +#15163=CARTESIAN_POINT('',(0.125,-3.14999999999998,0.42)); +#15164=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.420000000000001)); +#15165=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.419999999999999)); +#15166=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.419999999999999)); +#15167=CARTESIAN_POINT('',(0.1,-3.84999999999998,0.419999999999999)); +#15168=CARTESIAN_POINT('',(0.1,5.26364667256537,0.419999999999999)); +#15169=CARTESIAN_POINT('',(0.1,-3.94999999999998,0.419999999999999)); +#15170=CARTESIAN_POINT('',(-0.1,-3.94999999999998,0.419999999999999)); +#15171=CARTESIAN_POINT('',(-0.1,-3.94999999999998,0.419999999999999)); +#15172=CARTESIAN_POINT('',(-0.1,5.26364667256537,0.419999999999999)); +#15173=CARTESIAN_POINT('',(-0.1,-3.84999999999998,0.419999999999999)); +#15174=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.419999999999999)); +#15175=CARTESIAN_POINT('',(0.,-3.94999999999998,0.)); +#15176=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.42)); +#15177=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.42)); +#15178=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.270000000000001)); +#15179=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15180=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.27)); +#15181=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.27)); +#15182=CARTESIAN_POINT('',(-0.750000000000001,-3.94999999999998,0.42)); +#15183=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.42)); +#15184=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.42)); +#15185=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.42)); +#15186=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.27)); +#15187=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15188=CARTESIAN_POINT('',(-0.1,-3.94999999999998,0.269999999999999)); +#15189=CARTESIAN_POINT('',(-0.1,-3.94999999999998,0.269999999999999)); +#15190=CARTESIAN_POINT('',(0.1,-3.94999999999998,0.419999999999999)); +#15191=CARTESIAN_POINT('',(0.1,-3.94999999999998,0.269999999999999)); +#15192=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15193=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.27)); +#15194=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.27)); +#15195=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.42)); +#15196=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.42)); +#15197=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.42)); +#15198=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.42)); +#15199=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.27)); +#15200=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15201=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.27)); +#15202=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.27)); +#15203=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.42)); +#15204=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.42)); +#15205=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.42)); +#15206=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.42)); +#15207=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.27)); +#15208=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15209=CARTESIAN_POINT('',(1.65,-3.94999999999998,0.27)); +#15210=CARTESIAN_POINT('',(1.65,-3.94999999999998,0.77)); +#15211=CARTESIAN_POINT('',(1.65,-3.94999999999998,0.67)); +#15212=CARTESIAN_POINT('',(-1.75,-3.94999999999998,0.67)); +#15213=CARTESIAN_POINT('',(-1.65,-3.94999999999998,0.67)); +#15214=CARTESIAN_POINT('',(-1.65,-3.94999999999998,0.17)); +#15215=CARTESIAN_POINT('',(-1.65,-3.94999999999998,0.27)); +#15216=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.27)); +#15217=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.270000000000001)); +#15218=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.270000000000001)); +#15219=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.42)); +#15220=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.42)); +#15221=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.419999999999999)); +#15222=CARTESIAN_POINT('',(-0.1,-3.84999999999998,0.419999999999999)); +#15223=CARTESIAN_POINT('',(-0.1,-3.84999999999998,0.269999999999999)); +#15224=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.269999999999999)); +#15225=CARTESIAN_POINT('',(0.1,-3.84999999999998,0.269999999999999)); +#15226=CARTESIAN_POINT('',(0.1,-3.84999999999998,0.419999999999999)); +#15227=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.419999999999999)); +#15228=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.17)); +#15229=CARTESIAN_POINT('',(-0.125,-3.84999999999998,0.17)); +#15230=CARTESIAN_POINT('',(-0.125,-3.84999999999998,0.419999999999999)); +#15231=CARTESIAN_POINT('',(3.45,-1.24999999999998,1.05)); +#15232=CARTESIAN_POINT('',(-3.45,-1.24999999999998,0.0499999999999994)); +#15233=CARTESIAN_POINT('',(-3.42071067811866,-1.24999999999998,0.0207106781186544)); +#15234=CARTESIAN_POINT('',(-2.62928932188135,-1.24999999999998,-0.770710678118655)); +#15235=CARTESIAN_POINT('',(-2.55857864376269,-1.24999999999998,-0.700000000000001)); +#15236=CARTESIAN_POINT('',(-2.55857864376269,-1.24999999999998,-0.800000000000001)); +#15237=CARTESIAN_POINT('',(-3.45,-1.24999999999998,-0.800000000000001)); +#15238=CARTESIAN_POINT('',(2.55857864376269,-1.24999999999998,-0.800000000000001)); +#15239=CARTESIAN_POINT('',(2.55857864376269,-1.24999999999998,-0.700000000000001)); +#15240=CARTESIAN_POINT('',(2.62928932188135,-1.24999999999998,-0.770710678118655)); +#15241=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.0499999999999994)); +#15242=CARTESIAN_POINT('',(3.42071067811866,-1.24999999999998,0.0207106781186544)); +#15243=CARTESIAN_POINT('',(3.35,-1.24999999999998,0.0914213562373092)); +#15244=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.0914213562373091)); +#15245=CARTESIAN_POINT('',(3.45,-1.24999999999998,1.05)); +#15246=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.950000000000001)); +#15247=CARTESIAN_POINT('',(3.35,-1.24999999999998,0.950000000000001)); +#15248=CARTESIAN_POINT('',(3.35,-1.24999999999998,1.05)); +#15249=CARTESIAN_POINT('',(3.45,-1.24999999999998,1.05)); +#15250=CARTESIAN_POINT('',(2.45,-1.24999999999998,1.05)); +#15251=CARTESIAN_POINT('',(2.45,-1.24999999999998,0.77)); +#15252=CARTESIAN_POINT('',(1.55,-1.24999999999998,0.570000000000001)); +#15253=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.570000000000001)); +#15254=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.77)); +#15255=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.37)); +#15256=CARTESIAN_POINT('',(1.55,-1.24999999999998,0.37)); +#15257=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.12)); +#15258=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.12)); +#15259=CARTESIAN_POINT('',(0.125,-1.24999999999998,1.05)); +#15260=CARTESIAN_POINT('',(0.125,-1.24999999999998,0.12)); +#15261=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.12)); +#15262=CARTESIAN_POINT('',(-0.125,-1.24999999999998,0.12)); +#15263=CARTESIAN_POINT('',(-0.125,-1.24999999999998,1.05)); +#15264=CARTESIAN_POINT('',(-0.125,-1.24999999999998,0.17)); +#15265=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#15266=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.12)); +#15267=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#15268=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.120000000000001)); +#15269=CARTESIAN_POINT('',(1.75,-1.24999999999998,0.17)); +#15270=CARTESIAN_POINT('',(-1.55,-1.24999999999998,0.17)); +#15271=CARTESIAN_POINT('',(-1.55,-1.24999999999998,0.37)); +#15272=CARTESIAN_POINT('',(-1.75,-1.24999999999998,0.37)); +#15273=CARTESIAN_POINT('',(-1.75,-1.24999999999998,0.17)); +#15274=CARTESIAN_POINT('',(-1.75,-1.24999999999998,0.570000000000001)); +#15275=CARTESIAN_POINT('',(-1.55,-1.24999999999998,0.570000000000001)); +#15276=CARTESIAN_POINT('',(-2.45,-1.24999999999998,0.77)); +#15277=CARTESIAN_POINT('',(-2.45,-1.24999999999998,1.05)); +#15278=CARTESIAN_POINT('',(3.45,-1.24999999999998,1.05)); +#15279=CARTESIAN_POINT('',(-3.35,-1.24999999999998,1.05)); +#15280=CARTESIAN_POINT('',(-3.35,-1.24999999999998,0.950000000000001)); +#15281=CARTESIAN_POINT('',(-3.45,-1.24999999999998,0.950000000000001)); +#15282=CARTESIAN_POINT('',(-3.45,-1.24999999999998,1.05)); +#15283=CARTESIAN_POINT('',(-3.45,-1.24999999999998,0.0914213562373091)); +#15284=CARTESIAN_POINT('',(-3.35,-1.24999999999998,0.0914213562373092)); +#15285=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.17)); +#15286=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.17)); +#15287=CARTESIAN_POINT('',(-1.55,-3.84999999999998,0.17)); +#15288=CARTESIAN_POINT('',(-1.55,-3.94999999999998,0.17)); +#15289=CARTESIAN_POINT('',(1.75,-3.54999999999998,0.17)); +#15290=CARTESIAN_POINT('',(1.75,-3.54999999999998,0.17)); +#15291=CARTESIAN_POINT('',(-0.125,-3.94999999999998,0.17)); +#15292=CARTESIAN_POINT('',(-1.175,0.349999999999984,-0.8)); +#15293=CARTESIAN_POINT('',(-1.175,0.349999999999984,0.110000000000001)); +#15294=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.42)); +#15295=CARTESIAN_POINT('',(-1.175,-3.14999999999998,0.42)); +#15296=CARTESIAN_POINT('',(-1.4,-3.14999999999998,0.42)); +#15297=CARTESIAN_POINT('',(-1.2,-3.14999999999998,0.42)); +#15298=CARTESIAN_POINT('',(-1.2,0.0399999999999841,0.42)); +#15299=CARTESIAN_POINT('',(-1.2,-2.64999999999998,0.42)); +#15300=CARTESIAN_POINT('',(-1.175,-2.64999999999998,0.42)); +#15301=CARTESIAN_POINT('',(-1.4,-2.64999999999998,0.42)); +#15302=CARTESIAN_POINT('',(-1.4,0.0399999999999841,0.42)); +#15303=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.42)); +#15304=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.42)); +#15305=CARTESIAN_POINT('',(-1.2,-3.54999999999998,0.42)); +#15306=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.42)); +#15307=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.42)); +#15308=CARTESIAN_POINT('',(-1.4,-3.54999999999998,0.42)); +#15309=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.42)); +#15310=CARTESIAN_POINT('',(-1.175,-2.14999999999998,0.42)); +#15311=CARTESIAN_POINT('',(-1.4,-2.14999999999998,0.42)); +#15312=CARTESIAN_POINT('',(-1.2,-2.14999999999998,0.42)); +#15313=CARTESIAN_POINT('',(-1.2,0.0399999999999841,0.42)); +#15314=CARTESIAN_POINT('',(-1.2,-1.64999999999998,0.42)); +#15315=CARTESIAN_POINT('',(-1.175,-1.64999999999998,0.42)); +#15316=CARTESIAN_POINT('',(-1.4,-1.64999999999998,0.42)); +#15317=CARTESIAN_POINT('',(-1.4,0.0399999999999841,0.42)); +#15318=CARTESIAN_POINT('',(-0.525,0.349999999999984,-0.8)); +#15319=CARTESIAN_POINT('',(-0.525,0.349999999999984,0.11)); +#15320=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.42)); +#15321=CARTESIAN_POINT('',(-0.525,-3.14999999999998,0.42)); +#15322=CARTESIAN_POINT('',(-0.750000000000001,-3.14999999999998,0.42)); +#15323=CARTESIAN_POINT('',(-0.550000000000001,-3.14999999999998,0.42)); +#15324=CARTESIAN_POINT('',(-0.550000000000001,0.0399999999999841,0.42)); +#15325=CARTESIAN_POINT('',(-0.550000000000001,-2.64999999999998,0.42)); +#15326=CARTESIAN_POINT('',(-0.525,-2.64999999999998,0.42)); +#15327=CARTESIAN_POINT('',(-0.750000000000001,-2.64999999999998,0.42)); +#15328=CARTESIAN_POINT('',(-0.750000000000001,0.0399999999999841,0.42)); +#15329=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.42)); +#15330=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.42)); +#15331=CARTESIAN_POINT('',(-0.55,-3.54999999999998,0.42)); +#15332=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.42)); +#15333=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.42)); +#15334=CARTESIAN_POINT('',(-0.75,-3.54999999999998,0.42)); +#15335=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.42)); +#15336=CARTESIAN_POINT('',(-0.525,-2.14999999999998,0.42)); +#15337=CARTESIAN_POINT('',(-0.750000000000001,-2.14999999999998,0.42)); +#15338=CARTESIAN_POINT('',(-0.550000000000001,-2.14999999999998,0.42)); +#15339=CARTESIAN_POINT('',(-0.550000000000001,0.0399999999999841,0.42)); +#15340=CARTESIAN_POINT('',(-0.550000000000001,-1.64999999999998,0.42)); +#15341=CARTESIAN_POINT('',(-0.525,-1.64999999999998,0.42)); +#15342=CARTESIAN_POINT('',(-0.750000000000001,-1.64999999999998,0.42)); +#15343=CARTESIAN_POINT('',(-0.750000000000001,0.0399999999999841,0.42)); +#15344=CARTESIAN_POINT('',(0.775,0.349999999999984,-0.800000000000001)); +#15345=CARTESIAN_POINT('',(0.775,0.349999999999984,0.11)); +#15346=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.42)); +#15347=CARTESIAN_POINT('',(0.775,-3.14999999999998,0.42)); +#15348=CARTESIAN_POINT('',(0.55,-3.14999999999998,0.42)); +#15349=CARTESIAN_POINT('',(0.749999999999999,-3.14999999999998,0.42)); +#15350=CARTESIAN_POINT('',(0.749999999999999,0.0399999999999841,0.42)); +#15351=CARTESIAN_POINT('',(0.749999999999999,-2.64999999999998,0.42)); +#15352=CARTESIAN_POINT('',(0.775000000000001,-2.64999999999998,0.42)); +#15353=CARTESIAN_POINT('',(0.55,-2.64999999999998,0.42)); +#15354=CARTESIAN_POINT('',(0.55,0.0399999999999841,0.42)); +#15355=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.42)); +#15356=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.42)); +#15357=CARTESIAN_POINT('',(0.75,-3.54999999999998,0.42)); +#15358=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.42)); +#15359=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.42)); +#15360=CARTESIAN_POINT('',(0.55,-3.54999999999998,0.42)); +#15361=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.42)); +#15362=CARTESIAN_POINT('',(0.775,-2.14999999999998,0.42)); +#15363=CARTESIAN_POINT('',(0.55,-2.14999999999998,0.42)); +#15364=CARTESIAN_POINT('',(0.749999999999999,-2.14999999999998,0.42)); +#15365=CARTESIAN_POINT('',(0.749999999999999,0.0399999999999841,0.42)); +#15366=CARTESIAN_POINT('',(0.749999999999999,-1.64999999999998,0.42)); +#15367=CARTESIAN_POINT('',(0.775,-1.64999999999998,0.42)); +#15368=CARTESIAN_POINT('',(0.55,-1.64999999999998,0.42)); +#15369=CARTESIAN_POINT('',(0.55,0.0399999999999841,0.42)); +#15370=CARTESIAN_POINT('',(1.425,0.349999999999984,-0.800000000000001)); +#15371=CARTESIAN_POINT('',(1.425,0.349999999999984,0.11)); +#15372=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.42)); +#15373=CARTESIAN_POINT('',(1.425,-3.14999999999998,0.42)); +#15374=CARTESIAN_POINT('',(1.2,-3.14999999999998,0.42)); +#15375=CARTESIAN_POINT('',(1.4,-3.14999999999998,0.42)); +#15376=CARTESIAN_POINT('',(1.4,0.0399999999999841,0.42)); +#15377=CARTESIAN_POINT('',(1.4,-2.64999999999998,0.42)); +#15378=CARTESIAN_POINT('',(1.425,-2.64999999999998,0.42)); +#15379=CARTESIAN_POINT('',(1.2,-2.64999999999998,0.42)); +#15380=CARTESIAN_POINT('',(1.2,0.0399999999999841,0.42)); +#15381=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.42)); +#15382=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.42)); +#15383=CARTESIAN_POINT('',(1.4,-3.54999999999998,0.42)); +#15384=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.42)); +#15385=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.42)); +#15386=CARTESIAN_POINT('',(1.2,-3.54999999999998,0.42)); +#15387=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.42)); +#15388=CARTESIAN_POINT('',(1.425,-2.14999999999998,0.42)); +#15389=CARTESIAN_POINT('',(1.2,-2.14999999999998,0.42)); +#15390=CARTESIAN_POINT('',(1.4,-2.14999999999998,0.42)); +#15391=CARTESIAN_POINT('',(1.4,0.0399999999999841,0.42)); +#15392=CARTESIAN_POINT('',(1.4,-1.64999999999998,0.42)); +#15393=CARTESIAN_POINT('',(1.425,-1.64999999999998,0.42)); +#15394=CARTESIAN_POINT('',(1.2,-1.64999999999998,0.42)); +#15395=CARTESIAN_POINT('',(1.2,0.0399999999999841,0.42)); +#15396=CARTESIAN_POINT('',(3.45,-1.24999999999998,-1.05)); +#15397=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.05)); +#15398=CARTESIAN_POINT('',(-2.2,-0.200000000000015,-1.05)); +#15399=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.05)); +#15400=CARTESIAN_POINT('',(2.2,-0.200000000000015,-1.05)); +#15401=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-1.05)); +#15402=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-1.05)); +#15403=CARTESIAN_POINT('',(-3.35,-0.850000000000016,-1.05)); +#15404=CARTESIAN_POINT('',(-3.35,-1.24999999999998,-1.05)); +#15405=CARTESIAN_POINT('',(-3.35,-0.450000000000016,-1.05)); +#15406=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-1.05)); +#15407=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-1.05)); +#15408=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-1.05)); +#15409=CARTESIAN_POINT('',(-3.25,0.399999999999984,-1.05)); +#15410=CARTESIAN_POINT('',(-3.25,0.399999999999984,-1.05)); +#15411=CARTESIAN_POINT('',(-3.3,0.399999999999984,-1.05)); +#15412=CARTESIAN_POINT('',(-3.45,0.549999999999984,-1.05)); +#15413=CARTESIAN_POINT('',(-3.35,0.449999999999984,-1.05)); +#15414=CARTESIAN_POINT('',(-3.35,-1.24999999999998,-1.05)); +#15415=CARTESIAN_POINT('',(-1.85,0.0499999999999841,-1.05)); +#15416=CARTESIAN_POINT('',(-1.85,0.0499999999999841,-1.05)); +#15417=CARTESIAN_POINT('',(1.85,0.0499999999999841,-1.05)); +#15418=CARTESIAN_POINT('',(1.85,0.0499999999999841,-1.05)); +#15419=CARTESIAN_POINT('',(1.85,1.24999999999998,-1.05)); +#15420=CARTESIAN_POINT('',(3.35,0.549999999999984,-1.05)); +#15421=CARTESIAN_POINT('',(3.35,0.449999999999984,-1.05)); +#15422=CARTESIAN_POINT('',(3.45,0.549999999999984,-1.05)); +#15423=CARTESIAN_POINT('',(3.3,0.399999999999984,-1.05)); +#15424=CARTESIAN_POINT('',(3.25,0.399999999999984,-1.05)); +#15425=CARTESIAN_POINT('',(3.25,0.399999999999984,-1.05)); +#15426=CARTESIAN_POINT('',(3.25,0.399999999999984,-1.05)); +#15427=CARTESIAN_POINT('',(3.25,-0.450000000000016,-1.05)); +#15428=CARTESIAN_POINT('',(3.25,-0.450000000000016,-1.05)); +#15429=CARTESIAN_POINT('',(3.35,-0.450000000000016,-1.05)); +#15430=CARTESIAN_POINT('',(3.35,-0.850000000000016,-1.05)); +#15431=CARTESIAN_POINT('',(3.35,-0.850000000000016,-1.05)); +#15432=CARTESIAN_POINT('',(3.45,-0.850000000000016,-1.05)); +#15433=CARTESIAN_POINT('',(2.55,-0.850000000000016,-1.05)); +#15434=CARTESIAN_POINT('',(2.55,-0.850000000000016,-1.05)); +#15435=CARTESIAN_POINT('',(2.15,-0.350000000000016,-1.05)); +#15436=CARTESIAN_POINT('',(2.15,-0.350000000000016,-1.05)); +#15437=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-1.05)); +#15438=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-1.05)); +#15439=CARTESIAN_POINT('',(-3.45,0.,0.)); +#15440=CARTESIAN_POINT('',(-3.45,-0.450000000000016,-0.0999999999999996)); +#15441=CARTESIAN_POINT('',(-3.45,-0.450000000000016,-0.0999999999999996)); +#15442=CARTESIAN_POINT('',(-3.45,-0.450000000000016,-0.950000000000001)); +#15443=CARTESIAN_POINT('',(-3.45,0.,-0.950000000000001)); +#15444=CARTESIAN_POINT('',(-3.45,-0.850000000000016,-0.950000000000001)); +#15445=CARTESIAN_POINT('',(-3.45,-0.850000000000016,-0.800000000000001)); +#15446=CARTESIAN_POINT('',(-3.45,-0.850000000000016,0.0914213562373091)); +#15447=CARTESIAN_POINT('',(-3.45,-1.24999999999998,0.0914213562373092)); +#15448=CARTESIAN_POINT('',(-3.45,0.,0.950000000000001)); +#15449=CARTESIAN_POINT('',(-3.45,0.,-0.950000000000001)); +#15450=CARTESIAN_POINT('',(-3.45,0.549999999999984,-0.950000000000001)); +#15451=CARTESIAN_POINT('',(-3.45,0.549999999999984,-0.0999999999999996)); +#15452=CARTESIAN_POINT('',(-3.45,0.549999999999984,-0.0999999999999996)); +#15453=CARTESIAN_POINT('',(-3.45,0.399999999999984,-0.0999999999999996)); +#15454=CARTESIAN_POINT('',(3.45,0.,0.)); +#15455=CARTESIAN_POINT('',(3.45,0.549999999999984,6.27695994596852E-17)); +#15456=CARTESIAN_POINT('',(3.45,0.549999999999984,-0.0999999999999996)); +#15457=CARTESIAN_POINT('',(3.45,0.549999999999984,-0.950000000000001)); +#15458=CARTESIAN_POINT('',(3.45,1.24999999999998,-0.950000000000001)); +#15459=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.950000000000001)); +#15460=CARTESIAN_POINT('',(3.45,0.,0.0914213562373092)); +#15461=CARTESIAN_POINT('',(3.45,-0.850000000000016,0.0914213562373091)); +#15462=CARTESIAN_POINT('',(3.45,-0.850000000000016,-0.800000000000001)); +#15463=CARTESIAN_POINT('',(3.45,-0.850000000000016,-0.950000000000001)); +#15464=CARTESIAN_POINT('',(3.45,-0.450000000000016,-0.950000000000001)); +#15465=CARTESIAN_POINT('',(3.45,-0.450000000000016,-0.950000000000001)); +#15466=CARTESIAN_POINT('',(3.45,-0.450000000000016,-1.05)); +#15467=CARTESIAN_POINT('',(3.45,-0.450000000000016,-0.0999999999999996)); +#15468=CARTESIAN_POINT('',(3.45,-0.450000000000016,-0.0999999999999996)); +#15469=CARTESIAN_POINT('',(3.45,1.24999999999998,1.05)); +#15470=CARTESIAN_POINT('',(3.35,1.24999999999998,1.05)); +#15471=CARTESIAN_POINT('',(2.81,1.24999999999998,1.05)); +#15472=CARTESIAN_POINT('',(2.81,0.700000000000017,1.05)); +#15473=CARTESIAN_POINT('',(3.40499999999998,1.295,1.05)); +#15474=CARTESIAN_POINT('',(2.66,0.550000000000017,1.05)); +#15475=CARTESIAN_POINT('',(2.81,0.550000000000017,1.05)); +#15476=CARTESIAN_POINT('',(1.85,0.550000000000017,1.05)); +#15477=CARTESIAN_POINT('',(-1.85,0.550000000000016,1.05)); +#15478=CARTESIAN_POINT('',(-1.85,0.550000000000016,1.05)); +#15479=CARTESIAN_POINT('',(-1.85,0.550000000000017,1.05)); +#15480=CARTESIAN_POINT('',(-2.66,0.550000000000017,1.05)); +#15481=CARTESIAN_POINT('',(0.0450000000000168,-2.155,1.05)); +#15482=CARTESIAN_POINT('',(-2.81,0.700000000000017,1.05)); +#15483=CARTESIAN_POINT('',(-2.81,0.550000000000017,1.05)); +#15484=CARTESIAN_POINT('',(-3.35,1.24999999999998,1.05)); +#15485=CARTESIAN_POINT('',(-2.45,-0.750000000000015,1.05)); +#15486=CARTESIAN_POINT('',(-2.45,-0.750000000000015,1.05)); +#15487=CARTESIAN_POINT('',(-1.3,-0.750000000000015,1.05)); +#15488=CARTESIAN_POINT('',(-1.3,-0.750000000000015,1.05)); +#15489=CARTESIAN_POINT('',(-1.3,-1.24999999999998,1.05)); +#15490=CARTESIAN_POINT('',(-1.3,-0.969999999999983,1.05)); +#15491=CARTESIAN_POINT('',(3.45,-0.969999999999983,1.05)); +#15492=CARTESIAN_POINT('',(1.3,-0.969999999999983,1.05)); +#15493=CARTESIAN_POINT('',(1.3,-0.750000000000015,1.05)); +#15494=CARTESIAN_POINT('',(1.3,-0.750000000000015,1.05)); +#15495=CARTESIAN_POINT('',(2.45,-0.750000000000015,1.05)); +#15496=CARTESIAN_POINT('',(2.45,-0.750000000000015,1.05)); +#15497=CARTESIAN_POINT('',(2.45,-1.24999999999998,1.05)); +#15498=CARTESIAN_POINT('',(-1.85,1.24999999999998,-0.449999999999999)); +#15499=CARTESIAN_POINT('',(-1.85,1.24999999999998,1.55)); +#15500=CARTESIAN_POINT('',(-1.85,0.550000000000017,1.55)); +#15501=CARTESIAN_POINT('',(-1.85,0.550000000000017,1.55)); +#15502=CARTESIAN_POINT('',(-1.85,0.550000000000016,-0.449999999999999)); +#15503=CARTESIAN_POINT('',(-1.85,0.0499999999999841,-0.800000000000001)); +#15504=CARTESIAN_POINT('',(-1.85,0.550000000000016,-0.449999999999999)); +#15505=CARTESIAN_POINT('',(1.85,0.550000000000017,-0.449999999999999)); +#15506=CARTESIAN_POINT('',(1.85,0.550000000000017,-0.449999999999999)); +#15507=CARTESIAN_POINT('',(1.85,0.550000000000017,1.55)); +#15508=CARTESIAN_POINT('',(1.85,0.550000000000017,1.55)); +#15509=CARTESIAN_POINT('',(1.85,0.550000000000017,1.55)); +#15510=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#15511=CARTESIAN_POINT('',(1.85,0.0499999999999841,-0.800000000000001)); +#15512=CARTESIAN_POINT('',(0.125,0.0499999999999824,0.110000000000001)); +#15513=CARTESIAN_POINT('',(0.125,0.0499999999999833,0.110000000000001)); +#15514=CARTESIAN_POINT('',(0.775,0.049999999999984,0.11)); +#15515=CARTESIAN_POINT('',(1.425,0.049999999999984,0.11)); +#15516=CARTESIAN_POINT('',(-1.175,0.049999999999984,0.110000000000001)); +#15517=CARTESIAN_POINT('',(-0.525,0.049999999999984,0.11)); +#15518=CARTESIAN_POINT('',(-0.125,0.0499999999999824,0.110000000000001)); +#15519=CARTESIAN_POINT('',(-0.125,0.0499999999999833,0.110000000000001)); +#15520=CARTESIAN_POINT('',(0.125,0.0499999999999833,0.110000000000001)); +#15521=CARTESIAN_POINT('',(3.45,-0.850000000000016,-0.800000000000001)); +#15522=CARTESIAN_POINT('',(3.35,-0.850000000000016,-0.950000000000001)); +#15523=CARTESIAN_POINT('',(3.35,-0.850000000000016,0.0914213562373092)); +#15524=CARTESIAN_POINT('',(3.42071067811866,-0.850000000000016,0.0207106781186544)); +#15525=CARTESIAN_POINT('',(3.45,-0.850000000000016,0.0499999999999994)); +#15526=CARTESIAN_POINT('',(2.62928932188135,-0.850000000000016,-0.770710678118655)); +#15527=CARTESIAN_POINT('',(2.55857864376269,-0.850000000000016,-0.700000000000001)); +#15528=CARTESIAN_POINT('',(2.55857864376269,-0.850000000000016,-0.800000000000001)); +#15529=CARTESIAN_POINT('',(3.45,-0.850000000000016,-0.800000000000001)); +#15530=CARTESIAN_POINT('',(2.55,-0.850000000000016,-0.800000000000001)); +#15531=CARTESIAN_POINT('',(2.55,-0.850000000000016,-0.800000000000001)); +#15532=CARTESIAN_POINT('',(2.55,-0.850000000000016,-0.800000000000001)); +#15533=CARTESIAN_POINT('',(2.55,-0.850000000000016,-0.800000000000001)); +#15534=CARTESIAN_POINT('',(2.15,-0.350000000000016,-0.800000000000001)); +#15535=CARTESIAN_POINT('',(2.15,-0.350000000000016,-0.800000000000001)); +#15536=CARTESIAN_POINT('',(2.15,-0.350000000000016,-0.800000000000001)); +#15537=CARTESIAN_POINT('',(2.15,-0.350000000000016,-0.800000000000001)); +#15538=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-0.800000000000001)); +#15539=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-0.800000000000001)); +#15540=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-0.800000000000001)); +#15541=CARTESIAN_POINT('',(-2.15,-0.350000000000016,-0.800000000000001)); +#15542=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-0.800000000000001)); +#15543=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-0.800000000000001)); +#15544=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-0.800000000000001)); +#15545=CARTESIAN_POINT('',(-3.35,-0.850000000000016,-0.950000000000001)); +#15546=CARTESIAN_POINT('',(-2.55,-0.850000000000016,-0.800000000000001)); +#15547=CARTESIAN_POINT('',(-2.55857864376269,-0.850000000000016,-0.800000000000001)); +#15548=CARTESIAN_POINT('',(-2.55857864376269,-0.850000000000016,-0.700000000000001)); +#15549=CARTESIAN_POINT('',(-2.62928932188135,-0.850000000000016,-0.770710678118655)); +#15550=CARTESIAN_POINT('',(-3.45,-0.850000000000016,0.0499999999999994)); +#15551=CARTESIAN_POINT('',(-3.42071067811866,-0.850000000000016,0.0207106781186544)); +#15552=CARTESIAN_POINT('',(-3.35,-0.850000000000016,0.0914213562373092)); +#15553=CARTESIAN_POINT('',(0.,0.,-0.800000000000001)); +#15554=CARTESIAN_POINT('',(-2.55857864376269,-0.850000000000016,-0.800000000000001)); +#15555=CARTESIAN_POINT('',(2.55857864376269,-1.24999999999998,-0.800000000000001)); +#15556=CARTESIAN_POINT('',(2.45,-1.24999999999998,0.77)); +#15557=CARTESIAN_POINT('',(2.45,-0.750000000000015,0.77)); +#15558=CARTESIAN_POINT('',(2.45,-0.750000000000015,0.77)); +#15559=CARTESIAN_POINT('',(1.3,-0.750000000000015,0.77)); +#15560=CARTESIAN_POINT('',(1.3,-0.750000000000015,0.77)); +#15561=CARTESIAN_POINT('',(1.3,-1.24999999999998,0.770000000000001)); +#15562=CARTESIAN_POINT('',(2.81,1.24999999999998,1.55)); +#15563=CARTESIAN_POINT('',(2.81,1.24999999999998,1.55)); +#15564=CARTESIAN_POINT('',(2.81,0.700000000000017,1.55)); +#15565=CARTESIAN_POINT('',(2.81,0.700000000000017,1.55)); +#15566=CARTESIAN_POINT('',(2.81,0.550000000000017,1.55)); +#15567=CARTESIAN_POINT('',(2.66,0.550000000000017,1.55)); +#15568=CARTESIAN_POINT('',(2.66,0.550000000000017,1.55)); +#15569=CARTESIAN_POINT('',(2.81,0.550000000000017,1.55)); +#15570=CARTESIAN_POINT('',(0.,0.,1.55)); +#15571=CARTESIAN_POINT('',(2.81,0.700000000000017,1.55)); +#15572=CARTESIAN_POINT('',(3.25,-0.450000000000016,-1.05)); +#15573=CARTESIAN_POINT('',(3.35,-0.450000000000016,-0.950000000000001)); +#15574=CARTESIAN_POINT('',(3.25,-0.450000000000016,-1.05)); +#15575=CARTESIAN_POINT('',(3.25,-0.450000000000016,-0.0999999999999996)); +#15576=CARTESIAN_POINT('',(3.25,-0.450000000000016,-0.0999999999999996)); +#15577=CARTESIAN_POINT('',(3.25,-0.450000000000016,-0.0999999999999996)); +#15578=CARTESIAN_POINT('',(3.25,-0.450000000000016,-0.0999999999999996)); +#15579=CARTESIAN_POINT('',(3.25,0.399999999999984,-0.0999999999999996)); +#15580=CARTESIAN_POINT('',(3.25,0.399999999999984,-0.0999999999999996)); +#15581=CARTESIAN_POINT('',(3.3,0.399999999999984,-0.0999999999999996)); +#15582=CARTESIAN_POINT('',(3.45,0.549999999999984,-0.0999999999999996)); +#15583=CARTESIAN_POINT('',(3.25,0.399999999999984,-0.0999999999999996)); +#15584=CARTESIAN_POINT('',(3.3,0.399999999999984,-0.0999999999999996)); +#15585=CARTESIAN_POINT('',(3.25,0.399999999999984,-0.0999999999999996)); +#15586=CARTESIAN_POINT('',(3.25,0.,0.)); +#15587=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.65)); +#15588=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.5)); +#15589=CARTESIAN_POINT('',(2.8,-0.200000000000015,-1.5)); +#15590=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.65)); +#15591=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.65)); +#15592=CARTESIAN_POINT('',(2.65,-0.200000000000015,-1.65)); +#15593=CARTESIAN_POINT('',(2.5,-0.200000000000015,-1.5)); +#15594=CARTESIAN_POINT('',(3.45,0.,0.0499999999999994)); +#15595=CARTESIAN_POINT('',(2.62928932188135,-0.850000000000016,-0.770710678118655)); +#15596=CARTESIAN_POINT('',(3.42071067811866,0.,0.0207106781186544)); +#15597=CARTESIAN_POINT('',(-2.45,-0.750000000000015,0.77)); +#15598=CARTESIAN_POINT('',(-2.45,-0.750000000000015,0.77)); +#15599=CARTESIAN_POINT('',(-1.3,-1.24999999999998,0.77)); +#15600=CARTESIAN_POINT('',(-1.3,-1.24999999999998,0.770000000000001)); +#15601=CARTESIAN_POINT('',(-1.3,-0.750000000000015,0.77)); +#15602=CARTESIAN_POINT('',(-1.3,-0.750000000000015,0.77)); +#15603=CARTESIAN_POINT('',(-1.85,0.550000000000017,1.55)); +#15604=CARTESIAN_POINT('',(-1.85,0.550000000000017,1.55)); +#15605=CARTESIAN_POINT('',(-2.66,0.550000000000017,1.55)); +#15606=CARTESIAN_POINT('',(-2.66,0.550000000000017,1.55)); +#15607=CARTESIAN_POINT('',(-2.81,0.550000000000017,1.55)); +#15608=CARTESIAN_POINT('',(-2.81,0.700000000000017,1.55)); +#15609=CARTESIAN_POINT('',(-2.81,0.700000000000017,1.55)); +#15610=CARTESIAN_POINT('',(-2.81,0.550000000000017,1.55)); +#15611=CARTESIAN_POINT('',(0.,0.,1.55)); +#15612=CARTESIAN_POINT('',(-2.66,0.550000000000017,1.55)); +#15613=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-0.0999999999999996)); +#15614=CARTESIAN_POINT('',(-3.35,-0.450000000000016,-0.950000000000001)); +#15615=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-0.0999999999999996)); +#15616=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-0.0999999999999996)); +#15617=CARTESIAN_POINT('',(-3.25,-0.450000000000016,-0.0999999999999996)); +#15618=CARTESIAN_POINT('',(-3.25,0.399999999999984,-1.05)); +#15619=CARTESIAN_POINT('',(-3.25,0.399999999999984,-0.0999999999999996)); +#15620=CARTESIAN_POINT('',(-3.25,0.399999999999984,-0.0999999999999996)); +#15621=CARTESIAN_POINT('',(-3.3,0.399999999999984,-0.0999999999999996)); +#15622=CARTESIAN_POINT('',(-3.3,0.399999999999984,-1.05)); +#15623=CARTESIAN_POINT('',(-3.25,0.399999999999984,-1.05)); +#15624=CARTESIAN_POINT('',(-3.25,0.399999999999984,-0.0999999999999996)); +#15625=CARTESIAN_POINT('',(-3.45,0.549999999999984,-0.0999999999999996)); +#15626=CARTESIAN_POINT('',(-3.25,0.399999999999984,-0.0999999999999996)); +#15627=CARTESIAN_POINT('',(-3.25,0.,0.)); +#15628=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.65)); +#15629=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.5)); +#15630=CARTESIAN_POINT('',(-2.2,-0.200000000000015,-1.5)); +#15631=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.65)); +#15632=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.65)); +#15633=CARTESIAN_POINT('',(-2.35,-0.200000000000015,-1.65)); +#15634=CARTESIAN_POINT('',(-2.5,-0.200000000000015,-1.5)); +#15635=CARTESIAN_POINT('',(-3.45,0.,0.0499999999999994)); +#15636=CARTESIAN_POINT('',(-2.62928932188135,-1.24999999999998,-0.770710678118655)); +#15637=CARTESIAN_POINT('',(-3.42071067811866,-0.850000000000016,0.0207106781186544)); +#15638=CARTESIAN_POINT('',(3.45,-1.24999999999998,0.770000000000001)); +#15639=CARTESIAN_POINT('',(-1.75,-3.94999999999998,0.17)); +#15640=CARTESIAN_POINT('',(-1.75,-3.94999999999998,0.37)); +#15641=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.37)); +#15642=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.77)); +#15643=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.570000000000001)); +#15644=CARTESIAN_POINT('',(-1.75,-3.94999999999998,0.570000000000001)); +#15645=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.77)); +#15646=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.570000000000001)); +#15647=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.570000000000001)); +#15648=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.17)); +#15649=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.37)); +#15650=CARTESIAN_POINT('',(1.75,-3.94999999999998,0.37)); +#15651=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.12)); +#15652=CARTESIAN_POINT('',(1.425,0.0399999999999845,0.12)); +#15653=CARTESIAN_POINT('',(1.425,-3.54999999999998,0.42)); +#15654=CARTESIAN_POINT('',(1.4,-3.54999999999998,0.42)); +#15655=CARTESIAN_POINT('',(1.4,-3.54999999999998,0.27)); +#15656=CARTESIAN_POINT('',(1.2,-3.54999999999998,0.27)); +#15657=CARTESIAN_POINT('',(1.2,-3.54999999999998,0.27)); +#15658=CARTESIAN_POINT('',(1.4,-3.54999999999998,0.27)); +#15659=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.11)); +#15660=CARTESIAN_POINT('',(1.425,0.0399999999999841,0.11)); +#15661=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.42)); +#15662=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.27)); +#15663=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.27)); +#15664=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.27)); +#15665=CARTESIAN_POINT('',(1.4,-3.94999999999998,0.27)); +#15666=CARTESIAN_POINT('',(1.2,-3.94999999999998,0.27)); +#15667=CARTESIAN_POINT('',(1.4,-2.64999999999998,-8.44364667256536)); +#15668=CARTESIAN_POINT('',(1.4,-3.14999999999998,-8.44364667256536)); +#15669=CARTESIAN_POINT('',(1.4,-2.64999999999998,-8.44364667256536)); +#15670=CARTESIAN_POINT('',(1.4,-3.14999999999998,-8.44364667256536)); +#15671=CARTESIAN_POINT('',(1.2,-3.14999999999998,-8.44364667256536)); +#15672=CARTESIAN_POINT('',(1.2,-3.14999999999998,-8.44364667256536)); +#15673=CARTESIAN_POINT('',(1.2,-2.64999999999998,-8.44364667256536)); +#15674=CARTESIAN_POINT('',(1.2,-2.64999999999998,-8.44364667256536)); +#15675=CARTESIAN_POINT('',(1.4,-1.64999999999998,-8.44364667256536)); +#15676=CARTESIAN_POINT('',(1.4,-2.14999999999998,-8.44364667256536)); +#15677=CARTESIAN_POINT('',(1.4,-1.64999999999998,-8.44364667256536)); +#15678=CARTESIAN_POINT('',(1.4,-2.14999999999998,-8.44364667256536)); +#15679=CARTESIAN_POINT('',(1.2,-2.14999999999998,-8.44364667256536)); +#15680=CARTESIAN_POINT('',(1.2,-2.14999999999998,-8.44364667256536)); +#15681=CARTESIAN_POINT('',(1.2,-1.64999999999998,-8.44364667256536)); +#15682=CARTESIAN_POINT('',(1.2,-1.64999999999998,-8.44364667256536)); +#15683=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.12)); +#15684=CARTESIAN_POINT('',(0.775,0.0399999999999845,0.12)); +#15685=CARTESIAN_POINT('',(0.775,-3.54999999999998,0.42)); +#15686=CARTESIAN_POINT('',(0.75,-3.54999999999998,0.42)); +#15687=CARTESIAN_POINT('',(0.75,-3.54999999999998,0.27)); +#15688=CARTESIAN_POINT('',(0.55,-3.54999999999998,0.27)); +#15689=CARTESIAN_POINT('',(0.55,-3.54999999999998,0.27)); +#15690=CARTESIAN_POINT('',(0.75,-3.54999999999998,0.27)); +#15691=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.11)); +#15692=CARTESIAN_POINT('',(0.775,0.0399999999999841,0.11)); +#15693=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.42)); +#15694=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.27)); +#15695=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.27)); +#15696=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.27)); +#15697=CARTESIAN_POINT('',(0.75,-3.94999999999998,0.27)); +#15698=CARTESIAN_POINT('',(0.55,-3.94999999999998,0.27)); +#15699=CARTESIAN_POINT('',(0.749999999999999,-2.64999999999998,-8.44364667256536)); +#15700=CARTESIAN_POINT('',(0.749999999999999,-3.14999999999998,-8.44364667256536)); +#15701=CARTESIAN_POINT('',(0.749999999999999,-2.64999999999998,-8.44364667256536)); +#15702=CARTESIAN_POINT('',(0.749999999999999,-3.14999999999998,-8.44364667256536)); +#15703=CARTESIAN_POINT('',(0.55,-3.14999999999998,-8.44364667256536)); +#15704=CARTESIAN_POINT('',(0.55,-3.14999999999998,-8.44364667256536)); +#15705=CARTESIAN_POINT('',(0.55,-2.64999999999998,-8.44364667256536)); +#15706=CARTESIAN_POINT('',(0.55,-2.64999999999998,-8.44364667256536)); +#15707=CARTESIAN_POINT('',(0.749999999999999,-1.64999999999998,-8.44364667256536)); +#15708=CARTESIAN_POINT('',(0.749999999999999,-2.14999999999998,-8.44364667256536)); +#15709=CARTESIAN_POINT('',(0.749999999999999,-1.64999999999998,-8.44364667256536)); +#15710=CARTESIAN_POINT('',(0.749999999999999,-2.14999999999998,-8.44364667256536)); +#15711=CARTESIAN_POINT('',(0.55,-2.14999999999998,-8.44364667256536)); +#15712=CARTESIAN_POINT('',(0.55,-2.14999999999998,-8.44364667256536)); +#15713=CARTESIAN_POINT('',(0.55,-1.64999999999998,-8.44364667256536)); +#15714=CARTESIAN_POINT('',(0.55,-1.64999999999998,-8.44364667256536)); +#15715=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.12)); +#15716=CARTESIAN_POINT('',(-0.525,0.0399999999999845,0.12)); +#15717=CARTESIAN_POINT('',(-0.525,-3.54999999999998,0.42)); +#15718=CARTESIAN_POINT('',(-0.55,-3.54999999999998,0.42)); +#15719=CARTESIAN_POINT('',(-0.55,-3.54999999999998,0.27)); +#15720=CARTESIAN_POINT('',(-0.75,-3.54999999999998,0.27)); +#15721=CARTESIAN_POINT('',(-0.75,-3.54999999999998,0.27)); +#15722=CARTESIAN_POINT('',(-0.550000000000001,-3.54999999999998,0.27)); +#15723=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.11)); +#15724=CARTESIAN_POINT('',(-0.525,0.0399999999999841,0.11)); +#15725=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.42)); +#15726=CARTESIAN_POINT('',(-0.55,-3.94999999999998,0.27)); +#15727=CARTESIAN_POINT('',(-0.550000000000001,-3.94999999999998,0.27)); +#15728=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.27)); +#15729=CARTESIAN_POINT('',(-0.550000000000001,-3.94999999999998,0.27)); +#15730=CARTESIAN_POINT('',(-0.75,-3.94999999999998,0.27)); +#15731=CARTESIAN_POINT('',(-0.550000000000001,-2.64999999999998,-8.44364667256536)); +#15732=CARTESIAN_POINT('',(-0.550000000000001,-3.14999999999998,-8.44364667256536)); +#15733=CARTESIAN_POINT('',(-0.550000000000001,-2.64999999999998,-8.44364667256536)); +#15734=CARTESIAN_POINT('',(-0.550000000000001,-3.14999999999998,-8.44364667256536)); +#15735=CARTESIAN_POINT('',(-0.750000000000001,-3.14999999999998,-8.44364667256536)); +#15736=CARTESIAN_POINT('',(-0.750000000000001,-3.14999999999998,-8.44364667256536)); +#15737=CARTESIAN_POINT('',(-0.750000000000001,-2.64999999999998,-8.44364667256536)); +#15738=CARTESIAN_POINT('',(-0.750000000000001,-2.64999999999998,-8.44364667256536)); +#15739=CARTESIAN_POINT('',(-0.550000000000001,-1.64999999999998,-8.44364667256536)); +#15740=CARTESIAN_POINT('',(-0.550000000000001,-2.14999999999998,-8.44364667256536)); +#15741=CARTESIAN_POINT('',(-0.550000000000001,-1.64999999999998,-8.44364667256536)); +#15742=CARTESIAN_POINT('',(-0.550000000000001,-2.14999999999998,-8.44364667256536)); +#15743=CARTESIAN_POINT('',(-0.750000000000001,-2.14999999999998,-8.44364667256536)); +#15744=CARTESIAN_POINT('',(-0.750000000000001,-2.14999999999998,-8.44364667256536)); +#15745=CARTESIAN_POINT('',(-0.750000000000001,-1.64999999999998,-8.44364667256536)); +#15746=CARTESIAN_POINT('',(-0.750000000000001,-1.64999999999998,-8.44364667256536)); +#15747=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.120000000000001)); +#15748=CARTESIAN_POINT('',(-1.175,0.0399999999999845,0.120000000000001)); +#15749=CARTESIAN_POINT('',(-1.175,-3.54999999999998,0.42)); +#15750=CARTESIAN_POINT('',(-1.2,-3.54999999999998,0.42)); +#15751=CARTESIAN_POINT('',(-1.2,-3.54999999999998,0.270000000000001)); +#15752=CARTESIAN_POINT('',(-1.4,-3.54999999999998,0.270000000000001)); +#15753=CARTESIAN_POINT('',(-1.4,-3.54999999999998,0.270000000000001)); +#15754=CARTESIAN_POINT('',(-1.2,-3.54999999999998,0.270000000000001)); +#15755=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.110000000000001)); +#15756=CARTESIAN_POINT('',(-1.175,0.0399999999999841,0.110000000000001)); +#15757=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.42)); +#15758=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.270000000000001)); +#15759=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.270000000000001)); +#15760=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.270000000000001)); +#15761=CARTESIAN_POINT('',(-1.2,-3.94999999999998,0.270000000000001)); +#15762=CARTESIAN_POINT('',(-1.4,-3.94999999999998,0.270000000000001)); +#15763=CARTESIAN_POINT('',(-1.2,-2.64999999999998,-8.44364667256536)); +#15764=CARTESIAN_POINT('',(-1.2,-3.14999999999998,-8.44364667256536)); +#15765=CARTESIAN_POINT('',(-1.2,-2.64999999999998,-8.44364667256536)); +#15766=CARTESIAN_POINT('',(-1.2,-3.14999999999998,-8.44364667256536)); +#15767=CARTESIAN_POINT('',(-1.4,-3.14999999999998,-8.44364667256536)); +#15768=CARTESIAN_POINT('',(-1.4,-3.14999999999998,-8.44364667256536)); +#15769=CARTESIAN_POINT('',(-1.4,-2.64999999999998,-8.44364667256536)); +#15770=CARTESIAN_POINT('',(-1.4,-2.64999999999998,-8.44364667256536)); +#15771=CARTESIAN_POINT('',(-1.2,-1.64999999999998,-8.44364667256536)); +#15772=CARTESIAN_POINT('',(-1.2,-2.14999999999998,-8.44364667256536)); +#15773=CARTESIAN_POINT('',(-1.2,-1.64999999999998,-8.44364667256536)); +#15774=CARTESIAN_POINT('',(-1.2,-2.14999999999998,-8.44364667256536)); +#15775=CARTESIAN_POINT('',(-1.4,-2.14999999999998,-8.44364667256536)); +#15776=CARTESIAN_POINT('',(-1.4,-2.14999999999998,-8.44364667256536)); +#15777=CARTESIAN_POINT('',(-1.4,-1.64999999999998,-8.44364667256536)); +#15778=CARTESIAN_POINT('',(-1.4,-1.64999999999998,-8.44364667256536)); +#15779=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.12)); +#15780=CARTESIAN_POINT('',(0.125,-3.84999999999998,0.12)); +#15781=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.120000000000001)); +#15782=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.120000000000001)); +#15783=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.120000000000001)); +#15784=CARTESIAN_POINT('',(-0.125,-3.84999999999998,0.12)); +#15785=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.110000000000001)); +#15786=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.110000000000001)); +#15787=CARTESIAN_POINT('',(0.125,-3.14999999999998,-8.44364667256536)); +#15788=CARTESIAN_POINT('',(0.125,-2.64999999999998,-8.44364667256536)); +#15789=CARTESIAN_POINT('',(0.125,-2.14999999999998,-8.44364667256536)); +#15790=CARTESIAN_POINT('',(0.125,-1.64999999999998,-8.44364667256536)); +#15791=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.110000000000001)); +#15792=CARTESIAN_POINT('',(0.125,-8.21364667256537,-0.79)); +#15793=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.110000000000001)); +#15794=CARTESIAN_POINT('',(-0.125,-8.21364667256537,-0.79)); +#15795=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.110000000000001)); +#15796=CARTESIAN_POINT('',(-0.125,-1.64999999999998,-8.44364667256536)); +#15797=CARTESIAN_POINT('',(-0.125,-2.14999999999998,-8.44364667256536)); +#15798=CARTESIAN_POINT('',(-0.125,-2.64999999999998,-8.44364667256536)); +#15799=CARTESIAN_POINT('',(-0.125,-3.14999999999998,-8.44364667256536)); +#15800=CARTESIAN_POINT('',(-0.125,0.0399999999999836,0.110000000000001)); +#15801=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.110000000000001)); +#15802=CARTESIAN_POINT('',(0.125,0.0399999999999836,0.110000000000001)); +#15803=CARTESIAN_POINT('',(0.1,5.26364667256537,0.269999999999999)); +#15804=CARTESIAN_POINT('',(-0.1,5.26364667256537,0.269999999999999)); +#15805=CARTESIAN_POINT('',(0.1,-3.94999999999998,0.269999999999999)); +#15806=CARTESIAN_POINT('',(0.1,5.26364667256537,0.269999999999999)); +#15807=CARTESIAN_POINT('',(-0.1,5.26364667256537,0.269999999999999)); +#15808=CARTESIAN_POINT('',(0.1,5.26364667256537,0.419999999999999)); +#15809=CARTESIAN_POINT('',(0.125,-3.14999999999998,-8.44364667256536)); +#15810=CARTESIAN_POINT('',(-0.125,-2.64999999999998,-8.44364667256536)); +#15811=CARTESIAN_POINT('',(0.125,-2.14999999999998,-8.44364667256536)); +#15812=CARTESIAN_POINT('',(-0.125,-1.64999999999998,-8.44364667256536)); +#15813=CARTESIAN_POINT('',(0.125,-8.21364667256537,-0.79)); +#15814=CARTESIAN_POINT('',(2.81,0.700000000000017,1.55)); +#15815=CARTESIAN_POINT('',(-2.66,0.550000000000017,1.55)); +#15816=CARTESIAN_POINT('',(-3.45,0.549999999999984,6.27695994596852E-17)); +#15817=CARTESIAN_POINT('',(-3.35,0.449999999999984,-0.950000000000001)); +#15818=CARTESIAN_POINT('',(3.45,0.549999999999984,6.27695994596852E-17)); +#15819=CARTESIAN_POINT('',(3.35,0.449999999999984,-0.950000000000001)); +#15820=CARTESIAN_POINT('',(3.35,0.,-0.950000000000001)); +#15821=CARTESIAN_POINT('',(3.35,0.,0.0914213562373092)); +#15822=CARTESIAN_POINT('',(2.55857864376269,0.,-0.700000000000001)); +#15823=CARTESIAN_POINT('',(1.65,-3.84999999999998,0.77)); +#15824=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.71142135623731)); +#15825=CARTESIAN_POINT('',(1.73277763643937,-3.9221971483219,0.670065076035249)); +#15826=CARTESIAN_POINT('',(1.75,-3.88494202149216,0.619416280555426)); +#15827=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.570000000000001)); +#15828=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.71142135623731)); +#15829=CARTESIAN_POINT('',(1.65,-3.84999999999998,0.67)); +#15830=CARTESIAN_POINT('',(1.65,-3.84999999999998,0.27)); +#15831=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.228578643762691)); +#15832=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.228578643762691)); +#15833=CARTESIAN_POINT('',(1.73277763643937,-3.9221971483219,0.269934923964752)); +#15834=CARTESIAN_POINT('',(1.75,-3.88494202149216,0.320583719444576)); +#15835=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.37)); +#15836=CARTESIAN_POINT('',(1.75,-3.84999999999998,0.27)); +#15837=CARTESIAN_POINT('',(-1.65,-3.84999999999998,0.27)); +#15838=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.228578643762691)); +#15839=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.228578643762691)); +#15840=CARTESIAN_POINT('',(-1.65006507603525,-3.9221971483219,0.18722236356063)); +#15841=CARTESIAN_POINT('',(-1.59941628055534,-3.88494202149228,0.17)); +#15842=CARTESIAN_POINT('',(-1.55,-3.84999999999998,0.17)); +#15843=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.228578643762691)); +#15844=CARTESIAN_POINT('',(1.65006507603525,-3.9221971483219,0.18722236356063)); +#15845=CARTESIAN_POINT('',(1.59941628055534,-3.88494202149228,0.17)); +#15846=CARTESIAN_POINT('',(1.55,-3.84999999999998,0.17)); +#15847=CARTESIAN_POINT('',(0.,-3.84999999999998,0.67)); +#15848=CARTESIAN_POINT('',(1.69142135623731,-3.94101797211243,0.71142135623731)); +#15849=CARTESIAN_POINT('',(1.65006507603525,-3.9221971483219,0.752777636439371)); +#15850=CARTESIAN_POINT('',(1.59941628055537,-3.88494202149224,0.77)); +#15851=CARTESIAN_POINT('',(1.55,-3.84999999999998,0.77)); +#15852=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.71142135623731)); +#15853=CARTESIAN_POINT('',(-1.65006507603525,-3.9221971483219,0.752777636439371)); +#15854=CARTESIAN_POINT('',(-1.59941628055537,-3.88494202149224,0.77)); +#15855=CARTESIAN_POINT('',(-1.55,-3.84999999999998,0.77)); +#15856=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.71142135623731)); +#15857=CARTESIAN_POINT('',(-1.65,-3.84999999999998,0.67)); +#15858=CARTESIAN_POINT('',(-1.65,-3.84999999999998,0.17)); +#15859=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.228578643762691)); +#15860=CARTESIAN_POINT('',(-1.73277763643937,-3.9221971483219,0.269934923964752)); +#15861=CARTESIAN_POINT('',(-1.75,-3.88494202149235,0.320583719444709)); +#15862=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.37)); +#15863=CARTESIAN_POINT('',(-1.69142135623731,-3.94101797211243,0.71142135623731)); +#15864=CARTESIAN_POINT('',(-1.73277763643937,-3.9221971483219,0.670065076035249)); +#15865=CARTESIAN_POINT('',(-1.75,-3.88494202149216,0.619416280555426)); +#15866=CARTESIAN_POINT('',(-1.75,-3.84999999999998,0.570000000000001)); +#15867=CARTESIAN_POINT('',(-3.35,1.24999999999998,0.950000000000001)); +#15868=CARTESIAN_POINT('',(-3.35,-1.24999999999998,-0.950000000000001)); +#15869=CARTESIAN_POINT('',(3.35,0.,0.950000000000001)); +#15870=CARTESIAN_POINT('',(3.35,0.,-0.950000000000001)); +#15871=CARTESIAN_POINT('',(-3.35,0.,0.0914213562373092)); +#15872=CARTESIAN_POINT('',(-3.35,-1.24999999999998,-0.950000000000001)); +#15873=CARTESIAN_POINT('',(-2.55857864376269,0.,-0.700000000000001)); +#15874=CARTESIAN_POINT('',(-1.55,-3.94999999999998,0.570000000000001)); +#15875=CARTESIAN_POINT('',(1.55,-3.94999999999998,0.37)); +#15876=CARTESIAN_POINT('',(1.55,0.,0.570000000000001)); +#15877=CARTESIAN_POINT('',(-1.55,-3.94999999999998,0.37)); +#15878=CARTESIAN_POINT('',(0.,0.,0.)); +#15879=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.454999999999998)); +#15880=CARTESIAN_POINT('',(-0.125,-1.79656537194598,0.454999999999998)); +#15881=CARTESIAN_POINT('',(-0.125,-1.79656537194598,0.504999999999998)); +#15882=CARTESIAN_POINT('',(-0.125,-1.79656537194598,0.754999999999998)); +#15883=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.504999999999998)); +#15884=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.504999999999998)); +#15885=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.454999999999998)); +#15886=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.754999999999998)); +#15887=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.754999999999998)); +#15888=CARTESIAN_POINT('',(0.1,-1.79656537194598,0.754999999999998)); +#15889=CARTESIAN_POINT('',(0.1,-1.79656537194598,0.604999999999998)); +#15890=CARTESIAN_POINT('',(0.1,-1.79656537194598,0.604999999999998)); +#15891=CARTESIAN_POINT('',(-0.1,-1.79656537194598,0.604999999999998)); +#15892=CARTESIAN_POINT('',(-0.1,-1.79656537194598,0.604999999999998)); +#15893=CARTESIAN_POINT('',(-0.1,-1.79656537194598,0.754999999999998)); +#15894=CARTESIAN_POINT('',(-0.1,-1.79656537194598,0.754999999999998)); +#15895=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.754999999999998)); +#15896=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.754999999999998)); +#15897=CARTESIAN_POINT('',(-0.125,-1.79656537194598,0.754999999999998)); +#15898=CARTESIAN_POINT('',(-0.125,1.79343462805402,0.754999999999998)); +#15899=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.754999999999998)); +#15900=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.754999999999998)); +#15901=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.754999999999998)); +#15902=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.754999999999998)); +#15903=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.754999999999998)); +#15904=CARTESIAN_POINT('',(0.125,-1.79656537194598,0.754999999999998)); +#15905=CARTESIAN_POINT('',(0.125,1.79343462805402,0.754999999999998)); +#15906=CARTESIAN_POINT('',(0.125,1.79343462805402,0.754999999999998)); +#15907=CARTESIAN_POINT('',(0.125,1.79343462805402,0.444999999999998)); +#15908=CARTESIAN_POINT('',(-0.125,1.79343462805402,0.444999999999998)); +#15909=CARTESIAN_POINT('',(-0.125,2.10343462805402,0.444999999999998)); +#15910=CARTESIAN_POINT('',(0.125,1.79343462805402,0.444999999999998)); +#15911=CARTESIAN_POINT('',(0.125,2.10343462805402,0.444999999999998)); +#15912=CARTESIAN_POINT('',(0.125,2.10343462805402,0.444999999999998)); +#15913=CARTESIAN_POINT('',(0.125,2.10343462805402,0.444999999999998)); +#15914=CARTESIAN_POINT('',(-0.125,2.10343462805402,0.444999999999998)); +#15915=CARTESIAN_POINT('',(-0.125,2.10343462805402,-0.445000000000002)); +#15916=CARTESIAN_POINT('',(0.125,2.10343462805402,0.444999999999998)); +#15917=CARTESIAN_POINT('',(0.125,2.10343462805402,-0.445000000000002)); +#15918=CARTESIAN_POINT('',(0.125,2.10343462805402,-0.445000000000002)); +#15919=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.445000000000002)); +#15920=CARTESIAN_POINT('',(-0.125,2.11343462805402,-0.445000000000002)); +#15921=CARTESIAN_POINT('',(-0.125,2.11343462805402,-0.455000000000002)); +#15922=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.445000000000002)); +#15923=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.455000000000002)); +#15924=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.455000000000002)); +#15925=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.454999999999995)); +#15926=CARTESIAN_POINT('',(-0.125,2.11343462805402,-0.454999999999995)); +#15927=CARTESIAN_POINT('',(-0.125,3.00656537194598,-0.454999999999995)); +#15928=CARTESIAN_POINT('',(0.125,2.11343462805402,-0.454999999999995)); +#15929=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.454999999999995)); +#15930=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.454999999999995)); +#15931=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.454999999999995)); +#15932=CARTESIAN_POINT('',(-0.125,3.00656537194598,-0.454999999999995)); +#15933=CARTESIAN_POINT('',(-0.125,3.00656537194598,-0.754999999999995)); +#15934=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.454999999999995)); +#15935=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.754999999999995)); +#15936=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.754999999999995)); +#15937=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.754999999999995)); +#15938=CARTESIAN_POINT('',(-0.125,3.00656537194598,-0.754999999999995)); +#15939=CARTESIAN_POINT('',(-0.125,2.11656537194598,-0.754999999999995)); +#15940=CARTESIAN_POINT('',(0.125,3.00656537194598,-0.754999999999995)); +#15941=CARTESIAN_POINT('',(0.125,2.11656537194598,-0.754999999999995)); +#15942=CARTESIAN_POINT('',(0.125,2.11656537194598,-0.754999999999995)); +#15943=CARTESIAN_POINT('',(0.125,2.11656537194598,-0.445000000000005)); +#15944=CARTESIAN_POINT('',(-0.125,2.11656537194598,-0.445000000000005)); +#15945=CARTESIAN_POINT('',(-0.125,1.80656537194598,-0.445000000000005)); +#15946=CARTESIAN_POINT('',(0.125,2.11656537194598,-0.445000000000005)); +#15947=CARTESIAN_POINT('',(0.125,1.80656537194598,-0.445000000000005)); +#15948=CARTESIAN_POINT('',(0.125,1.80656537194598,-0.445000000000005)); +#15949=CARTESIAN_POINT('',(0.125,1.80656537194598,-0.445000000000005)); +#15950=CARTESIAN_POINT('',(-0.125,1.80656537194598,-0.445000000000005)); +#15951=CARTESIAN_POINT('',(-0.125,1.80656537194598,0.445000000000006)); +#15952=CARTESIAN_POINT('',(0.125,1.80656537194598,-0.445000000000005)); +#15953=CARTESIAN_POINT('',(0.125,1.80656537194598,0.445000000000006)); +#15954=CARTESIAN_POINT('',(0.125,1.80656537194598,0.445000000000006)); +#15955=CARTESIAN_POINT('',(0.125,1.79656537194598,0.445000000000006)); +#15956=CARTESIAN_POINT('',(-0.125,1.79656537194598,0.445000000000006)); +#15957=CARTESIAN_POINT('',(-0.125,1.79656537194598,0.455000000000006)); +#15958=CARTESIAN_POINT('',(0.125,1.79656537194598,0.445000000000006)); +#15959=CARTESIAN_POINT('',(0.125,1.79656537194598,0.455000000000006)); +#15960=CARTESIAN_POINT('',(0.125,1.79656537194598,0.455000000000006)); +#15961=CARTESIAN_POINT('',(0.125,1.79656537194598,0.454999999999998)); +#15962=CARTESIAN_POINT('',(0.125,1.79656537194598,0.454999999999998)); +#15963=CARTESIAN_POINT('',(0.125,-1.74656537194598,0.454999999999998)); +#15964=CARTESIAN_POINT('',(0.125,-1.74656537194598,0.454999999999998)); +#15965=CARTESIAN_POINT('',(-0.125,-1.74656537194598,0.454999999999998)); +#15966=CARTESIAN_POINT('',(-0.125,1.79656537194598,0.454999999999998)); +#15967=CARTESIAN_POINT('',(0.125,1.79343462805402,0.444999999999998)); +#15968=CARTESIAN_POINT('',(0.125,-1.74656537194598,0.454999999999998)); +#15969=CARTESIAN_POINT('',(-0.125,1.79343462805402,0.444999999999998)); +#15970=CARTESIAN_POINT('',(-0.125,0.0284346280540104,-1.32)); +#15971=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.754999999999998)); +#15972=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.604999999999998)); +#15973=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.604999999999998)); +#15974=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.754999999999998)); +#15975=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.604999999999998)); +#15976=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.604999999999998)); +#15977=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.604999999999998)); +#15978=CARTESIAN_POINT('',(-0.1,-2.19656537194598,0.604999999999998)); +#15979=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.604999999999998)); +#15980=CARTESIAN_POINT('',(0.1,-2.19656537194598,0.604999999999998)); +#15981=CARTESIAN_POINT('',(0.,-2.19656537194598,0.)); +#15982=CARTESIAN_POINT('',(0.125,-1.74656537194598,0.454999999999998)); +#15983=CARTESIAN_POINT('',(1.3,-1.753434628054,-0.334999999999998)); +#15984=CARTESIAN_POINT('',(0.65,-1.753434628054,-0.334999999999998)); +#15985=CARTESIAN_POINT('',(-0.65,-1.753434628054,-0.334999999999998)); +#15986=CARTESIAN_POINT('',(-1.3,-1.753434628054,-0.334999999999998)); +#15987=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.454999999999999)); +#15988=CARTESIAN_POINT('',(-0.125,-1.94656537194598,0.454999999999999)); +#15989=CARTESIAN_POINT('',(-0.125,-1.94656537194598,0.504999999999999)); +#15990=CARTESIAN_POINT('',(-0.125,-1.94656537194598,0.754999999999999)); +#15991=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.504999999999999)); +#15992=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.504999999999999)); +#15993=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.454999999999999)); +#15994=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.754999999999999)); +#15995=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.754999999999999)); +#15996=CARTESIAN_POINT('',(0.1,-1.94656537194598,0.754999999999999)); +#15997=CARTESIAN_POINT('',(0.1,-1.94656537194598,0.604999999999999)); +#15998=CARTESIAN_POINT('',(0.1,-1.94656537194598,0.604999999999999)); +#15999=CARTESIAN_POINT('',(-0.1,-1.94656537194598,0.604999999999999)); +#16000=CARTESIAN_POINT('',(-0.1,-1.94656537194598,0.604999999999999)); +#16001=CARTESIAN_POINT('',(-0.1,-1.94656537194598,0.754999999999999)); +#16002=CARTESIAN_POINT('',(-0.1,-1.94656537194598,0.754999999999999)); +#16003=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.754999999999999)); +#16004=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.754999999999999)); +#16005=CARTESIAN_POINT('',(-0.125,-1.94656537194598,0.754999999999999)); +#16006=CARTESIAN_POINT('',(-0.125,1.94343462805402,0.754999999999999)); +#16007=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.754999999999999)); +#16008=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.754999999999999)); +#16009=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.754999999999999)); +#16010=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.754999999999999)); +#16011=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.754999999999999)); +#16012=CARTESIAN_POINT('',(0.125,-1.94656537194598,0.754999999999999)); +#16013=CARTESIAN_POINT('',(0.125,1.94343462805402,0.754999999999999)); +#16014=CARTESIAN_POINT('',(0.125,1.94343462805402,0.754999999999999)); +#16015=CARTESIAN_POINT('',(0.125,1.94343462805402,0.444999999999999)); +#16016=CARTESIAN_POINT('',(-0.125,1.94343462805402,0.444999999999999)); +#16017=CARTESIAN_POINT('',(-0.125,2.25343462805402,0.444999999999999)); +#16018=CARTESIAN_POINT('',(0.125,1.94343462805402,0.444999999999999)); +#16019=CARTESIAN_POINT('',(0.125,2.25343462805402,0.444999999999999)); +#16020=CARTESIAN_POINT('',(0.125,2.25343462805402,0.444999999999999)); +#16021=CARTESIAN_POINT('',(0.125,2.25343462805402,0.444999999999999)); +#16022=CARTESIAN_POINT('',(-0.125,2.25343462805402,0.444999999999999)); +#16023=CARTESIAN_POINT('',(-0.125,2.25343462805402,-0.445000000000001)); +#16024=CARTESIAN_POINT('',(0.125,2.25343462805402,0.444999999999999)); +#16025=CARTESIAN_POINT('',(0.125,2.25343462805402,-0.445000000000001)); +#16026=CARTESIAN_POINT('',(0.125,2.25343462805402,-0.445000000000001)); +#16027=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.445000000000001)); +#16028=CARTESIAN_POINT('',(-0.125,2.26343462805402,-0.445000000000001)); +#16029=CARTESIAN_POINT('',(-0.125,2.26343462805402,-0.455000000000001)); +#16030=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.445000000000001)); +#16031=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.455000000000001)); +#16032=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.455000000000001)); +#16033=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.454999999999998)); +#16034=CARTESIAN_POINT('',(-0.125,2.26343462805402,-0.454999999999998)); +#16035=CARTESIAN_POINT('',(-0.125,3.15656537194598,-0.454999999999998)); +#16036=CARTESIAN_POINT('',(0.125,2.26343462805402,-0.454999999999998)); +#16037=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.454999999999998)); +#16038=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.454999999999998)); +#16039=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.454999999999998)); +#16040=CARTESIAN_POINT('',(-0.125,3.15656537194598,-0.454999999999998)); +#16041=CARTESIAN_POINT('',(-0.125,3.15656537194598,-0.754999999999998)); +#16042=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.454999999999998)); +#16043=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.754999999999998)); +#16044=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.754999999999998)); +#16045=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.754999999999998)); +#16046=CARTESIAN_POINT('',(-0.125,3.15656537194598,-0.754999999999998)); +#16047=CARTESIAN_POINT('',(-0.125,2.26656537194598,-0.754999999999998)); +#16048=CARTESIAN_POINT('',(0.125,3.15656537194598,-0.754999999999998)); +#16049=CARTESIAN_POINT('',(0.125,2.26656537194598,-0.754999999999998)); +#16050=CARTESIAN_POINT('',(0.125,2.26656537194598,-0.754999999999998)); +#16051=CARTESIAN_POINT('',(0.125,2.26656537194598,-0.445000000000001)); +#16052=CARTESIAN_POINT('',(-0.125,2.26656537194598,-0.445000000000001)); +#16053=CARTESIAN_POINT('',(-0.125,1.95656537194598,-0.445000000000001)); +#16054=CARTESIAN_POINT('',(0.125,2.26656537194598,-0.445000000000001)); +#16055=CARTESIAN_POINT('',(0.125,1.95656537194598,-0.445000000000001)); +#16056=CARTESIAN_POINT('',(0.125,1.95656537194598,-0.445000000000001)); +#16057=CARTESIAN_POINT('',(0.125,1.95656537194598,-0.445000000000001)); +#16058=CARTESIAN_POINT('',(-0.125,1.95656537194598,-0.445000000000001)); +#16059=CARTESIAN_POINT('',(-0.125,1.95656537194598,0.445000000000001)); +#16060=CARTESIAN_POINT('',(0.125,1.95656537194598,-0.445000000000001)); +#16061=CARTESIAN_POINT('',(0.125,1.95656537194598,0.445000000000001)); +#16062=CARTESIAN_POINT('',(0.125,1.95656537194598,0.445000000000001)); +#16063=CARTESIAN_POINT('',(0.125,1.94656537194598,0.445000000000001)); +#16064=CARTESIAN_POINT('',(-0.125,1.94656537194598,0.445000000000001)); +#16065=CARTESIAN_POINT('',(-0.125,1.94656537194598,0.455000000000001)); +#16066=CARTESIAN_POINT('',(0.125,1.94656537194598,0.445000000000001)); +#16067=CARTESIAN_POINT('',(0.125,1.94656537194598,0.455000000000001)); +#16068=CARTESIAN_POINT('',(0.125,1.94656537194598,0.455000000000001)); +#16069=CARTESIAN_POINT('',(0.125,1.94656537194598,0.454999999999999)); +#16070=CARTESIAN_POINT('',(0.125,1.94656537194598,0.454999999999999)); +#16071=CARTESIAN_POINT('',(0.125,-1.89656537194598,0.454999999999999)); +#16072=CARTESIAN_POINT('',(0.125,-1.89656537194598,0.454999999999999)); +#16073=CARTESIAN_POINT('',(-0.125,-1.89656537194598,0.454999999999999)); +#16074=CARTESIAN_POINT('',(-0.125,1.94656537194598,0.454999999999999)); +#16075=CARTESIAN_POINT('',(0.125,1.94343462805402,0.444999999999999)); +#16076=CARTESIAN_POINT('',(0.125,-1.89656537194598,0.454999999999999)); +#16077=CARTESIAN_POINT('',(-0.125,1.94343462805402,0.444999999999999)); +#16078=CARTESIAN_POINT('',(-0.125,0.028434628054026,-1.47)); +#16079=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.754999999999999)); +#16080=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.604999999999999)); +#16081=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.604999999999999)); +#16082=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.754999999999999)); +#16083=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.604999999999999)); +#16084=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.604999999999999)); +#16085=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.604999999999999)); +#16086=CARTESIAN_POINT('',(-0.1,-2.04656537194598,0.604999999999999)); +#16087=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.604999999999999)); +#16088=CARTESIAN_POINT('',(0.1,-2.04656537194598,0.604999999999999)); +#16089=CARTESIAN_POINT('',(0.,-2.04656537194598,-1.47926041493162E-15)); +#16090=CARTESIAN_POINT('',(0.125,-1.89656537194598,0.454999999999999)); +#16091=CARTESIAN_POINT('',(2.40557357020221E-16,-1.903434628054,-0.335000000000002)); +#16092=CARTESIAN_POINT('',(-3.5,2.7,1.2)); +#16093=CARTESIAN_POINT('',(-3.5,2.7,1.2)); +#16094=CARTESIAN_POINT('',(-3.5,2.7,1.2)); +#16095=CARTESIAN_POINT('',(-3.05,2.7,1.2)); +#16096=CARTESIAN_POINT('',(-3.05,2.5,1.2)); +#16097=CARTESIAN_POINT('',(-2.85,2.5,1.2)); +#16098=CARTESIAN_POINT('',(-2.85,2.7,1.2)); +#16099=CARTESIAN_POINT('',(-2.85,2.2,1.2)); +#16100=CARTESIAN_POINT('',(-2.65,2.2,1.2)); +#16101=CARTESIAN_POINT('',(-2.65,2.,1.2)); +#16102=CARTESIAN_POINT('',(-3.5,2.,1.2)); +#16103=CARTESIAN_POINT('',(2.65,2.,1.2)); +#16104=CARTESIAN_POINT('',(2.65,2.2,1.2)); +#16105=CARTESIAN_POINT('',(2.85,2.2,1.2)); +#16106=CARTESIAN_POINT('',(2.85,2.7,1.2)); +#16107=CARTESIAN_POINT('',(2.85,2.5,1.2)); +#16108=CARTESIAN_POINT('',(3.05,2.5,1.2)); +#16109=CARTESIAN_POINT('',(3.05,2.7,1.2)); +#16110=CARTESIAN_POINT('',(-3.5,2.7,1.2)); +#16111=CARTESIAN_POINT('',(3.5,2.7,1.2)); +#16112=CARTESIAN_POINT('',(3.5,2.7,1.2)); +#16113=CARTESIAN_POINT('',(3.5,-2.7,1.2)); +#16114=CARTESIAN_POINT('',(-3.5,-2.7,1.2)); +#16115=CARTESIAN_POINT('',(3.075,-2.7,1.2)); +#16116=CARTESIAN_POINT('',(3.075,-2.5,1.2)); +#16117=CARTESIAN_POINT('',(2.875,-2.5,1.2)); +#16118=CARTESIAN_POINT('',(2.875,2.7,1.2)); +#16119=CARTESIAN_POINT('',(2.875,-1.2,1.2)); +#16120=CARTESIAN_POINT('',(2.575,-1.2,1.2)); +#16121=CARTESIAN_POINT('',(2.575,-0.9,1.2)); +#16122=CARTESIAN_POINT('',(-3.5,-0.9,1.2)); +#16123=CARTESIAN_POINT('',(2.175,-0.9,1.2)); +#16124=CARTESIAN_POINT('',(2.175,-1.2,1.2)); +#16125=CARTESIAN_POINT('',(1.875,-1.2,1.2)); +#16126=CARTESIAN_POINT('',(1.875,2.7,1.2)); +#16127=CARTESIAN_POINT('',(1.875,-2.5,1.2)); +#16128=CARTESIAN_POINT('',(1.675,-2.5,1.2)); +#16129=CARTESIAN_POINT('',(1.675,-2.7,1.2)); +#16130=CARTESIAN_POINT('',(-3.5,-2.7,1.2)); +#16131=CARTESIAN_POINT('',(-1.675,-2.7,1.2)); +#16132=CARTESIAN_POINT('',(-1.675,-2.5,1.2)); +#16133=CARTESIAN_POINT('',(-1.875,-2.5,1.2)); +#16134=CARTESIAN_POINT('',(-1.875,2.7,1.2)); +#16135=CARTESIAN_POINT('',(-1.875,-1.2,1.2)); +#16136=CARTESIAN_POINT('',(-2.175,-1.2,1.2)); +#16137=CARTESIAN_POINT('',(-2.175,-0.9,1.2)); +#16138=CARTESIAN_POINT('',(-3.5,-0.9,1.2)); +#16139=CARTESIAN_POINT('',(-2.575,-0.9,1.2)); +#16140=CARTESIAN_POINT('',(-2.575,-1.2,1.2)); +#16141=CARTESIAN_POINT('',(-2.875,-1.2,1.2)); +#16142=CARTESIAN_POINT('',(-2.875,2.7,1.2)); +#16143=CARTESIAN_POINT('',(-2.875,-2.5,1.2)); +#16144=CARTESIAN_POINT('',(-3.075,-2.5,1.2)); +#16145=CARTESIAN_POINT('',(-3.075,-2.7,1.2)); +#16146=CARTESIAN_POINT('',(-3.5,-2.7,1.2)); +#16147=CARTESIAN_POINT('',(-3.5,-2.7,1.2)); +#16148=CARTESIAN_POINT('',(-3.5,2.7,1.2)); +#16149=CARTESIAN_POINT('',(-3.5,2.7,1.45)); +#16150=CARTESIAN_POINT('',(-2.85,2.,1.45)); +#16151=CARTESIAN_POINT('',(-2.85,2.2,1.45)); +#16152=CARTESIAN_POINT('',(-2.85,2.5,1.45)); +#16153=CARTESIAN_POINT('',(-3.05,2.5,1.45)); +#16154=CARTESIAN_POINT('',(-3.05,2.7,1.45)); +#16155=CARTESIAN_POINT('',(-3.5,2.7,1.45)); +#16156=CARTESIAN_POINT('',(-3.5,2.7,1.45)); +#16157=CARTESIAN_POINT('',(-3.5,2.7,1.45)); +#16158=CARTESIAN_POINT('',(-3.5,-2.7,1.45)); +#16159=CARTESIAN_POINT('',(-3.5,-2.7,1.45)); +#16160=CARTESIAN_POINT('',(-3.075,-2.7,1.45)); +#16161=CARTESIAN_POINT('',(-3.075,-2.5,1.45)); +#16162=CARTESIAN_POINT('',(-2.875,-2.5,1.45)); +#16163=CARTESIAN_POINT('',(-2.875,-2.7,1.45)); +#16164=CARTESIAN_POINT('',(-2.875,-1.2,1.45)); +#16165=CARTESIAN_POINT('',(-2.575,-1.2,1.45)); +#16166=CARTESIAN_POINT('',(-2.575,-0.9,1.45)); +#16167=CARTESIAN_POINT('',(-2.875,-0.9,1.45)); +#16168=CARTESIAN_POINT('',(-2.175,-0.9,1.45)); +#16169=CARTESIAN_POINT('',(-2.175,-1.2,1.45)); +#16170=CARTESIAN_POINT('',(-1.875,-1.2,1.45)); +#16171=CARTESIAN_POINT('',(-1.875,-0.9,1.45)); +#16172=CARTESIAN_POINT('',(-1.875,-2.5,1.45)); +#16173=CARTESIAN_POINT('',(-1.675,-2.5,1.45)); +#16174=CARTESIAN_POINT('',(-1.675,-2.7,1.45)); +#16175=CARTESIAN_POINT('',(-3.5,-2.7,1.45)); +#16176=CARTESIAN_POINT('',(1.675,-2.7,1.45)); +#16177=CARTESIAN_POINT('',(1.675,-2.5,1.45)); +#16178=CARTESIAN_POINT('',(1.875,-2.5,1.45)); +#16179=CARTESIAN_POINT('',(1.875,-2.7,1.45)); +#16180=CARTESIAN_POINT('',(1.875,-1.2,1.45)); +#16181=CARTESIAN_POINT('',(2.175,-1.2,1.45)); +#16182=CARTESIAN_POINT('',(2.175,-0.9,1.45)); +#16183=CARTESIAN_POINT('',(1.875,-0.9,1.45)); +#16184=CARTESIAN_POINT('',(2.575,-0.9,1.45)); +#16185=CARTESIAN_POINT('',(2.575,-1.2,1.45)); +#16186=CARTESIAN_POINT('',(2.875,-1.2,1.45)); +#16187=CARTESIAN_POINT('',(2.875,-0.9,1.45)); +#16188=CARTESIAN_POINT('',(2.875,-2.5,1.45)); +#16189=CARTESIAN_POINT('',(3.075,-2.5,1.45)); +#16190=CARTESIAN_POINT('',(3.075,-2.7,1.45)); +#16191=CARTESIAN_POINT('',(-3.5,-2.7,1.45)); +#16192=CARTESIAN_POINT('',(3.5,-2.7,1.45)); +#16193=CARTESIAN_POINT('',(3.5,2.7,1.45)); +#16194=CARTESIAN_POINT('',(3.5,2.7,1.45)); +#16195=CARTESIAN_POINT('',(-3.5,2.7,1.45)); +#16196=CARTESIAN_POINT('',(3.05,2.7,1.45)); +#16197=CARTESIAN_POINT('',(3.05,2.5,1.45)); +#16198=CARTESIAN_POINT('',(2.85,2.5,1.45)); +#16199=CARTESIAN_POINT('',(2.85,2.7,1.45)); +#16200=CARTESIAN_POINT('',(2.85,2.2,1.45)); +#16201=CARTESIAN_POINT('',(2.65,2.2,1.45)); +#16202=CARTESIAN_POINT('',(2.65,2.,1.45)); +#16203=CARTESIAN_POINT('',(2.85,2.,1.45)); +#16204=CARTESIAN_POINT('',(-2.65,2.,1.45)); +#16205=CARTESIAN_POINT('',(-2.65,2.2,1.45)); +#16206=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16207=CARTESIAN_POINT('',(3.05,2.7,0.950000000000003)); +#16208=CARTESIAN_POINT('',(3.5,2.7,0.950000000000003)); +#16209=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16210=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16211=CARTESIAN_POINT('',(4.,2.7,-2.65)); +#16212=CARTESIAN_POINT('',(3.75,2.7,-2.65)); +#16213=CARTESIAN_POINT('',(3.75,2.7,-2.65)); +#16214=CARTESIAN_POINT('',(3.75,2.7,0.950000000000003)); +#16215=CARTESIAN_POINT('',(3.75,2.7,0.950000000000003)); +#16216=CARTESIAN_POINT('',(3.5,2.7,0.950000000000003)); +#16217=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16218=CARTESIAN_POINT('',(-3.05,2.7,0.950000000000002)); +#16219=CARTESIAN_POINT('',(-3.5,2.7,0.950000000000003)); +#16220=CARTESIAN_POINT('',(-3.75,2.7,0.950000000000002)); +#16221=CARTESIAN_POINT('',(-3.75,2.7,-0.950000000000003)); +#16222=CARTESIAN_POINT('',(-3.75,2.7,-2.65)); +#16223=CARTESIAN_POINT('',(-4.,2.7,-2.65)); +#16224=CARTESIAN_POINT('',(-4.,2.7,-2.65)); +#16225=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16226=CARTESIAN_POINT('',(-4.,2.7,0.950000000000002)); +#16227=CARTESIAN_POINT('',(-3.5,2.7,0.950000000000003)); +#16228=CARTESIAN_POINT('',(-3.75,2.12971408549404,-0.250000000000002)); +#16229=CARTESIAN_POINT('',(-4.,2.12971408549404,-0.250000000000002)); +#16230=CARTESIAN_POINT('',(-4.,2.12971408549404,-0.201849108048922)); +#16231=CARTESIAN_POINT('',(-4.,2.12971408549404,0.449999999999998)); +#16232=CARTESIAN_POINT('',(-4.,2.12971408549404,-0.201849108048922)); +#16233=CARTESIAN_POINT('',(-3.75926263651729,2.12971408549404,0.399923072370875)); +#16234=CARTESIAN_POINT('',(-3.75926263651729,2.12971408549404,0.399923072370875)); +#16235=CARTESIAN_POINT('',(-3.75,2.12971408549404,0.399923072370875)); +#16236=CARTESIAN_POINT('',(-3.75,2.12971408549404,-0.250000000000002)); +#16237=CARTESIAN_POINT('',(-3.75,2.12971408549404,0.449999999999998)); +#16238=CARTESIAN_POINT('',(-3.75,2.12971408549404,0.449999999999998)); +#16239=CARTESIAN_POINT('',(-4.,-2.7,-0.950000000000003)); +#16240=CARTESIAN_POINT('',(-1.675,-2.7,-0.950000000000003)); +#16241=CARTESIAN_POINT('',(1.675,-2.7,-0.950000000000002)); +#16242=CARTESIAN_POINT('',(-4.,-2.7,-0.950000000000003)); +#16243=CARTESIAN_POINT('',(-3.075,-2.7,-0.950000000000003)); +#16244=CARTESIAN_POINT('',(-3.5,-2.7,0.950000000000003)); +#16245=CARTESIAN_POINT('',(-4.,-2.7,0.950000000000002)); +#16246=CARTESIAN_POINT('',(-4.,-2.7,-0.950000000000003)); +#16247=CARTESIAN_POINT('',(-4.,-2.7,0.444948974278315)); +#16248=CARTESIAN_POINT('',(-3.75,-2.7,0.444948974278315)); +#16249=CARTESIAN_POINT('',(-3.8,-2.7,0.199999999999998)); +#16250=CARTESIAN_POINT('',(-3.8,-2.7,0.124075445975537)); +#16251=CARTESIAN_POINT('',(-3.8,-2.7,0.0481508919510765)); +#16252=CARTESIAN_POINT('',(-3.75,-2.7,-0.196798082327241)); +#16253=CARTESIAN_POINT('',(-4.,-2.7,-0.196798082327241)); +#16254=CARTESIAN_POINT('',(-4.,-2.7,-0.950000000000003)); +#16255=CARTESIAN_POINT('',(-4.,-2.7,-0.750000000000003)); +#16256=CARTESIAN_POINT('',(-4.,-2.7,-0.750000000000003)); +#16257=CARTESIAN_POINT('',(-3.75,-2.7,-0.750000000000003)); +#16258=CARTESIAN_POINT('',(-3.75,-2.7,-0.950000000000003)); +#16259=CARTESIAN_POINT('',(-3.75,-2.7,-0.201849108048923)); +#16260=CARTESIAN_POINT('',(-3.8,-2.7,0.0430998662293943)); +#16261=CARTESIAN_POINT('',(-3.55,-2.7,0.0430998662293944)); +#16262=CARTESIAN_POINT('',(-3.55,-2.7,-0.950000000000003)); +#16263=CARTESIAN_POINT('',(-3.55,-2.7,0.20505102572168)); +#16264=CARTESIAN_POINT('',(-3.8,-2.7,0.20505102572168)); +#16265=CARTESIAN_POINT('',(-3.75,-2.7,0.449999999999998)); +#16266=CARTESIAN_POINT('',(-3.75,-2.7,-0.950000000000003)); +#16267=CARTESIAN_POINT('',(-3.75,-2.7,0.950000000000002)); +#16268=CARTESIAN_POINT('',(-3.5,-2.7,0.950000000000003)); +#16269=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16270=CARTESIAN_POINT('',(-3.75,2.7,-0.950000000000003)); +#16271=CARTESIAN_POINT('',(-3.75,-2.2,-0.950000000000003)); +#16272=CARTESIAN_POINT('',(-3.75,-2.5,-0.950000000000003)); +#16273=CARTESIAN_POINT('',(-4.,-2.5,-0.950000000000003)); +#16274=CARTESIAN_POINT('',(-4.,-2.5,-0.950000000000003)); +#16275=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16276=CARTESIAN_POINT('',(-4.,-2.2,-0.950000000000003)); +#16277=CARTESIAN_POINT('',(-3.75,-2.2,-0.950000000000003)); +#16278=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16279=CARTESIAN_POINT('',(-3.75,2.7,-0.950000000000003)); +#16280=CARTESIAN_POINT('',(-3.75,1.6,-0.950000000000003)); +#16281=CARTESIAN_POINT('',(-3.75,-0.799999999999999,-0.950000000000003)); +#16282=CARTESIAN_POINT('',(-4.,-0.8,-0.950000000000003)); +#16283=CARTESIAN_POINT('',(-4.,-0.799999999999999,-0.950000000000003)); +#16284=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16285=CARTESIAN_POINT('',(-4.,1.6,-0.950000000000003)); +#16286=CARTESIAN_POINT('',(-4.,1.6,-0.950000000000003)); +#16287=CARTESIAN_POINT('',(3.75,1.22971408549404,-0.250000000000002)); +#16288=CARTESIAN_POINT('',(4.,1.22971408549404,-0.250000000000002)); +#16289=CARTESIAN_POINT('',(4.,1.22971408549404,-0.201849108048923)); +#16290=CARTESIAN_POINT('',(4.,1.22971408549404,0.449999999999998)); +#16291=CARTESIAN_POINT('',(4.,1.22971408549404,-0.201849108048923)); +#16292=CARTESIAN_POINT('',(3.75926263651729,1.22971408549404,0.399923072370874)); +#16293=CARTESIAN_POINT('',(3.75926263651729,1.22971408549404,0.399923072370874)); +#16294=CARTESIAN_POINT('',(3.75,1.22971408549404,0.399923072370874)); +#16295=CARTESIAN_POINT('',(3.75,1.22971408549404,-0.250000000000002)); +#16296=CARTESIAN_POINT('',(3.75,1.22971408549404,0.449999999999998)); +#16297=CARTESIAN_POINT('',(3.75,1.22971408549404,0.449999999999998)); +#16298=CARTESIAN_POINT('',(-4.,-2.7,-0.950000000000003)); +#16299=CARTESIAN_POINT('',(3.75,-2.7,0.950000000000003)); +#16300=CARTESIAN_POINT('',(3.75,-2.7,-0.201849108048923)); +#16301=CARTESIAN_POINT('',(3.75,-2.7,-0.750000000000003)); +#16302=CARTESIAN_POINT('',(-4.,-2.7,-0.750000000000003)); +#16303=CARTESIAN_POINT('',(4.,-2.7,-0.750000000000003)); +#16304=CARTESIAN_POINT('',(4.,-2.7,0.950000000000003)); +#16305=CARTESIAN_POINT('',(4.,-2.7,-0.196798082327241)); +#16306=CARTESIAN_POINT('',(3.75,-2.7,-0.196798082327241)); +#16307=CARTESIAN_POINT('',(3.8,-2.7,0.0481508919510765)); +#16308=CARTESIAN_POINT('',(3.8,-2.7,0.124075445975537)); +#16309=CARTESIAN_POINT('',(3.8,-2.7,0.199999999999998)); +#16310=CARTESIAN_POINT('',(3.75,-2.7,0.444948974278315)); +#16311=CARTESIAN_POINT('',(4.,-2.7,0.444948974278315)); +#16312=CARTESIAN_POINT('',(4.,-2.7,0.950000000000003)); +#16313=CARTESIAN_POINT('',(4.,-2.7,0.950000000000003)); +#16314=CARTESIAN_POINT('',(3.5,-2.7,0.950000000000003)); +#16315=CARTESIAN_POINT('',(3.075,-2.7,-0.950000000000002)); +#16316=CARTESIAN_POINT('',(3.5,-2.7,0.950000000000003)); +#16317=CARTESIAN_POINT('',(3.75,-2.7,0.950000000000003)); +#16318=CARTESIAN_POINT('',(3.75,-2.7,0.950000000000003)); +#16319=CARTESIAN_POINT('',(3.75,-2.7,0.449999999999998)); +#16320=CARTESIAN_POINT('',(3.8,-2.7,0.20505102572168)); +#16321=CARTESIAN_POINT('',(3.55,-2.7,0.20505102572168)); +#16322=CARTESIAN_POINT('',(3.55,-2.7,0.950000000000003)); +#16323=CARTESIAN_POINT('',(3.55,-2.7,0.0430998662293943)); +#16324=CARTESIAN_POINT('',(3.8,-2.7,0.0430998662293943)); +#16325=CARTESIAN_POINT('',(4.,2.7,-0.950000000000003)); +#16326=CARTESIAN_POINT('',(4.,2.7,-0.950000000000003)); +#16327=CARTESIAN_POINT('',(4.,-2.2,-0.950000000000003)); +#16328=CARTESIAN_POINT('',(4.,-2.5,-0.950000000000003)); +#16329=CARTESIAN_POINT('',(4.,-2.5,-0.950000000000003)); +#16330=CARTESIAN_POINT('',(3.75,-2.5,-0.950000000000003)); +#16331=CARTESIAN_POINT('',(3.75,2.7,-0.950000000000003)); +#16332=CARTESIAN_POINT('',(3.75,-2.2,-0.950000000000003)); +#16333=CARTESIAN_POINT('',(4.,-2.2,-0.950000000000003)); +#16334=CARTESIAN_POINT('',(4.,2.7,-0.950000000000003)); +#16335=CARTESIAN_POINT('',(4.,2.7,-0.950000000000003)); +#16336=CARTESIAN_POINT('',(4.,1.6,-0.950000000000003)); +#16337=CARTESIAN_POINT('',(4.,-0.799999999999999,-0.950000000000003)); +#16338=CARTESIAN_POINT('',(3.75,-0.8,-0.950000000000003)); +#16339=CARTESIAN_POINT('',(3.75,-0.799999999999999,-0.950000000000003)); +#16340=CARTESIAN_POINT('',(3.75,2.7,-0.950000000000003)); +#16341=CARTESIAN_POINT('',(3.75,1.6,-0.950000000000003)); +#16342=CARTESIAN_POINT('',(4.,1.6,-0.950000000000003)); +#16343=CARTESIAN_POINT('',(-3.75,2.7,-0.950000000000003)); +#16344=CARTESIAN_POINT('',(-3.75,-2.5,-0.750000000000003)); +#16345=CARTESIAN_POINT('',(-3.75,-2.2,-1.25)); +#16346=CARTESIAN_POINT('',(-3.75,-1.9,-1.25)); +#16347=CARTESIAN_POINT('',(-3.75,-1.9,-2.55)); +#16348=CARTESIAN_POINT('',(-3.75,-1.9,-2.25)); +#16349=CARTESIAN_POINT('',(-3.75,-1.6,-2.25)); +#16350=CARTESIAN_POINT('',(-3.75,-1.6,-2.55)); +#16351=CARTESIAN_POINT('',(-3.75,-1.1,-2.55)); +#16352=CARTESIAN_POINT('',(-3.75,-1.4,-2.55)); +#16353=CARTESIAN_POINT('',(-3.75,-1.4,-2.25)); +#16354=CARTESIAN_POINT('',(-3.75,-1.1,-2.25)); +#16355=CARTESIAN_POINT('',(-3.75,-1.1,-0.950000000000002)); +#16356=CARTESIAN_POINT('',(-3.75,-1.1,-1.25)); +#16357=CARTESIAN_POINT('',(-3.75,-0.8,-1.25)); +#16358=CARTESIAN_POINT('',(-3.75,1.6,-1.25)); +#16359=CARTESIAN_POINT('',(-3.75,1.9,-1.25)); +#16360=CARTESIAN_POINT('',(-3.75,1.9,-0.950000000000003)); +#16361=CARTESIAN_POINT('',(-3.75,1.9,-2.65)); +#16362=CARTESIAN_POINT('',(-3.75,2.2,-2.65)); +#16363=CARTESIAN_POINT('',(-3.75,2.2,-2.95)); +#16364=CARTESIAN_POINT('',(-3.75,1.9,-2.95)); +#16365=CARTESIAN_POINT('',(-3.75,2.4,-2.95)); +#16366=CARTESIAN_POINT('',(-3.75,2.4,-2.65)); +#16367=CARTESIAN_POINT('',(-3.75,2.7,0.950000000000002)); +#16368=CARTESIAN_POINT('',(-3.75,2.7,0.449999999999998)); +#16369=CARTESIAN_POINT('',(-3.75,-2.25,0.449999999999998)); +#16370=CARTESIAN_POINT('',(-3.75,-2.25,-0.950000000000003)); +#16371=CARTESIAN_POINT('',(-3.75,-2.25,-0.201849108048923)); +#16372=CARTESIAN_POINT('',(-3.75,2.7,-0.201849108048923)); +#16373=CARTESIAN_POINT('',(-3.75,2.12971408549404,-0.250000000000002)); +#16374=CARTESIAN_POINT('',(-3.75,2.12971408549404,-0.250000000000002)); +#16375=CARTESIAN_POINT('',(-3.75,1.22971408549404,-0.250000000000002)); +#16376=CARTESIAN_POINT('',(-3.75,1.22971408549404,-0.250000000000002)); +#16377=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.449999999999998)); +#16378=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.399923072370874)); +#16379=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.449999999999998)); +#16380=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.449999999999998)); +#16381=CARTESIAN_POINT('',(-3.75,2.12971408549404,0.449999999999998)); +#16382=CARTESIAN_POINT('',(-3.5,2.7,0.950000000000003)); +#16383=CARTESIAN_POINT('',(3.5,2.7,0.950000000000003)); +#16384=CARTESIAN_POINT('',(3.75,2.7,0.950000000000003)); +#16385=CARTESIAN_POINT('',(3.75,2.7,0.950000000000003)); +#16386=CARTESIAN_POINT('',(3.75,-2.5,-0.750000000000003)); +#16387=CARTESIAN_POINT('',(3.75,2.7,-0.201849108048923)); +#16388=CARTESIAN_POINT('',(3.75,-2.25,-0.201849108048923)); +#16389=CARTESIAN_POINT('',(3.75,-2.25,0.950000000000003)); +#16390=CARTESIAN_POINT('',(3.75,-2.25,0.449999999999998)); +#16391=CARTESIAN_POINT('',(3.75,2.7,0.449999999999998)); +#16392=CARTESIAN_POINT('',(3.75,2.4,-2.65)); +#16393=CARTESIAN_POINT('',(3.75,2.4,-2.95)); +#16394=CARTESIAN_POINT('',(3.75,2.7,-2.95)); +#16395=CARTESIAN_POINT('',(3.75,2.2,-2.95)); +#16396=CARTESIAN_POINT('',(3.75,2.2,-2.65)); +#16397=CARTESIAN_POINT('',(3.75,1.9,-2.65)); +#16398=CARTESIAN_POINT('',(3.75,1.9,-2.95)); +#16399=CARTESIAN_POINT('',(3.75,1.9,-1.25)); +#16400=CARTESIAN_POINT('',(3.75,1.6,-1.25)); +#16401=CARTESIAN_POINT('',(3.75,-0.8,-1.25)); +#16402=CARTESIAN_POINT('',(3.75,-1.1,-1.25)); +#16403=CARTESIAN_POINT('',(3.75,-1.1,-2.55)); +#16404=CARTESIAN_POINT('',(3.75,-1.1,-2.25)); +#16405=CARTESIAN_POINT('',(3.75,-1.4,-2.25)); +#16406=CARTESIAN_POINT('',(3.75,-1.4,-2.55)); +#16407=CARTESIAN_POINT('',(3.75,-1.9,-2.55)); +#16408=CARTESIAN_POINT('',(3.75,-1.6,-2.55)); +#16409=CARTESIAN_POINT('',(3.75,-1.6,-2.25)); +#16410=CARTESIAN_POINT('',(3.75,-1.9,-2.25)); +#16411=CARTESIAN_POINT('',(3.75,-1.9,-0.950000000000004)); +#16412=CARTESIAN_POINT('',(3.75,-1.9,-1.25)); +#16413=CARTESIAN_POINT('',(3.75,-2.2,-1.25)); +#16414=CARTESIAN_POINT('',(3.75,1.22971408549404,-0.250000000000002)); +#16415=CARTESIAN_POINT('',(3.75,1.22971408549404,-0.250000000000002)); +#16416=CARTESIAN_POINT('',(3.75,2.12971408549404,-0.250000000000002)); +#16417=CARTESIAN_POINT('',(3.75,2.12971408549404,-0.250000000000002)); +#16418=CARTESIAN_POINT('',(3.75,2.12971408549404,0.449999999999998)); +#16419=CARTESIAN_POINT('',(3.75,2.12971408549404,0.399923072370875)); +#16420=CARTESIAN_POINT('',(3.75,2.12971408549404,0.449999999999998)); +#16421=CARTESIAN_POINT('',(3.75,2.12971408549404,0.449999999999998)); +#16422=CARTESIAN_POINT('',(3.75,1.22971408549404,0.449999999999998)); +#16423=CARTESIAN_POINT('',(-4.,2.7,-0.950000000000003)); +#16424=CARTESIAN_POINT('',(-4.,-2.5,-0.750000000000003)); +#16425=CARTESIAN_POINT('',(-4.,2.7,-0.196798082327241)); +#16426=CARTESIAN_POINT('',(-4.,-2.25505102572168,-0.196798082327241)); +#16427=CARTESIAN_POINT('',(-4.,-2.25505102572168,-0.950000000000003)); +#16428=CARTESIAN_POINT('',(-4.,-2.25505102572168,0.444948974278315)); +#16429=CARTESIAN_POINT('',(-4.,2.7,0.444948974278315)); +#16430=CARTESIAN_POINT('',(-4.,2.7,0.950000000000002)); +#16431=CARTESIAN_POINT('',(-4.,2.4,-2.65)); +#16432=CARTESIAN_POINT('',(-4.,2.4,-2.95)); +#16433=CARTESIAN_POINT('',(-4.,1.9,-2.95)); +#16434=CARTESIAN_POINT('',(-4.,2.2,-2.95)); +#16435=CARTESIAN_POINT('',(-4.,2.2,-2.65)); +#16436=CARTESIAN_POINT('',(-4.,1.9,-2.65)); +#16437=CARTESIAN_POINT('',(-4.,1.9,-0.950000000000003)); +#16438=CARTESIAN_POINT('',(-4.,1.9,-1.25)); +#16439=CARTESIAN_POINT('',(-4.,1.6,-1.25)); +#16440=CARTESIAN_POINT('',(-4.,-0.8,-1.25)); +#16441=CARTESIAN_POINT('',(-4.,-1.1,-1.25)); +#16442=CARTESIAN_POINT('',(-4.,-1.1,-0.950000000000002)); +#16443=CARTESIAN_POINT('',(-4.,-1.1,-2.25)); +#16444=CARTESIAN_POINT('',(-4.,-1.4,-2.25)); +#16445=CARTESIAN_POINT('',(-4.,-1.4,-2.55)); +#16446=CARTESIAN_POINT('',(-4.,-1.1,-2.55)); +#16447=CARTESIAN_POINT('',(-4.,-1.6,-2.55)); +#16448=CARTESIAN_POINT('',(-4.,-1.6,-2.25)); +#16449=CARTESIAN_POINT('',(-4.,-1.9,-2.25)); +#16450=CARTESIAN_POINT('',(-4.,-1.9,-2.55)); +#16451=CARTESIAN_POINT('',(-4.,-1.9,-1.25)); +#16452=CARTESIAN_POINT('',(-4.,-2.2,-1.25)); +#16453=CARTESIAN_POINT('',(-4.,1.22971408549404,-0.201849108048923)); +#16454=CARTESIAN_POINT('',(-4.,1.22971408549404,-0.201849108048923)); +#16455=CARTESIAN_POINT('',(-4.,2.12971408549404,0.449999999999998)); +#16456=CARTESIAN_POINT('',(-4.,1.22971408549404,0.449999999999998)); +#16457=CARTESIAN_POINT('',(-4.,1.22971408549404,0.449999999999998)); +#16458=CARTESIAN_POINT('',(-3.5,2.7,0.950000000000003)); +#16459=CARTESIAN_POINT('',(3.5,2.7,0.950000000000003)); +#16460=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16461=CARTESIAN_POINT('',(4.,2.7,0.950000000000003)); +#16462=CARTESIAN_POINT('',(4.,-2.5,-0.750000000000003)); +#16463=CARTESIAN_POINT('',(4.,-2.2,-1.25)); +#16464=CARTESIAN_POINT('',(4.,-1.9,-1.25)); +#16465=CARTESIAN_POINT('',(4.,-1.9,-0.950000000000004)); +#16466=CARTESIAN_POINT('',(4.,-1.9,-2.25)); +#16467=CARTESIAN_POINT('',(4.,-1.6,-2.25)); +#16468=CARTESIAN_POINT('',(4.,-1.6,-2.55)); +#16469=CARTESIAN_POINT('',(4.,-1.9,-2.55)); +#16470=CARTESIAN_POINT('',(4.,-1.4,-2.55)); +#16471=CARTESIAN_POINT('',(4.,-1.4,-2.25)); +#16472=CARTESIAN_POINT('',(4.,-1.1,-2.25)); +#16473=CARTESIAN_POINT('',(4.,-1.1,-2.55)); +#16474=CARTESIAN_POINT('',(4.,-1.1,-1.25)); +#16475=CARTESIAN_POINT('',(4.,-0.8,-1.25)); +#16476=CARTESIAN_POINT('',(4.,1.6,-1.25)); +#16477=CARTESIAN_POINT('',(4.,1.9,-1.25)); +#16478=CARTESIAN_POINT('',(4.,1.9,-2.95)); +#16479=CARTESIAN_POINT('',(4.,1.9,-2.65)); +#16480=CARTESIAN_POINT('',(4.,2.2,-2.65)); +#16481=CARTESIAN_POINT('',(4.,2.2,-2.95)); +#16482=CARTESIAN_POINT('',(4.,2.7,-2.95)); +#16483=CARTESIAN_POINT('',(4.,2.4,-2.95)); +#16484=CARTESIAN_POINT('',(4.,2.4,-2.65)); +#16485=CARTESIAN_POINT('',(4.,2.7,0.444948974278315)); +#16486=CARTESIAN_POINT('',(4.,-2.25505102572168,0.444948974278315)); +#16487=CARTESIAN_POINT('',(4.,-2.25505102572168,0.950000000000003)); +#16488=CARTESIAN_POINT('',(4.,-2.25505102572168,-0.196798082327241)); +#16489=CARTESIAN_POINT('',(4.,2.7,-0.196798082327241)); +#16490=CARTESIAN_POINT('',(4.,2.12971408549404,-0.201849108048922)); +#16491=CARTESIAN_POINT('',(4.,2.12971408549404,-0.201849108048922)); +#16492=CARTESIAN_POINT('',(4.,1.22971408549404,0.449999999999998)); +#16493=CARTESIAN_POINT('',(4.,2.12971408549404,0.449999999999998)); +#16494=CARTESIAN_POINT('',(4.,2.12971408549404,0.449999999999998)); +#16495=CARTESIAN_POINT('',(3.75,2.7,-2.95)); +#16496=CARTESIAN_POINT('',(3.75,2.2,-2.95)); +#16497=CARTESIAN_POINT('',(4.,2.4,-2.95)); +#16498=CARTESIAN_POINT('',(3.75,1.9,-2.95)); +#16499=CARTESIAN_POINT('',(3.75,1.9,-1.25)); +#16500=CARTESIAN_POINT('',(3.75,1.9,-2.65)); +#16501=CARTESIAN_POINT('',(3.75,-1.9,-0.950000000000004)); +#16502=CARTESIAN_POINT('',(3.75,-1.9,-1.25)); +#16503=CARTESIAN_POINT('',(3.75,-1.9,-2.25)); +#16504=CARTESIAN_POINT('',(3.75,-1.9,-2.55)); +#16505=CARTESIAN_POINT('',(3.75,-1.6,-2.55)); +#16506=CARTESIAN_POINT('',(3.75,-1.4,-2.55)); +#16507=CARTESIAN_POINT('',(3.75,-1.1,-2.55)); +#16508=CARTESIAN_POINT('',(4.,-1.1,-1.25)); +#16509=CARTESIAN_POINT('',(3.75,-1.1,-2.25)); +#16510=CARTESIAN_POINT('',(1.875,-2.7,-9.1575444849409)); +#16511=CARTESIAN_POINT('',(1.875,-2.5,-9.1575444849409)); +#16512=CARTESIAN_POINT('',(1.875,-1.2,1.45)); +#16513=CARTESIAN_POINT('',(1.875,-0.9,-9.1575444849409)); +#16514=CARTESIAN_POINT('',(2.575,-0.9,1.45)); +#16515=CARTESIAN_POINT('',(2.175,-0.9,1.2)); +#16516=CARTESIAN_POINT('',(2.875,-0.9,-9.1575444849409)); +#16517=CARTESIAN_POINT('',(2.875,-2.5,-9.1575444849409)); +#16518=CARTESIAN_POINT('',(2.875,-1.2,1.2)); +#16519=CARTESIAN_POINT('',(3.75,1.22971408549404,0.449999999999998)); +#16520=CARTESIAN_POINT('',(3.75,2.12971408549404,0.449999999999998)); +#16521=CARTESIAN_POINT('',(3.75,2.12971408549404,0.449999999999998)); +#16522=CARTESIAN_POINT('',(3.75926263651729,2.12971408549404,0.399923072370875)); +#16523=CARTESIAN_POINT('',(3.75926263651729,2.12971408549404,0.399923072370875)); +#16524=CARTESIAN_POINT('',(4.,2.12971408549404,-0.201849108048922)); +#16525=CARTESIAN_POINT('',(3.75,2.12971408549404,-0.250000000000002)); +#16526=CARTESIAN_POINT('',(3.75926263651729,2.12971408549404,0.399923072370875)); +#16527=CARTESIAN_POINT('',(3.49,2.12971408549404,0.399923072370875)); +#16528=CARTESIAN_POINT('',(3.49,2.12971408549404,0.399923072370875)); +#16529=CARTESIAN_POINT('',(3.49,2.12971408549404,0.399923072370875)); +#16530=CARTESIAN_POINT('',(3.49,1.22971408549404,0.399923072370874)); +#16531=CARTESIAN_POINT('',(3.49,1.22971408549404,0.399923072370874)); +#16532=CARTESIAN_POINT('',(3.49,2.12971408549404,0.399923072370875)); +#16533=CARTESIAN_POINT('',(4.,2.12971408549404,-0.201849108048922)); +#16534=CARTESIAN_POINT('',(3.75926263651729,2.12971408549404,0.399923072370875)); +#16535=CARTESIAN_POINT('',(3.75926263651729,2.12971408549404,0.399923072370875)); +#16536=CARTESIAN_POINT('',(3.75926263651729,1.22971408549404,0.399923072370874)); +#16537=CARTESIAN_POINT('',(3.75,1.22971408549404,-0.250000000000002)); +#16538=CARTESIAN_POINT('',(3.55,-2.7,0.124075445975537)); +#16539=CARTESIAN_POINT('',(3.55,-2.49494897427832,0.124075445975537)); +#16540=CARTESIAN_POINT('',(3.55,-2.49494897427832,0.20505102572168)); +#16541=CARTESIAN_POINT('',(3.55,-2.49494897427832,0.0430998662293943)); +#16542=CARTESIAN_POINT('',(3.55,-2.7,0.0430998662293943)); +#16543=CARTESIAN_POINT('',(3.55,-2.7,0.20505102572168)); +#16544=CARTESIAN_POINT('',(3.8,-2.7,0.124075445975537)); +#16545=CARTESIAN_POINT('',(3.8,-2.7,0.199999999999998)); +#16546=CARTESIAN_POINT('',(3.8,-2.5,0.199999999999998)); +#16547=CARTESIAN_POINT('',(3.8,-2.7,0.0481508919510765)); +#16548=CARTESIAN_POINT('',(3.8,-2.5,0.0481508919510765)); +#16549=CARTESIAN_POINT('',(3.8,-2.5,0.124075445975537)); +#16550=CARTESIAN_POINT('',(3.8,-2.25,0.20505102572168)); +#16551=CARTESIAN_POINT('',(3.8,-2.49494897427832,0.20505102572168)); +#16552=CARTESIAN_POINT('',(3.8,-2.49494897427832,-0.201849108048923)); +#16553=CARTESIAN_POINT('',(3.8,-2.49494897427832,0.0430998662293943)); +#16554=CARTESIAN_POINT('',(3.8,-2.7,0.0430998662293943)); +#16555=CARTESIAN_POINT('',(3.75,-2.25,0.444948974278315)); +#16556=CARTESIAN_POINT('',(3.75,-2.25505102572168,0.444948974278315)); +#16557=CARTESIAN_POINT('',(3.75,-2.25505102572168,-0.201849108048923)); +#16558=CARTESIAN_POINT('',(3.75,-2.25505102572168,-0.196798082327241)); +#16559=CARTESIAN_POINT('',(3.75,-2.7,-0.196798082327241)); +#16560=CARTESIAN_POINT('',(3.75,-0.8,-1.25)); +#16561=CARTESIAN_POINT('',(4.,1.6,-1.25)); +#16562=CARTESIAN_POINT('',(4.,-2.2,-1.25)); +#16563=CARTESIAN_POINT('',(2.575,-1.2,-9.1575444849409)); +#16564=CARTESIAN_POINT('',(2.175,-1.2,-9.1575444849409)); +#16565=CARTESIAN_POINT('',(3.75,-1.6,-2.25)); +#16566=CARTESIAN_POINT('',(3.75,-1.4,-2.25)); +#16567=CARTESIAN_POINT('',(3.75,2.2,-2.65)); +#16568=CARTESIAN_POINT('',(3.75,2.4,-2.65)); +#16569=CARTESIAN_POINT('',(-3.75,1.9,-0.950000000000003)); +#16570=CARTESIAN_POINT('',(-3.75,1.9,-1.25)); +#16571=CARTESIAN_POINT('',(-3.75,1.9,-2.65)); +#16572=CARTESIAN_POINT('',(-3.75,1.9,-2.95)); +#16573=CARTESIAN_POINT('',(-3.75,2.2,-2.95)); +#16574=CARTESIAN_POINT('',(-3.75,2.4,-2.95)); +#16575=CARTESIAN_POINT('',(-3.75,-1.9,-2.55)); +#16576=CARTESIAN_POINT('',(-4.,-1.9,-1.25)); +#16577=CARTESIAN_POINT('',(-3.75,-1.9,-2.25)); +#16578=CARTESIAN_POINT('',(-3.75,-1.1,-0.950000000000002)); +#16579=CARTESIAN_POINT('',(-3.75,-1.1,-1.25)); +#16580=CARTESIAN_POINT('',(-3.75,-1.1,-2.25)); +#16581=CARTESIAN_POINT('',(-3.75,-1.1,-2.55)); +#16582=CARTESIAN_POINT('',(-3.75,-1.6,-2.55)); +#16583=CARTESIAN_POINT('',(-3.75,-1.4,-2.55)); +#16584=CARTESIAN_POINT('',(-1.875,-0.9,-9.1575444849409)); +#16585=CARTESIAN_POINT('',(-1.875,-2.5,-9.1575444849409)); +#16586=CARTESIAN_POINT('',(-1.875,-1.2,1.2)); +#16587=CARTESIAN_POINT('',(-2.875,-2.7,-9.1575444849409)); +#16588=CARTESIAN_POINT('',(-2.875,-2.5,-9.1575444849409)); +#16589=CARTESIAN_POINT('',(-2.875,-1.2,1.45)); +#16590=CARTESIAN_POINT('',(-2.875,-0.9,-9.1575444849409)); +#16591=CARTESIAN_POINT('',(-2.575,-0.9,1.2)); +#16592=CARTESIAN_POINT('',(-2.175,-0.9,1.45)); +#16593=CARTESIAN_POINT('',(-3.75,2.12971408549404,0.449999999999998)); +#16594=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.449999999999998)); +#16595=CARTESIAN_POINT('',(-3.75,1.22971408549404,0.449999999999998)); +#16596=CARTESIAN_POINT('',(-3.75926263651729,1.22971408549404,0.399923072370874)); +#16597=CARTESIAN_POINT('',(-3.75926263651729,1.22971408549404,0.399923072370874)); +#16598=CARTESIAN_POINT('',(-4.,1.22971408549404,-0.201849108048923)); +#16599=CARTESIAN_POINT('',(-3.75,1.22971408549404,-0.250000000000002)); +#16600=CARTESIAN_POINT('',(-3.75926263651729,1.22971408549404,0.399923072370874)); +#16601=CARTESIAN_POINT('',(-3.49,1.22971408549404,0.399923072370874)); +#16602=CARTESIAN_POINT('',(-3.49,1.22971408549404,0.399923072370874)); +#16603=CARTESIAN_POINT('',(-3.49,1.22971408549404,0.399923072370874)); +#16604=CARTESIAN_POINT('',(-3.49,2.12971408549404,0.399923072370875)); +#16605=CARTESIAN_POINT('',(-3.49,2.12971408549404,0.399923072370875)); +#16606=CARTESIAN_POINT('',(-3.49,1.22971408549404,0.399923072370874)); +#16607=CARTESIAN_POINT('',(-4.,1.22971408549404,-0.201849108048923)); +#16608=CARTESIAN_POINT('',(-3.75926263651729,1.22971408549404,0.399923072370874)); +#16609=CARTESIAN_POINT('',(-3.75926263651729,1.22971408549404,0.399923072370874)); +#16610=CARTESIAN_POINT('',(-3.75926263651729,2.12971408549404,0.399923072370875)); +#16611=CARTESIAN_POINT('',(-3.75,2.12971408549404,-0.250000000000002)); +#16612=CARTESIAN_POINT('',(-3.55,-2.7,0.124075445975537)); +#16613=CARTESIAN_POINT('',(-3.55,-2.49494897427832,0.124075445975537)); +#16614=CARTESIAN_POINT('',(-3.55,-2.49494897427832,0.0430998662293943)); +#16615=CARTESIAN_POINT('',(-3.55,-2.49494897427832,0.20505102572168)); +#16616=CARTESIAN_POINT('',(-3.55,-2.7,0.20505102572168)); +#16617=CARTESIAN_POINT('',(-3.55,-2.7,0.0430998662293943)); +#16618=CARTESIAN_POINT('',(-3.8,-2.7,0.124075445975537)); +#16619=CARTESIAN_POINT('',(-3.8,-2.7,0.0481508919510765)); +#16620=CARTESIAN_POINT('',(-3.8,-2.5,0.0481508919510765)); +#16621=CARTESIAN_POINT('',(-3.8,-2.7,0.199999999999998)); +#16622=CARTESIAN_POINT('',(-3.8,-2.5,0.199999999999998)); +#16623=CARTESIAN_POINT('',(-3.8,-2.5,0.124075445975537)); +#16624=CARTESIAN_POINT('',(-3.8,-2.25,0.0430998662293943)); +#16625=CARTESIAN_POINT('',(-3.8,-2.49494897427832,0.0430998662293943)); +#16626=CARTESIAN_POINT('',(-3.8,-2.49494897427832,0.449999999999998)); +#16627=CARTESIAN_POINT('',(-3.8,-2.49494897427832,0.20505102572168)); +#16628=CARTESIAN_POINT('',(-3.8,-2.7,0.20505102572168)); +#16629=CARTESIAN_POINT('',(-3.75,-2.25,-0.196798082327241)); +#16630=CARTESIAN_POINT('',(-3.75,-2.25505102572168,-0.196798082327241)); +#16631=CARTESIAN_POINT('',(-3.75,-2.25505102572168,0.449999999999998)); +#16632=CARTESIAN_POINT('',(-3.75,-2.25505102572168,0.444948974278315)); +#16633=CARTESIAN_POINT('',(-3.75,-2.7,0.444948974278315)); +#16634=CARTESIAN_POINT('',(-4.,-0.8,-1.25)); +#16635=CARTESIAN_POINT('',(-3.75,1.6,-1.25)); +#16636=CARTESIAN_POINT('',(-3.75,-2.2,-1.25)); +#16637=CARTESIAN_POINT('',(-2.575,-1.2,-9.1575444849409)); +#16638=CARTESIAN_POINT('',(-2.175,-1.2,-9.1575444849409)); +#16639=CARTESIAN_POINT('',(-3.75,-1.6,-2.25)); +#16640=CARTESIAN_POINT('',(-3.75,-1.4,-2.25)); +#16641=CARTESIAN_POINT('',(-3.75,2.2,-2.65)); +#16642=CARTESIAN_POINT('',(4.,2.4,-2.65)); +#16643=CARTESIAN_POINT('',(2.85,2.,-9.1575444849409)); +#16644=CARTESIAN_POINT('',(-2.65,2.,1.45)); +#16645=CARTESIAN_POINT('',(2.65,2.,1.2)); +#16646=CARTESIAN_POINT('',(2.85,2.7,-9.1575444849409)); +#16647=CARTESIAN_POINT('',(2.85,2.5,-9.1575444849409)); +#16648=CARTESIAN_POINT('',(2.85,2.2,1.45)); +#16649=CARTESIAN_POINT('',(-2.85,2.,-9.1575444849409)); +#16650=CARTESIAN_POINT('',(-2.85,2.2,1.2)); +#16651=CARTESIAN_POINT('',(-2.85,2.5,-9.1575444849409)); +#16652=CARTESIAN_POINT('',(-2.65,2.2,-9.1575444849409)); +#16653=CARTESIAN_POINT('',(-3.05,2.5,0.950000000000002)); +#16654=CARTESIAN_POINT('',(3.05,2.5,0.950000000000003)); +#16655=CARTESIAN_POINT('',(2.65,2.2,-9.1575444849409)); +#16656=CARTESIAN_POINT('',(-3.075,-2.5,-0.950000000000003)); +#16657=CARTESIAN_POINT('',(-4.,-2.5,-0.750000000000003)); +#16658=CARTESIAN_POINT('',(-1.675,-2.5,-9.1575444849409)); +#16659=CARTESIAN_POINT('',(4.,-2.5,-0.750000000000003)); +#16660=CARTESIAN_POINT('',(3.075,-2.5,-9.1575444849409)); +#16661=CARTESIAN_POINT('',(1.675,-2.5,-0.950000000000002)); +#16662=CARTESIAN_POINT('',(7.50378321141832E-15,-1.44999999999998,0.100000000000007)); +#16663=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16664=CARTESIAN_POINT('',(-1.3,2.59,1.355)); +#16665=CARTESIAN_POINT('',(-1.3,2.58999999999999,1.105)); +#16666=CARTESIAN_POINT('',(-1.3,2.59,1.355)); +#16667=CARTESIAN_POINT('',(1.3,2.58999999999999,1.105)); +#16668=CARTESIAN_POINT('',(-1.302,2.59,1.105)); +#16669=CARTESIAN_POINT('',(-1.302,2.59,1.355)); +#16670=CARTESIAN_POINT('',(-1.302,2.59,1.355)); +#16671=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16672=CARTESIAN_POINT('',(0.400000000000002,2.64,-10.1319842003964)); +#16673=CARTESIAN_POINT('',(0.4,2.64,1.355)); +#16674=CARTESIAN_POINT('',(0.486602540378444,2.59,1.355)); +#16675=CARTESIAN_POINT('',(0.4,2.54,1.355)); +#16676=CARTESIAN_POINT('',(0.486602540378444,2.59,1.355)); +#16677=CARTESIAN_POINT('',(0.486602540378444,2.59,1.105)); +#16678=CARTESIAN_POINT('',(0.4,2.64,1.105)); +#16679=CARTESIAN_POINT('',(0.399999999999999,2.54,1.105)); +#16680=CARTESIAN_POINT('',(0.4,2.54,1.105)); +#16681=CARTESIAN_POINT('',(-3.7,3.3,1.355)); +#16682=CARTESIAN_POINT('',(2.39,0.920000000000001,1.355)); +#16683=CARTESIAN_POINT('',(2.39,1.02,1.355)); +#16684=CARTESIAN_POINT('',(2.39,0.92,1.355)); +#16685=CARTESIAN_POINT('',(2.06,0.92,1.355)); +#16686=CARTESIAN_POINT('',(2.06,0.59,1.355)); +#16687=CARTESIAN_POINT('',(1.66,0.59,1.355)); +#16688=CARTESIAN_POINT('',(1.66,0.59,1.355)); +#16689=CARTESIAN_POINT('',(1.66,0.92,1.355)); +#16690=CARTESIAN_POINT('',(1.33,0.919999999999997,1.355)); +#16691=CARTESIAN_POINT('',(1.33,1.02,1.355)); +#16692=CARTESIAN_POINT('',(1.33,1.02,1.355)); +#16693=CARTESIAN_POINT('',(1.66,1.02,1.355)); +#16694=CARTESIAN_POINT('',(1.66,1.35,1.355)); +#16695=CARTESIAN_POINT('',(2.06,1.35,1.355)); +#16696=CARTESIAN_POINT('',(2.06,1.35,1.355)); +#16697=CARTESIAN_POINT('',(2.06,1.02,1.355)); +#16698=CARTESIAN_POINT('',(-2.1,-0.3,1.355)); +#16699=CARTESIAN_POINT('',(-2.1,-0.4,1.355)); +#16700=CARTESIAN_POINT('',(-2.1,-1.2,1.355)); +#16701=CARTESIAN_POINT('',(-2.,-1.2,1.355)); +#16702=CARTESIAN_POINT('',(-2.,-1.3,1.355)); +#16703=CARTESIAN_POINT('',(-2.1,-1.3,1.355)); +#16704=CARTESIAN_POINT('',(-1.975,-1.3,1.355)); +#16705=CARTESIAN_POINT('',(-1.975,-1.4,1.355)); +#16706=CARTESIAN_POINT('',(-1.875,-1.4,1.355)); +#16707=CARTESIAN_POINT('',(-1.875,-1.3,1.355)); +#16708=CARTESIAN_POINT('',(-1.875,-2.,1.355)); +#16709=CARTESIAN_POINT('',(-1.975,-2.,1.355)); +#16710=CARTESIAN_POINT('',(-1.975,-2.1,1.355)); +#16711=CARTESIAN_POINT('',(-1.875,-2.1,1.355)); +#16712=CARTESIAN_POINT('',(-2.775,-2.1,1.355)); +#16713=CARTESIAN_POINT('',(-2.775,-2.,1.355)); +#16714=CARTESIAN_POINT('',(-2.875,-2.,1.355)); +#16715=CARTESIAN_POINT('',(-2.875,-2.1,1.355)); +#16716=CARTESIAN_POINT('',(-2.875,-1.4,1.355)); +#16717=CARTESIAN_POINT('',(-2.775,-1.4,1.355)); +#16718=CARTESIAN_POINT('',(-2.775,-1.3,1.355)); +#16719=CARTESIAN_POINT('',(-2.875,-1.3,1.355)); +#16720=CARTESIAN_POINT('',(-2.75,-1.3,1.355)); +#16721=CARTESIAN_POINT('',(-2.75,-1.2,1.355)); +#16722=CARTESIAN_POINT('',(-2.65,-1.2,1.355)); +#16723=CARTESIAN_POINT('',(-2.65,-1.3,1.355)); +#16724=CARTESIAN_POINT('',(-2.65,-0.4,1.355)); +#16725=CARTESIAN_POINT('',(-2.55,-0.4,1.355)); +#16726=CARTESIAN_POINT('',(-2.55,-0.3,1.355)); +#16727=CARTESIAN_POINT('',(-2.65,-0.3,1.355)); +#16728=CARTESIAN_POINT('',(-2.2,-0.3,1.355)); +#16729=CARTESIAN_POINT('',(-2.2,-0.4,1.355)); +#16730=CARTESIAN_POINT('',(-1.66,0.92,1.355)); +#16731=CARTESIAN_POINT('',(-1.33,0.92,1.355)); +#16732=CARTESIAN_POINT('',(-1.66,0.59,1.355)); +#16733=CARTESIAN_POINT('',(-2.06,0.59,1.355)); +#16734=CARTESIAN_POINT('',(-2.06,0.59,1.355)); +#16735=CARTESIAN_POINT('',(-2.06,0.92,1.355)); +#16736=CARTESIAN_POINT('',(-2.39,0.919999999999996,1.355)); +#16737=CARTESIAN_POINT('',(-2.39,1.02,1.355)); +#16738=CARTESIAN_POINT('',(-2.39,1.02,1.355)); +#16739=CARTESIAN_POINT('',(-2.06,1.02,1.355)); +#16740=CARTESIAN_POINT('',(-2.06,1.35,1.355)); +#16741=CARTESIAN_POINT('',(-1.66,1.35,1.355)); +#16742=CARTESIAN_POINT('',(-1.66,1.35,1.355)); +#16743=CARTESIAN_POINT('',(-1.66,1.02,1.355)); +#16744=CARTESIAN_POINT('',(-1.33,1.02,1.355)); +#16745=CARTESIAN_POINT('',(-1.33,0.920000000000001,1.355)); +#16746=CARTESIAN_POINT('',(1.875,-1.3,1.355)); +#16747=CARTESIAN_POINT('',(1.975,-1.3,1.355)); +#16748=CARTESIAN_POINT('',(2.,-1.3,1.355)); +#16749=CARTESIAN_POINT('',(2.,-1.2,1.355)); +#16750=CARTESIAN_POINT('',(2.1,-1.2,1.355)); +#16751=CARTESIAN_POINT('',(2.1,-1.3,1.355)); +#16752=CARTESIAN_POINT('',(2.1,-0.4,1.355)); +#16753=CARTESIAN_POINT('',(2.2,-0.4,1.355)); +#16754=CARTESIAN_POINT('',(2.2,-0.3,1.355)); +#16755=CARTESIAN_POINT('',(2.1,-0.3,1.355)); +#16756=CARTESIAN_POINT('',(2.55,-0.3,1.355)); +#16757=CARTESIAN_POINT('',(2.55,-0.4,1.355)); +#16758=CARTESIAN_POINT('',(2.65,-0.4,1.355)); +#16759=CARTESIAN_POINT('',(2.65,-0.3,1.355)); +#16760=CARTESIAN_POINT('',(2.65,-1.2,1.355)); +#16761=CARTESIAN_POINT('',(2.75,-1.2,1.355)); +#16762=CARTESIAN_POINT('',(2.75,-1.3,1.355)); +#16763=CARTESIAN_POINT('',(2.65,-1.3,1.355)); +#16764=CARTESIAN_POINT('',(2.775,-1.3,1.355)); +#16765=CARTESIAN_POINT('',(2.775,-1.4,1.355)); +#16766=CARTESIAN_POINT('',(2.875,-1.4,1.355)); +#16767=CARTESIAN_POINT('',(2.875,-1.3,1.355)); +#16768=CARTESIAN_POINT('',(2.875,-2.,1.355)); +#16769=CARTESIAN_POINT('',(2.775,-2.,1.355)); +#16770=CARTESIAN_POINT('',(2.775,-2.1,1.355)); +#16771=CARTESIAN_POINT('',(2.875,-2.1,1.355)); +#16772=CARTESIAN_POINT('',(1.975,-2.1,1.355)); +#16773=CARTESIAN_POINT('',(1.975,-2.,1.355)); +#16774=CARTESIAN_POINT('',(1.875,-2.,1.355)); +#16775=CARTESIAN_POINT('',(1.875,-2.1,1.355)); +#16776=CARTESIAN_POINT('',(1.875,-1.4,1.355)); +#16777=CARTESIAN_POINT('',(1.975,-1.4,1.355)); +#16778=CARTESIAN_POINT('',(-2.85,3.3,1.355)); +#16779=CARTESIAN_POINT('',(-2.85,3.1,1.355)); +#16780=CARTESIAN_POINT('',(-2.85,2.8,1.355)); +#16781=CARTESIAN_POINT('',(-3.05,3.1,1.355)); +#16782=CARTESIAN_POINT('',(-3.05,3.3,1.355)); +#16783=CARTESIAN_POINT('',(-3.7,3.3,1.355)); +#16784=CARTESIAN_POINT('',(-3.44,3.3,1.355)); +#16785=CARTESIAN_POINT('',(-3.44,3.3,1.355)); +#16786=CARTESIAN_POINT('',(-3.44,-3.3,1.355)); +#16787=CARTESIAN_POINT('',(-3.7,-3.3,1.355)); +#16788=CARTESIAN_POINT('',(3.44,-3.3,1.355)); +#16789=CARTESIAN_POINT('',(3.44,3.3,1.355)); +#16790=CARTESIAN_POINT('',(3.44,3.3,1.355)); +#16791=CARTESIAN_POINT('',(-3.7,3.3,1.355)); +#16792=CARTESIAN_POINT('',(3.05,3.3,1.355)); +#16793=CARTESIAN_POINT('',(3.05,3.1,1.355)); +#16794=CARTESIAN_POINT('',(2.85,3.1,1.355)); +#16795=CARTESIAN_POINT('',(2.85,2.6,1.355)); +#16796=CARTESIAN_POINT('',(2.85,2.8,1.355)); +#16797=CARTESIAN_POINT('',(2.65,2.8,1.355)); +#16798=CARTESIAN_POINT('',(2.65,2.6,1.355)); +#16799=CARTESIAN_POINT('',(-2.85,2.6,1.355)); +#16800=CARTESIAN_POINT('',(1.302,2.6,1.355)); +#16801=CARTESIAN_POINT('',(1.302,2.6,1.355)); +#16802=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16803=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16804=CARTESIAN_POINT('',(1.3,2.59,1.355)); +#16805=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16806=CARTESIAN_POINT('',(0.5,2.54,1.355)); +#16807=CARTESIAN_POINT('',(-0.4,2.54,1.355)); +#16808=CARTESIAN_POINT('',(-0.4,2.64,1.355)); +#16809=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.355)); +#16810=CARTESIAN_POINT('',(-1.3,2.59,1.355)); +#16811=CARTESIAN_POINT('',(-1.302,2.6,1.355)); +#16812=CARTESIAN_POINT('',(-1.302,2.6,1.355)); +#16813=CARTESIAN_POINT('',(-2.85,2.6,1.355)); +#16814=CARTESIAN_POINT('',(-2.65,2.6,1.355)); +#16815=CARTESIAN_POINT('',(-2.65,2.8,1.355)); +#16816=CARTESIAN_POINT('',(-3.7,3.3,1.105)); +#16817=CARTESIAN_POINT('',(2.1,3.3,1.105)); +#16818=CARTESIAN_POINT('',(2.1,-1.2,1.105)); +#16819=CARTESIAN_POINT('',(2.1,-0.4,1.105)); +#16820=CARTESIAN_POINT('',(2.,-1.2,1.105)); +#16821=CARTESIAN_POINT('',(2.,-1.3,1.105)); +#16822=CARTESIAN_POINT('',(-3.7,-1.3,1.105)); +#16823=CARTESIAN_POINT('',(1.975,-1.3,1.105)); +#16824=CARTESIAN_POINT('',(1.975,-1.4,1.105)); +#16825=CARTESIAN_POINT('',(1.875,-1.4,1.105)); +#16826=CARTESIAN_POINT('',(1.875,3.3,1.105)); +#16827=CARTESIAN_POINT('',(1.875,-2.,1.105)); +#16828=CARTESIAN_POINT('',(1.975,-2.,1.105)); +#16829=CARTESIAN_POINT('',(1.975,-2.1,1.105)); +#16830=CARTESIAN_POINT('',(-3.7,-2.1,1.105)); +#16831=CARTESIAN_POINT('',(2.775,-2.1,1.105)); +#16832=CARTESIAN_POINT('',(2.775,-2.,1.105)); +#16833=CARTESIAN_POINT('',(2.875,-2.,1.105)); +#16834=CARTESIAN_POINT('',(2.875,3.3,1.105)); +#16835=CARTESIAN_POINT('',(2.875,-1.4,1.105)); +#16836=CARTESIAN_POINT('',(2.775,-1.4,1.105)); +#16837=CARTESIAN_POINT('',(2.775,-1.3,1.105)); +#16838=CARTESIAN_POINT('',(-3.7,-1.3,1.105)); +#16839=CARTESIAN_POINT('',(2.75,-1.3,1.105)); +#16840=CARTESIAN_POINT('',(2.75,-1.2,1.105)); +#16841=CARTESIAN_POINT('',(2.65,-1.2,1.105)); +#16842=CARTESIAN_POINT('',(2.65,3.3,1.105)); +#16843=CARTESIAN_POINT('',(2.65,-0.4,1.105)); +#16844=CARTESIAN_POINT('',(2.55,-0.4,1.105)); +#16845=CARTESIAN_POINT('',(2.55,-0.3,1.105)); +#16846=CARTESIAN_POINT('',(-3.7,-0.3,1.105)); +#16847=CARTESIAN_POINT('',(2.2,-0.3,1.105)); +#16848=CARTESIAN_POINT('',(2.2,-0.4,1.105)); +#16849=CARTESIAN_POINT('',(-1.33000000000001,3.30000000000001,1.105)); +#16850=CARTESIAN_POINT('',(-1.33,0.92,1.105)); +#16851=CARTESIAN_POINT('',(-1.33,1.02,1.105)); +#16852=CARTESIAN_POINT('',(-1.66,1.02,1.105)); +#16853=CARTESIAN_POINT('',(-1.66,1.35,1.105)); +#16854=CARTESIAN_POINT('',(-3.7,1.35,1.105)); +#16855=CARTESIAN_POINT('',(-2.06,1.35,1.105)); +#16856=CARTESIAN_POINT('',(-2.06,1.02,1.105)); +#16857=CARTESIAN_POINT('',(-2.39,1.02,1.105)); +#16858=CARTESIAN_POINT('',(-2.38999999999999,3.29999999999999,1.105)); +#16859=CARTESIAN_POINT('',(-2.39,0.920000000000001,1.105)); +#16860=CARTESIAN_POINT('',(-2.06,0.92,1.105)); +#16861=CARTESIAN_POINT('',(-2.06,0.59,1.105)); +#16862=CARTESIAN_POINT('',(-3.7,0.59,1.105)); +#16863=CARTESIAN_POINT('',(-1.66,0.59,1.105)); +#16864=CARTESIAN_POINT('',(-1.66,0.92,1.105)); +#16865=CARTESIAN_POINT('',(-3.7,-1.3,1.105)); +#16866=CARTESIAN_POINT('',(-2.,-1.3,1.105)); +#16867=CARTESIAN_POINT('',(-1.975,-1.3,1.105)); +#16868=CARTESIAN_POINT('',(-2.,-1.2,1.105)); +#16869=CARTESIAN_POINT('',(-2.1,-1.2,1.105)); +#16870=CARTESIAN_POINT('',(-2.1,3.3,1.105)); +#16871=CARTESIAN_POINT('',(-2.1,-0.4,1.105)); +#16872=CARTESIAN_POINT('',(-2.2,-0.4,1.105)); +#16873=CARTESIAN_POINT('',(-2.2,-0.3,1.105)); +#16874=CARTESIAN_POINT('',(-3.7,-0.3,1.105)); +#16875=CARTESIAN_POINT('',(-2.55,-0.3,1.105)); +#16876=CARTESIAN_POINT('',(-2.55,-0.4,1.105)); +#16877=CARTESIAN_POINT('',(-2.65,-0.4,1.105)); +#16878=CARTESIAN_POINT('',(-2.65,3.3,1.105)); +#16879=CARTESIAN_POINT('',(-2.65,-1.2,1.105)); +#16880=CARTESIAN_POINT('',(-2.75,-1.2,1.105)); +#16881=CARTESIAN_POINT('',(-2.75,-1.3,1.105)); +#16882=CARTESIAN_POINT('',(-3.7,-1.3,1.105)); +#16883=CARTESIAN_POINT('',(-2.775,-1.3,1.105)); +#16884=CARTESIAN_POINT('',(-2.775,-1.4,1.105)); +#16885=CARTESIAN_POINT('',(-2.875,-1.4,1.105)); +#16886=CARTESIAN_POINT('',(-2.875,3.3,1.105)); +#16887=CARTESIAN_POINT('',(-2.875,-2.,1.105)); +#16888=CARTESIAN_POINT('',(-2.775,-2.,1.105)); +#16889=CARTESIAN_POINT('',(-2.775,-2.1,1.105)); +#16890=CARTESIAN_POINT('',(-3.7,-2.1,1.105)); +#16891=CARTESIAN_POINT('',(-1.975,-2.1,1.105)); +#16892=CARTESIAN_POINT('',(-1.975,-2.,1.105)); +#16893=CARTESIAN_POINT('',(-1.875,-2.,1.105)); +#16894=CARTESIAN_POINT('',(-1.875,3.3,1.105)); +#16895=CARTESIAN_POINT('',(-1.875,-1.4,1.105)); +#16896=CARTESIAN_POINT('',(-1.975,-1.4,1.105)); +#16897=CARTESIAN_POINT('',(2.06,1.02,1.105)); +#16898=CARTESIAN_POINT('',(2.39,1.02,1.105)); +#16899=CARTESIAN_POINT('',(2.06,1.35,1.105)); +#16900=CARTESIAN_POINT('',(-3.7,1.35,1.105)); +#16901=CARTESIAN_POINT('',(1.66,1.35,1.105)); +#16902=CARTESIAN_POINT('',(1.66,1.02,1.105)); +#16903=CARTESIAN_POINT('',(1.33,1.02,1.105)); +#16904=CARTESIAN_POINT('',(1.33000000000001,3.29999999999998,1.105)); +#16905=CARTESIAN_POINT('',(1.33,0.920000000000001,1.105)); +#16906=CARTESIAN_POINT('',(1.66,0.92,1.105)); +#16907=CARTESIAN_POINT('',(1.66,0.59,1.105)); +#16908=CARTESIAN_POINT('',(-3.7,0.59,1.105)); +#16909=CARTESIAN_POINT('',(2.06,0.59,1.105)); +#16910=CARTESIAN_POINT('',(2.06,0.92,1.105)); +#16911=CARTESIAN_POINT('',(2.39,0.92,1.105)); +#16912=CARTESIAN_POINT('',(2.38999999999999,3.30000000000003,1.105)); +#16913=CARTESIAN_POINT('',(-3.7,3.3,1.105)); +#16914=CARTESIAN_POINT('',(-3.05,3.3,1.105)); +#16915=CARTESIAN_POINT('',(-3.44,3.3,1.105)); +#16916=CARTESIAN_POINT('',(-3.05,3.1,1.105)); +#16917=CARTESIAN_POINT('',(-2.85,3.1,1.105)); +#16918=CARTESIAN_POINT('',(-2.85,3.3,1.105)); +#16919=CARTESIAN_POINT('',(-2.85,2.8,1.105)); +#16920=CARTESIAN_POINT('',(-2.65,2.8,1.105)); +#16921=CARTESIAN_POINT('',(-2.65,2.6,1.105)); +#16922=CARTESIAN_POINT('',(-3.7,2.6,1.105)); +#16923=CARTESIAN_POINT('',(-1.302,2.6,1.105)); +#16924=CARTESIAN_POINT('',(-1.302,2.59,1.105)); +#16925=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.105)); +#16926=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.105)); +#16927=CARTESIAN_POINT('',(-0.4,2.64,1.105)); +#16928=CARTESIAN_POINT('',(-0.4,2.54,1.105)); +#16929=CARTESIAN_POINT('',(-3.7,2.54,1.105)); +#16930=CARTESIAN_POINT('',(1.3,2.58999999999999,1.105)); +#16931=CARTESIAN_POINT('',(1.3,2.58999999999999,1.105)); +#16932=CARTESIAN_POINT('',(1.3,2.58999999999999,1.105)); +#16933=CARTESIAN_POINT('',(1.302,2.58999999999999,1.105)); +#16934=CARTESIAN_POINT('',(1.302,2.58999999999999,1.105)); +#16935=CARTESIAN_POINT('',(1.302,2.59999999999999,1.105)); +#16936=CARTESIAN_POINT('',(-3.7,2.6,1.105)); +#16937=CARTESIAN_POINT('',(2.65,2.6,1.105)); +#16938=CARTESIAN_POINT('',(2.65,2.8,1.105)); +#16939=CARTESIAN_POINT('',(2.85,2.8,1.105)); +#16940=CARTESIAN_POINT('',(2.85,3.3,1.105)); +#16941=CARTESIAN_POINT('',(2.85,3.1,1.105)); +#16942=CARTESIAN_POINT('',(3.05,3.1,1.105)); +#16943=CARTESIAN_POINT('',(3.05,3.3,1.105)); +#16944=CARTESIAN_POINT('',(-3.7,3.3,1.105)); +#16945=CARTESIAN_POINT('',(3.44,3.3,1.105)); +#16946=CARTESIAN_POINT('',(3.44,3.3,1.105)); +#16947=CARTESIAN_POINT('',(3.44,-3.3,1.105)); +#16948=CARTESIAN_POINT('',(-3.7,-3.3,1.105)); +#16949=CARTESIAN_POINT('',(-3.44,-3.3,1.105)); +#16950=CARTESIAN_POINT('',(-3.44,3.3,1.105)); +#16951=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16952=CARTESIAN_POINT('',(1.3,2.59,1.355)); +#16953=CARTESIAN_POINT('',(1.302,2.59,1.355)); +#16954=CARTESIAN_POINT('',(-2.85,2.6,-9.54824199926424)); +#16955=CARTESIAN_POINT('',(-2.65,2.6,1.355)); +#16956=CARTESIAN_POINT('',(-1.302,2.6,1.355)); +#16957=CARTESIAN_POINT('',(-2.85,2.6,-9.54824199926424)); +#16958=CARTESIAN_POINT('',(2.65,2.6,1.105)); +#16959=CARTESIAN_POINT('',(1.302,2.6,1.355)); +#16960=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-0.995)); +#16961=CARTESIAN_POINT('',(-3.05,3.3,1.105)); +#16962=CARTESIAN_POINT('',(-3.44,3.3,1.095)); +#16963=CARTESIAN_POINT('',(-3.45,3.3,1.095)); +#16964=CARTESIAN_POINT('',(-3.45,3.3,-4.33680868994202E-16)); +#16965=CARTESIAN_POINT('',(-3.45,3.3,-2.445)); +#16966=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-2.445)); +#16967=CARTESIAN_POINT('',(-3.7,3.3,-2.445)); +#16968=CARTESIAN_POINT('',(-3.7,3.3,-4.33680868994202E-16)); +#16969=CARTESIAN_POINT('',(-3.7,3.3,1.095)); +#16970=CARTESIAN_POINT('',(-3.44,3.3,1.095)); +#16971=CARTESIAN_POINT('',(1.975,-2.,-9.54632160318963)); +#16972=CARTESIAN_POINT('',(1.975,-2.1,1.105)); +#16973=CARTESIAN_POINT('',(1.875,-2.,1.105)); +#16974=CARTESIAN_POINT('',(3.45,2.6,0.654999999999999)); +#16975=CARTESIAN_POINT('',(3.45,2.6,0.654999999999999)); +#16976=CARTESIAN_POINT('',(3.45,2.6,0.655)); +#16977=CARTESIAN_POINT('',(3.45,2.6,-0.245000000000001)); +#16978=CARTESIAN_POINT('',(3.7,2.6,-0.245000000000001)); +#16979=CARTESIAN_POINT('',(3.7,2.6,-0.245000000000001)); +#16980=CARTESIAN_POINT('',(3.7,2.6,0.654999999999999)); +#16981=CARTESIAN_POINT('',(3.7,2.6,0.655)); +#16982=CARTESIAN_POINT('',(3.45,2.6,0.655)); +#16983=CARTESIAN_POINT('',(3.45,2.6,0.654999999999999)); +#16984=CARTESIAN_POINT('',(3.7,2.6,0.654999999999999)); +#16985=CARTESIAN_POINT('',(3.7,2.6,-1.04493946741462)); +#16986=CARTESIAN_POINT('',(3.7,2.6,-2.445)); +#16987=CARTESIAN_POINT('',(3.7,2.6,-1.045)); +#16988=CARTESIAN_POINT('',(3.45,2.6,-1.045)); +#16989=CARTESIAN_POINT('',(3.45,2.6,0.654999999999999)); +#16990=CARTESIAN_POINT('',(3.45,2.6,-2.445)); +#16991=CARTESIAN_POINT('',(3.45,2.6,-2.445)); +#16992=CARTESIAN_POINT('',(3.7,3.3,-4.33680868994202E-16)); +#16993=CARTESIAN_POINT('',(3.6238477631085,3.3,-0.076152236891498)); +#16994=CARTESIAN_POINT('',(3.6238477631085,-2.1,-0.0761522368914982)); +#16995=CARTESIAN_POINT('',(3.6238477631085,-3.3,-0.076152236891498)); +#16996=CARTESIAN_POINT('',(3.7,-3.3,-4.33680868994202E-16)); +#16997=CARTESIAN_POINT('',(2.7811522368915,-3.3,-0.918847763108502)); +#16998=CARTESIAN_POINT('',(2.7811522368915,3.3,-0.918847763108502)); +#16999=CARTESIAN_POINT('',(2.7811522368915,1.2,-0.918847763108502)); +#17000=CARTESIAN_POINT('',(3.7,1.2,-2.95691501586956E-16)); +#17001=CARTESIAN_POINT('',(3.6238477631085,1.2,-0.0761522368914982)); +#17002=CARTESIAN_POINT('',(3.6238477631085,3.3,-0.076152236891498)); +#17003=CARTESIAN_POINT('',(3.6238477631085,-1.5,-0.0761522368914982)); +#17004=CARTESIAN_POINT('',(3.7,-1.5,-4.33680868994202E-16)); +#17005=CARTESIAN_POINT('',(3.205,-1.5,-0.495)); +#17006=CARTESIAN_POINT('',(3.205,3.3,-0.495)); +#17007=CARTESIAN_POINT('',(3.205,-2.1,-0.495000000000001)); +#17008=CARTESIAN_POINT('',(3.7,-2.1,-4.33680868994202E-16)); +#17009=CARTESIAN_POINT('',(3.44,3.3,0.107695526217004)); +#17010=CARTESIAN_POINT('',(3.7,3.3,0.107695526217004)); +#17011=CARTESIAN_POINT('',(3.7,1.2,0.107695526217004)); +#17012=CARTESIAN_POINT('',(3.7,-1.5,0.107695526217004)); +#17013=CARTESIAN_POINT('',(3.44,-1.5,0.107695526217004)); +#17014=CARTESIAN_POINT('',(3.44,1.2,0.107695526217004)); +#17015=CARTESIAN_POINT('',(3.44,3.3,0.107695526217004)); +#17016=CARTESIAN_POINT('',(3.44,-2.1,0.107695526217004)); +#17017=CARTESIAN_POINT('',(3.7,-2.1,0.107695526217004)); +#17018=CARTESIAN_POINT('',(3.7,3.3,0.107695526217004)); +#17019=CARTESIAN_POINT('',(3.7,-3.3,0.107695526217004)); +#17020=CARTESIAN_POINT('',(3.44,-3.3,0.107695526217004)); +#17021=CARTESIAN_POINT('',(3.7,3.3,1.355)); +#17022=CARTESIAN_POINT('',(3.7,-2.1,0.654999999999999)); +#17023=CARTESIAN_POINT('',(3.7,-2.1,0.654999999999999)); +#17024=CARTESIAN_POINT('',(3.7,-1.5,0.654999999999999)); +#17025=CARTESIAN_POINT('',(3.7,-1.5,0.654999999999999)); +#17026=CARTESIAN_POINT('',(3.7,1.2,1.355)); +#17027=CARTESIAN_POINT('',(3.7,1.2,0.654999999999999)); +#17028=CARTESIAN_POINT('',(3.7,3.3,0.654999999999999)); +#17029=CARTESIAN_POINT('',(3.7,2.6,-0.245000000000001)); +#17030=CARTESIAN_POINT('',(3.7,2.95,-2.445)); +#17031=CARTESIAN_POINT('',(3.7,3.3,-2.445)); +#17032=CARTESIAN_POINT('',(3.7,3.3,1.355)); +#17033=CARTESIAN_POINT('',(3.7,3.3,1.095)); +#17034=CARTESIAN_POINT('',(3.7,3.3,1.095)); +#17035=CARTESIAN_POINT('',(3.7,-3.3,1.095)); +#17036=CARTESIAN_POINT('',(3.7,-3.3,1.355)); +#17037=CARTESIAN_POINT('',(3.7,-2.1,-0.495)); +#17038=CARTESIAN_POINT('',(3.52322330470336,3.3,0.176776695296637)); +#17039=CARTESIAN_POINT('',(2.60437554159486,3.3,-0.742071067811865)); +#17040=CARTESIAN_POINT('',(2.60437554159486,1.2,-0.742071067811865)); +#17041=CARTESIAN_POINT('',(2.60437554159486,-3.3,-0.742071067811865)); +#17042=CARTESIAN_POINT('',(3.52322330470336,-3.3,0.176776695296637)); +#17043=CARTESIAN_POINT('',(3.44707106781187,-3.3,0.100624458405139)); +#17044=CARTESIAN_POINT('',(3.44707106781187,3.3,0.100624458405139)); +#17045=CARTESIAN_POINT('',(3.44707106781187,-2.1,0.100624458405139)); +#17046=CARTESIAN_POINT('',(3.52322330470336,-2.1,0.176776695296637)); +#17047=CARTESIAN_POINT('',(2.85144660940672,-2.1,-0.495000000000001)); +#17048=CARTESIAN_POINT('',(2.85144660940673,3.3,-0.495)); +#17049=CARTESIAN_POINT('',(2.85144660940673,-1.5,-0.495)); +#17050=CARTESIAN_POINT('',(3.52322330470336,-1.5,0.176776695296637)); +#17051=CARTESIAN_POINT('',(3.44707106781187,-1.5,0.100624458405139)); +#17052=CARTESIAN_POINT('',(3.44707106781187,3.3,0.100624458405139)); +#17053=CARTESIAN_POINT('',(3.44707106781187,1.2,0.100624458405139)); +#17054=CARTESIAN_POINT('',(3.52322330470336,1.2,0.176776695296637)); +#17055=CARTESIAN_POINT('',(3.44,3.3,0.107695526217004)); +#17056=CARTESIAN_POINT('',(3.44,-1.5,0.107695526217004)); +#17057=CARTESIAN_POINT('',(3.45,-1.5,0.107695526217004)); +#17058=CARTESIAN_POINT('',(3.45,3.3,0.107695526217004)); +#17059=CARTESIAN_POINT('',(3.45,1.2,0.107695526217004)); +#17060=CARTESIAN_POINT('',(3.44,1.2,0.107695526217004)); +#17061=CARTESIAN_POINT('',(3.44,3.3,0.107695526217004)); +#17062=CARTESIAN_POINT('',(3.44,-2.1,0.107695526217004)); +#17063=CARTESIAN_POINT('',(3.45,-2.1,0.107695526217004)); +#17064=CARTESIAN_POINT('',(3.44,-3.3,0.107695526217004)); +#17065=CARTESIAN_POINT('',(3.45,-3.3,0.107695526217004)); +#17066=CARTESIAN_POINT('',(3.45,3.3,0.107695526217004)); +#17067=CARTESIAN_POINT('',(3.45,3.3,1.355)); +#17068=CARTESIAN_POINT('',(3.45,-1.5,1.355)); +#17069=CARTESIAN_POINT('',(3.45,-1.5,0.654999999999999)); +#17070=CARTESIAN_POINT('',(3.45,3.3,0.654999999999999)); +#17071=CARTESIAN_POINT('',(3.45,-2.1,0.654999999999999)); +#17072=CARTESIAN_POINT('',(3.45,-2.1,1.355)); +#17073=CARTESIAN_POINT('',(3.45,-3.3,1.355)); +#17074=CARTESIAN_POINT('',(3.45,-3.3,1.095)); +#17075=CARTESIAN_POINT('',(3.45,3.3,1.095)); +#17076=CARTESIAN_POINT('',(3.45,3.3,1.095)); +#17077=CARTESIAN_POINT('',(3.45,3.3,1.355)); +#17078=CARTESIAN_POINT('',(3.45,3.3,-2.445)); +#17079=CARTESIAN_POINT('',(3.45,2.95,-2.445)); +#17080=CARTESIAN_POINT('',(3.45,2.6,-0.245000000000001)); +#17081=CARTESIAN_POINT('',(3.45,3.3,0.654999999999999)); +#17082=CARTESIAN_POINT('',(3.45,1.2,0.654999999999999)); +#17083=CARTESIAN_POINT('',(3.45,1.2,1.355)); +#17084=CARTESIAN_POINT('',(-2.775,-2.,-9.39406972719035)); +#17085=CARTESIAN_POINT('',(-2.775,-2.1,1.105)); +#17086=CARTESIAN_POINT('',(-2.875,-2.,1.105)); +#17087=CARTESIAN_POINT('',(-3.45,2.6,-2.795)); +#17088=CARTESIAN_POINT('',(-3.7,2.6,-2.795)); +#17089=CARTESIAN_POINT('',(-3.7,2.6,-0.245060532585379)); +#17090=CARTESIAN_POINT('',(-3.7,2.6,0.654999999999999)); +#17091=CARTESIAN_POINT('',(-3.7,2.6,-0.245000000000001)); +#17092=CARTESIAN_POINT('',(-3.45,2.6,-0.245000000000001)); +#17093=CARTESIAN_POINT('',(-3.45,2.6,-2.795)); +#17094=CARTESIAN_POINT('',(-3.45,2.6,0.654999999999999)); +#17095=CARTESIAN_POINT('',(-3.45,2.6,0.654999999999999)); +#17096=CARTESIAN_POINT('',(-3.45,2.6,-2.795)); +#17097=CARTESIAN_POINT('',(-3.45,2.6,-2.795)); +#17098=CARTESIAN_POINT('',(-3.45,2.6,-2.445)); +#17099=CARTESIAN_POINT('',(-3.45,2.6,-1.045)); +#17100=CARTESIAN_POINT('',(-3.7,2.6,-1.045)); +#17101=CARTESIAN_POINT('',(-3.7,2.6,-1.045)); +#17102=CARTESIAN_POINT('',(-3.7,2.6,-2.795)); +#17103=CARTESIAN_POINT('',(-3.7,2.6,-2.445)); +#17104=CARTESIAN_POINT('',(-3.45,2.6,-2.445)); +#17105=CARTESIAN_POINT('',(-3.7,3.3,-4.33680868994202E-16)); +#17106=CARTESIAN_POINT('',(-3.7,3.3,0.107695526217004)); +#17107=CARTESIAN_POINT('',(-3.7,1.2,0.107695526217004)); +#17108=CARTESIAN_POINT('',(-3.7,-1.5,0.107695526217004)); +#17109=CARTESIAN_POINT('',(-3.7,-1.5,-0.495)); +#17110=CARTESIAN_POINT('',(-3.7,-1.5,0.654999999999999)); +#17111=CARTESIAN_POINT('',(-3.7,-1.5,0.654999999999999)); +#17112=CARTESIAN_POINT('',(-3.7,-2.1,0.654999999999999)); +#17113=CARTESIAN_POINT('',(-3.7,-2.1,0.654999999999999)); +#17114=CARTESIAN_POINT('',(-3.7,-2.1,0.107695526217004)); +#17115=CARTESIAN_POINT('',(-3.7,3.3,0.107695526217004)); +#17116=CARTESIAN_POINT('',(-3.7,-3.3,0.107695526217004)); +#17117=CARTESIAN_POINT('',(-3.7,-3.3,-4.33680868994202E-16)); +#17118=CARTESIAN_POINT('',(-3.7,-3.3,1.095)); +#17119=CARTESIAN_POINT('',(-3.7,3.3,1.095)); +#17120=CARTESIAN_POINT('',(-3.7,2.95,-2.445)); +#17121=CARTESIAN_POINT('',(-3.7,2.6,-0.245000000000001)); +#17122=CARTESIAN_POINT('',(-3.7,3.3,0.654999999999999)); +#17123=CARTESIAN_POINT('',(-3.7,1.2,0.654999999999999)); +#17124=CARTESIAN_POINT('',(-3.7,1.2,0.654999999999999)); +#17125=CARTESIAN_POINT('',(-3.44,3.3,0.107695526217004)); +#17126=CARTESIAN_POINT('',(-3.6238477631085,3.3,-0.076152236891498)); +#17127=CARTESIAN_POINT('',(-3.6238477631085,1.2,-0.0761522368914979)); +#17128=CARTESIAN_POINT('',(-3.6238477631085,-1.5,-0.076152236891498)); +#17129=CARTESIAN_POINT('',(-3.44,-1.5,0.107695526217004)); +#17130=CARTESIAN_POINT('',(-3.44,1.2,0.107695526217004)); +#17131=CARTESIAN_POINT('',(-3.44,3.3,0.107695526217004)); +#17132=CARTESIAN_POINT('',(-3.44,-2.1,0.107695526217004)); +#17133=CARTESIAN_POINT('',(-3.6238477631085,-2.1,-0.076152236891498)); +#17134=CARTESIAN_POINT('',(-3.6238477631085,3.3,-0.076152236891498)); +#17135=CARTESIAN_POINT('',(-3.6238477631085,-3.3,-0.076152236891498)); +#17136=CARTESIAN_POINT('',(-3.44,-3.3,0.107695526217004)); +#17137=CARTESIAN_POINT('',(-2.705,3.3,-0.995)); +#17138=CARTESIAN_POINT('',(-2.705,1.2,-0.995)); +#17139=CARTESIAN_POINT('',(-2.7811522368915,1.2,-0.918847763108503)); +#17140=CARTESIAN_POINT('',(-2.7811522368915,3.3,-0.918847763108503)); +#17141=CARTESIAN_POINT('',(-2.7811522368915,-3.3,-0.918847763108503)); +#17142=CARTESIAN_POINT('',(-2.705,-3.3,-0.995)); +#17143=CARTESIAN_POINT('',(-2.705,-2.1,-0.995)); +#17144=CARTESIAN_POINT('',(-3.205,-2.1,-0.495)); +#17145=CARTESIAN_POINT('',(-3.205,3.3,-0.495)); +#17146=CARTESIAN_POINT('',(-3.205,-1.5,-0.495)); +#17147=CARTESIAN_POINT('',(-2.705,-1.5,-0.995)); +#17148=CARTESIAN_POINT('',(-3.45,3.3,-4.33680868994202E-16)); +#17149=CARTESIAN_POINT('',(-3.45,-1.5,-4.33680868994202E-16)); +#17150=CARTESIAN_POINT('',(-3.45,-1.5,0.107695526217004)); +#17151=CARTESIAN_POINT('',(-3.45,-1.5,0.654999999999999)); +#17152=CARTESIAN_POINT('',(-3.45,3.3,0.107695526217004)); +#17153=CARTESIAN_POINT('',(-3.45,1.2,0.107695526217004)); +#17154=CARTESIAN_POINT('',(-3.45,1.2,-1.5770213417971E-16)); +#17155=CARTESIAN_POINT('',(-3.45,1.2,0.654999999999999)); +#17156=CARTESIAN_POINT('',(-3.45,3.3,0.654999999999999)); +#17157=CARTESIAN_POINT('',(-3.45,2.6,-1.045)); +#17158=CARTESIAN_POINT('',(-3.45,2.95,-2.445)); +#17159=CARTESIAN_POINT('',(-3.45,3.3,1.095)); +#17160=CARTESIAN_POINT('',(-3.45,-3.3,1.095)); +#17161=CARTESIAN_POINT('',(-3.45,-3.3,-4.33680868994202E-16)); +#17162=CARTESIAN_POINT('',(-3.45,-3.3,0.107695526217004)); +#17163=CARTESIAN_POINT('',(-3.45,3.3,0.107695526217004)); +#17164=CARTESIAN_POINT('',(-3.45,-2.1,0.107695526217004)); +#17165=CARTESIAN_POINT('',(-3.45,-2.1,-4.33680868994202E-16)); +#17166=CARTESIAN_POINT('',(-3.45,-2.1,0.654999999999999)); +#17167=CARTESIAN_POINT('',(-3.45,3.3,0.654999999999999)); +#17168=CARTESIAN_POINT('',(-3.44,3.3,0.107695526217004)); +#17169=CARTESIAN_POINT('',(-3.44,-1.5,0.107695526217004)); +#17170=CARTESIAN_POINT('',(-3.44707106781187,-1.5,0.100624458405139)); +#17171=CARTESIAN_POINT('',(-3.44707106781187,3.3,0.100624458405138)); +#17172=CARTESIAN_POINT('',(-3.44707106781187,1.2,0.100624458405139)); +#17173=CARTESIAN_POINT('',(-3.44,1.2,0.107695526217004)); +#17174=CARTESIAN_POINT('',(-3.44,3.3,0.107695526217004)); +#17175=CARTESIAN_POINT('',(-3.44,-2.1,0.107695526217004)); +#17176=CARTESIAN_POINT('',(-3.44707106781187,-2.1,0.100624458405139)); +#17177=CARTESIAN_POINT('',(-3.44,-3.3,0.107695526217004)); +#17178=CARTESIAN_POINT('',(-3.44707106781187,-3.3,0.100624458405138)); +#17179=CARTESIAN_POINT('',(-3.44707106781187,3.3,0.100624458405138)); +#17180=CARTESIAN_POINT('',(-2.52822330470336,3.3,-0.818223304703363)); +#17181=CARTESIAN_POINT('',(-2.52822330470336,-1.5,-0.818223304703363)); +#17182=CARTESIAN_POINT('',(-2.85144660940673,-1.5,-0.495)); +#17183=CARTESIAN_POINT('',(-2.85144660940673,3.3,-0.495)); +#17184=CARTESIAN_POINT('',(-2.85144660940673,-2.1,-0.495)); +#17185=CARTESIAN_POINT('',(-2.52822330470336,-2.1,-0.818223304703363)); +#17186=CARTESIAN_POINT('',(-2.52822330470336,-3.3,-0.818223304703363)); +#17187=CARTESIAN_POINT('',(-2.60437554159486,-3.3,-0.742071067811866)); +#17188=CARTESIAN_POINT('',(-2.60437554159486,3.3,-0.742071067811866)); +#17189=CARTESIAN_POINT('',(-2.60437554159486,1.2,-0.742071067811866)); +#17190=CARTESIAN_POINT('',(-2.52822330470336,1.2,-0.818223304703363)); +#17191=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-0.995)); +#17192=CARTESIAN_POINT('',(3.05,3.3,1.355)); +#17193=CARTESIAN_POINT('',(3.44,3.3,1.095)); +#17194=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-2.445)); +#17195=CARTESIAN_POINT('',(3.44,3.3,1.095)); +#17196=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-0.995)); +#17197=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-0.995)); +#17198=CARTESIAN_POINT('',(-0.0049999999999998,1.2,-0.995)); +#17199=CARTESIAN_POINT('',(-0.00500000000000012,-3.3,-0.995)); +#17200=CARTESIAN_POINT('',(-0.00500000000000012,1.2,-0.995)); +#17201=CARTESIAN_POINT('',(-0.00500000000000014,1.2,-0.745)); +#17202=CARTESIAN_POINT('',(-0.00500000000000014,3.3,-0.745)); +#17203=CARTESIAN_POINT('',(-0.00500000000000014,-3.3,-0.745)); +#17204=CARTESIAN_POINT('',(-0.00500000000000012,-3.3,-0.995)); +#17205=CARTESIAN_POINT('',(0.00500000000000023,3.3,-0.995)); +#17206=CARTESIAN_POINT('',(0.00500000000000023,3.3,-0.745)); +#17207=CARTESIAN_POINT('',(0.00500000000000023,1.2,-0.745)); +#17208=CARTESIAN_POINT('',(0.00500000000000023,-3.3,-0.745)); +#17209=CARTESIAN_POINT('',(0.00500000000000023,1.2,-0.995)); +#17210=CARTESIAN_POINT('',(0.00500000000000066,1.2,-0.995)); +#17211=CARTESIAN_POINT('',(0.00500000000000023,3.3,-0.995)); +#17212=CARTESIAN_POINT('',(0.00500000000000023,-3.3,-0.995)); +#17213=CARTESIAN_POINT('',(0.00500000000000023,-3.3,-0.995)); +#17214=CARTESIAN_POINT('',(2.705,3.3,-0.995)); +#17215=CARTESIAN_POINT('',(2.597304473783,3.3,-0.995)); +#17216=CARTESIAN_POINT('',(2.597304473783,1.2,-0.995)); +#17217=CARTESIAN_POINT('',(2.597304473783,-3.3,-0.995)); +#17218=CARTESIAN_POINT('',(2.705,-3.3,-0.995)); +#17219=CARTESIAN_POINT('',(6.49031402852729,1.2,-0.995)); +#17220=CARTESIAN_POINT('',(2.597304473783,3.3,-0.735)); +#17221=CARTESIAN_POINT('',(2.597304473783,-3.3,-0.735)); +#17222=CARTESIAN_POINT('',(2.597304473783,1.2,-0.735)); +#17223=CARTESIAN_POINT('',(-2.597304473783,3.3,-0.735)); +#17224=CARTESIAN_POINT('',(-2.597304473783,-3.3,-0.735)); +#17225=CARTESIAN_POINT('',(-2.597304473783,-3.3,-0.995)); +#17226=CARTESIAN_POINT('',(-2.597304473783,1.2,-0.735)); +#17227=CARTESIAN_POINT('',(-2.597304473783,1.2,-0.995)); +#17228=CARTESIAN_POINT('',(-2.597304473783,3.3,-0.995)); +#17229=CARTESIAN_POINT('',(-0.00500000000000012,3.3,-0.995)); +#17230=CARTESIAN_POINT('',(-0.00500000000000012,-3.3,-0.995)); +#17231=CARTESIAN_POINT('',(6.49031402852729,1.2,-0.995)); +#17232=CARTESIAN_POINT('',(2.705,3.3,-0.745)); +#17233=CARTESIAN_POINT('',(2.705,-3.3,-0.745)); +#17234=CARTESIAN_POINT('',(2.597304473783,-3.3,-0.745)); +#17235=CARTESIAN_POINT('',(2.597304473783,3.3,-0.745)); +#17236=CARTESIAN_POINT('',(2.597304473783,1.2,-0.745)); +#17237=CARTESIAN_POINT('',(2.705,1.2,-0.745)); +#17238=CARTESIAN_POINT('',(2.597304473783,3.3,-0.735)); +#17239=CARTESIAN_POINT('',(2.597304473783,-3.3,-0.735)); +#17240=CARTESIAN_POINT('',(2.597304473783,1.2,-0.735)); +#17241=CARTESIAN_POINT('',(-2.597304473783,3.3,-0.735)); +#17242=CARTESIAN_POINT('',(-2.597304473783,-3.3,-0.735)); +#17243=CARTESIAN_POINT('',(-2.597304473783,-3.3,-0.745)); +#17244=CARTESIAN_POINT('',(-2.597304473783,3.3,-0.745)); +#17245=CARTESIAN_POINT('',(-2.597304473783,1.2,-0.745)); +#17246=CARTESIAN_POINT('',(-2.597304473783,1.2,-0.735)); +#17247=CARTESIAN_POINT('',(-0.00500000000000014,3.3,-0.745)); +#17248=CARTESIAN_POINT('',(-0.00500000000000014,-3.3,-0.745)); +#17249=CARTESIAN_POINT('',(-0.00500000000000014,1.2,-0.745)); +#17250=CARTESIAN_POINT('',(2.705,-3.3,-0.995)); +#17251=CARTESIAN_POINT('',(3.44,-3.3,1.095)); +#17252=CARTESIAN_POINT('',(3.44,-3.3,1.095)); +#17253=CARTESIAN_POINT('',(-3.44,-3.3,1.095)); +#17254=CARTESIAN_POINT('',(-3.44,-3.3,1.095)); +#17255=CARTESIAN_POINT('',(3.44,3.3,1.095)); +#17256=CARTESIAN_POINT('',(-3.44,3.3,1.095)); +#17257=CARTESIAN_POINT('',(3.44,3.3,1.095)); +#17258=CARTESIAN_POINT('',(-3.44,3.3,1.095)); +#17259=CARTESIAN_POINT('',(6.49031402852729,3.3,0.654999999999999)); +#17260=CARTESIAN_POINT('',(6.49031402852729,1.2,0.654999999999999)); +#17261=CARTESIAN_POINT('',(6.49031402852729,1.2,0.654999999999999)); +#17262=CARTESIAN_POINT('',(6.49031402852729,1.2,0.654999999999999)); +#17263=CARTESIAN_POINT('',(6.49031402852729,1.2,0.654999999999999)); +#17264=CARTESIAN_POINT('',(6.49031402852729,3.3,0.654999999999999)); +#17265=CARTESIAN_POINT('',(-3.45,2.95,-2.445)); +#17266=CARTESIAN_POINT('',(7.04906972719035,-1.5,-0.495)); +#17267=CARTESIAN_POINT('',(7.04906972719035,-1.5,-0.495)); +#17268=CARTESIAN_POINT('',(7.04906972719035,-1.5,0.654999999999999)); +#17269=CARTESIAN_POINT('',(7.04906972719035,-2.1,0.654999999999999)); +#17270=CARTESIAN_POINT('',(7.04906972719035,-2.1,-0.495)); +#17271=CARTESIAN_POINT('',(7.04906972719035,-2.1,0.654999999999999)); +#17272=CARTESIAN_POINT('',(7.04906972719035,-2.1,-0.495)); +#17273=CARTESIAN_POINT('',(7.04906972719035,-1.5,0.654999999999999)); +#17274=CARTESIAN_POINT('',(-2.875,-2.1,-9.39406972719035)); +#17275=CARTESIAN_POINT('',(-2.875,-1.4,1.355)); +#17276=CARTESIAN_POINT('',(-1.875,-1.3,-9.39406972719035)); +#17277=CARTESIAN_POINT('',(-1.875,-1.4,1.105)); +#17278=CARTESIAN_POINT('',(-1.875,-2.,1.355)); +#17279=CARTESIAN_POINT('',(-2.875,-1.3,-9.39406972719035)); +#17280=CARTESIAN_POINT('',(-2.75,-1.3,-9.39406972719035)); +#17281=CARTESIAN_POINT('',(-2.775,-1.3,1.105)); +#17282=CARTESIAN_POINT('',(-2.65,-1.3,-9.39406972719035)); +#17283=CARTESIAN_POINT('',(-2.65,-0.4,1.355)); +#17284=CARTESIAN_POINT('',(-2.65,-1.2,-9.39406972719035)); +#17285=CARTESIAN_POINT('',(-2.65,-0.3,-9.39406972719035)); +#17286=CARTESIAN_POINT('',(-2.55,-0.3,1.105)); +#17287=CARTESIAN_POINT('',(-2.2,-0.3,1.355)); +#17288=CARTESIAN_POINT('',(-2.1,-0.3,-9.39406972719035)); +#17289=CARTESIAN_POINT('',(-2.1,-0.4,1.105)); +#17290=CARTESIAN_POINT('',(-2.1,-1.2,-9.39406972719035)); +#17291=CARTESIAN_POINT('',(-2.1,-1.3,-9.39406972719035)); +#17292=CARTESIAN_POINT('',(-1.975,-1.3,1.355)); +#17293=CARTESIAN_POINT('',(-2.,-1.3,-9.39406972719035)); +#17294=CARTESIAN_POINT('',(-2.55,-0.4,-9.39406972719035)); +#17295=CARTESIAN_POINT('',(-2.2,-0.4,-9.39406972719035)); +#17296=CARTESIAN_POINT('',(-1.975,-1.4,-9.39406972719035)); +#17297=CARTESIAN_POINT('',(-2.,-1.2,-9.39406972719035)); +#17298=CARTESIAN_POINT('',(-2.75,-1.2,-9.39406972719035)); +#17299=CARTESIAN_POINT('',(-2.775,-1.4,-9.39406972719035)); +#17300=CARTESIAN_POINT('',(-1.975,-2.,-9.39406972719035)); +#17301=CARTESIAN_POINT('',(-1.975,-2.1,1.355)); +#17302=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.845)); +#17303=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.845)); +#17304=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.945)); +#17305=CARTESIAN_POINT('',(-3.5,1.6,-0.845)); +#17306=CARTESIAN_POINT('',(-2.1618496348353,2.02350159410511,-0.945)); +#17307=CARTESIAN_POINT('',(-3.28018708216386,1.75550653089938,-0.945)); +#17308=CARTESIAN_POINT('',(-3.28018708216386,1.75550653089938,-0.845)); +#17309=CARTESIAN_POINT('',(-3.25688316362423,1.65825979634907,-0.845)); +#17310=CARTESIAN_POINT('',(-3.5,1.6,-0.845)); +#17311=CARTESIAN_POINT('',(-3.5,1.6,-0.945)); +#17312=CARTESIAN_POINT('',(-3.25688316362423,1.65825979634907,-0.945)); +#17313=CARTESIAN_POINT('',(-3.25688316362423,1.65825979634907,-0.445)); +#17314=CARTESIAN_POINT('',(-3.5,1.6,-0.445)); +#17315=CARTESIAN_POINT('',(-3.5,1.6,-0.445)); +#17316=CARTESIAN_POINT('',(-3.5,1.6,-0.945)); +#17317=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.445000000000001)); +#17318=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.445000000000001)); +#17319=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.345000000000001)); +#17320=CARTESIAN_POINT('',(-3.28018708216386,1.75550653089938,-0.445000000000001)); +#17321=CARTESIAN_POINT('',(-3.28018708216386,1.75550653089938,-0.345000000000001)); +#17322=CARTESIAN_POINT('',(-3.52330391853963,1.69724673455031,-0.345000000000001)); +#17323=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.345000000000001)); +#17324=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.345000000000001)); +#17325=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.345000000000001)); +#17326=CARTESIAN_POINT('',(-2.3,2.6,-0.345000000000001)); +#17327=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.345000000000001)); +#17328=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.345000000000001)); +#17329=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.345000000000001)); +#17330=CARTESIAN_POINT('',(-2.3,2.6,-0.345000000000001)); +#17331=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.345000000000001)); +#17332=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.345000000000001)); +#17333=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.945)); +#17334=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.945)); +#17335=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.945)); +#17336=CARTESIAN_POINT('',(-3.66145428370433,2.2737451404452,-0.945)); +#17337=CARTESIAN_POINT('',(-2.3,2.6,-0.945)); +#17338=CARTESIAN_POINT('',(-2.3,2.6,-0.945000000000001)); +#17339=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.945000000000001)); +#17340=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.945000000000001)); +#17341=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.945000000000001)); +#17342=CARTESIAN_POINT('',(-2.3,2.6,-0.945000000000001)); +#17343=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.945000000000001)); +#17344=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.945)); +#17345=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.945)); +#17346=CARTESIAN_POINT('',(-3.41833744732856,2.33200493679427,-0.945)); +#17347=CARTESIAN_POINT('',(-3.7,2.6,-0.245000000000001)); +#17348=CARTESIAN_POINT('',(-3.7,2.6,-0.271250451714929)); +#17349=CARTESIAN_POINT('',(-3.70010436595147,2.58775521961153,-0.297198665876329)); +#17350=CARTESIAN_POINT('',(-3.69910504212226,2.54571140717251,-0.334222690360982)); +#17351=CARTESIAN_POINT('',(-3.69781138707159,2.516258253949,-0.345000000000001)); +#17352=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.345000000000001)); +#17353=CARTESIAN_POINT('',(-3.45,2.6,-0.245000000000001)); +#17354=CARTESIAN_POINT('',(-3.45,2.6,-0.271250451714929)); +#17355=CARTESIAN_POINT('',(-3.45008572917442,2.58994178753805,-0.297198665876329)); +#17356=CARTESIAN_POINT('',(-3.449264856029,2.55540579874885,-0.334222690360982)); +#17357=CARTESIAN_POINT('',(-3.44820221080881,2.53121213717239,-0.345000000000001)); +#17358=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.345000000000001)); +#17359=CARTESIAN_POINT('',(-3.45,2.6,-0.245000000000001)); +#17360=CARTESIAN_POINT('',(-3.45,2.6,-0.297359877559831)); +#17361=CARTESIAN_POINT('',(-3.45017638272022,2.55549039046033,-0.345000000000001)); +#17362=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.345000000000001)); +#17363=CARTESIAN_POINT('',(-3.7,2.6,-0.245000000000001)); +#17364=CARTESIAN_POINT('',(-3.7,2.6,-0.297359877559831)); +#17365=CARTESIAN_POINT('',(-3.70021472678983,2.54581438838649,-0.345000000000001)); +#17366=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.345000000000001)); +#17367=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.945000000000001)); +#17368=CARTESIAN_POINT('',(-3.69781138707159,2.516258253949,-0.945000000000001)); +#17369=CARTESIAN_POINT('',(-3.69910504212226,2.54571140717251,-0.955777309639019)); +#17370=CARTESIAN_POINT('',(-3.70010436595147,2.58775521961153,-0.992801334123672)); +#17371=CARTESIAN_POINT('',(-3.7,2.6,-1.01874954828507)); +#17372=CARTESIAN_POINT('',(-3.7,2.6,-1.045)); +#17373=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.945000000000001)); +#17374=CARTESIAN_POINT('',(-3.44820221080881,2.53121213717239,-0.945000000000001)); +#17375=CARTESIAN_POINT('',(-3.449264856029,2.55540579874885,-0.955777309639019)); +#17376=CARTESIAN_POINT('',(-3.45008572917442,2.58994178753805,-0.992801334123672)); +#17377=CARTESIAN_POINT('',(-3.45,2.6,-1.01874954828507)); +#17378=CARTESIAN_POINT('',(-3.45,2.6,-1.045)); +#17379=CARTESIAN_POINT('',(-3.44621683112702,2.50679605136514,-0.945000000000001)); +#17380=CARTESIAN_POINT('',(-3.45017638272022,2.55549039046033,-0.945000000000001)); +#17381=CARTESIAN_POINT('',(-3.45,2.6,-0.992640122440171)); +#17382=CARTESIAN_POINT('',(-3.45,2.6,-1.045)); +#17383=CARTESIAN_POINT('',(-3.69539440311116,2.48653432340104,-0.945000000000001)); +#17384=CARTESIAN_POINT('',(-3.70021472678983,2.54581438838649,-0.945000000000001)); +#17385=CARTESIAN_POINT('',(-3.7,2.6,-0.992640122440171)); +#17386=CARTESIAN_POINT('',(-3.7,2.6,-1.045)); +#17387=CARTESIAN_POINT('',(-2.3,2.6,-1.045)); +#17388=CARTESIAN_POINT('',(-2.3,2.6,-1.045)); +#17389=CARTESIAN_POINT('',(-2.375,-1.61797007156799,1.72762199089136)); +#17390=CARTESIAN_POINT('',(-2.575,-1.617970071568,1.72762199089137)); +#17391=CARTESIAN_POINT('',(-2.575,-1.86,1.66500000000001)); +#17392=CARTESIAN_POINT('',(-2.575,-1.617970071568,1.72762199089137)); +#17393=CARTESIAN_POINT('',(-2.775,-1.86,1.665)); +#17394=CARTESIAN_POINT('',(-2.175,-1.86,1.665)); +#17395=CARTESIAN_POINT('',(-2.175,-1.61797007156799,1.72762199089135)); +#17396=CARTESIAN_POINT('',(-2.175,-1.61797007156799,1.72762199089135)); +#17397=CARTESIAN_POINT('',(-2.575,-1.617970071568,1.72762199089137)); +#17398=CARTESIAN_POINT('',(-2.175,-1.61797007156799,1.72762199089135)); +#17399=CARTESIAN_POINT('',(-2.15306024501729,-1.6179151571233,1.7274097501285)); +#17400=CARTESIAN_POINT('',(-2.13060669348534,-1.61602794167947,1.72095008315472)); +#17401=CARTESIAN_POINT('',(-2.09192728517679,-1.60944320725628,1.68960989716348)); +#17402=CARTESIAN_POINT('',(-2.07549234541692,-1.60452074157142,1.66311288845487)); +#17403=CARTESIAN_POINT('',(-2.07499999999979,-1.60274331075827,1.62826869983746)); +#17404=CARTESIAN_POINT('',(-2.175,-1.86,1.665)); +#17405=CARTESIAN_POINT('',(-2.15305962401131,-1.85997265763183,1.66489432352251)); +#17406=CARTESIAN_POINT('',(-2.13060669348534,-1.85903302441249,1.66167809452828)); +#17407=CARTESIAN_POINT('',(-2.09192728517679,-1.855754522045,1.64607399155823)); +#17408=CARTESIAN_POINT('',(-2.07549211742418,-1.85330324415812,1.63287324565767)); +#17409=CARTESIAN_POINT('',(-2.07499999999979,-1.85241868112584,1.61553255317466)); +#17410=CARTESIAN_POINT('',(-2.175,-1.86,1.665)); +#17411=CARTESIAN_POINT('',(-2.13086786427915,-1.85994500198613,1.6647874362477)); +#17412=CARTESIAN_POINT('',(-2.07593869145178,-1.85410594460402,1.64860913002145)); +#17413=CARTESIAN_POINT('',(-2.07499999999979,-1.85241868112584,1.61553255317466)); +#17414=CARTESIAN_POINT('',(-2.07499999999979,-1.85241868112584,1.61553255317466)); +#17415=CARTESIAN_POINT('',(-2.075,-1.60274331075827,1.62826869983746)); +#17416=CARTESIAN_POINT('',(-2.07499999999979,-1.60274331075827,1.62826869983746)); +#17417=CARTESIAN_POINT('',(-2.175,-1.61797007156799,1.72762199089135)); +#17418=CARTESIAN_POINT('',(-2.13086786427915,-1.61785961036512,1.72719506551506)); +#17419=CARTESIAN_POINT('',(-2.07593869145178,-1.60613210864842,1.69470161638011)); +#17420=CARTESIAN_POINT('',(-2.07499999999979,-1.60274331075827,1.62826869983746)); +#17421=CARTESIAN_POINT('',(-2.075,-2.1,1.60290322580645)); +#17422=CARTESIAN_POINT('',(-2.075,-2.02610976258686,1.36626797445899)); +#17423=CARTESIAN_POINT('',(-2.075,-2.02610976258686,1.36626797445899)); +#17424=CARTESIAN_POINT('',(-2.075,-1.95159455894967,1.12763125384184)); +#17425=CARTESIAN_POINT('',(-2.075,-2.1,1.60290322580645)); +#17426=CARTESIAN_POINT('',(-2.07499999999979,-2.1,1.60290322580645)); +#17427=CARTESIAN_POINT('',(-2.075,-1.95159455894967,1.12763125384184)); +#17428=CARTESIAN_POINT('',(-2.075,-1.98942680636264,1.11581799386769)); +#17429=CARTESIAN_POINT('',(-2.06422269036098,-2.02813280264199,1.1094247973941)); +#17430=CARTESIAN_POINT('',(-2.02719866587633,-2.08370731134799,1.10448532719033)); +#17431=CARTESIAN_POINT('',(-2.00125045171493,-2.1,1.105)); +#17432=CARTESIAN_POINT('',(-1.975,-2.1,1.105)); +#17433=CARTESIAN_POINT('',(-2.075,-2.02610976258686,1.36626797445899)); +#17434=CARTESIAN_POINT('',(-2.075,-2.04494622655633,1.3603862185211)); +#17435=CARTESIAN_POINT('',(-2.06422269036098,-2.06421772518974,1.35720308182531)); +#17436=CARTESIAN_POINT('',(-2.02719866587633,-2.09188796162678,1.35474374729237)); +#17437=CARTESIAN_POINT('',(-2.00125045171493,-2.1,1.355)); +#17438=CARTESIAN_POINT('',(-1.975,-2.1,1.355)); +#17439=CARTESIAN_POINT('',(-2.075,-2.02610976258686,1.36626797445899)); +#17440=CARTESIAN_POINT('',(-2.075,-2.06360997995505,1.35455839210133)); +#17441=CARTESIAN_POINT('',(-2.02735987755983,-2.1,1.355)); +#17442=CARTESIAN_POINT('',(-1.975,-2.1,1.355)); +#17443=CARTESIAN_POINT('',(-2.075,-1.95159455894967,1.12763125384184)); +#17444=CARTESIAN_POINT('',(-2.075,-2.02691217184205,1.10411304906754)); +#17445=CARTESIAN_POINT('',(-2.02735987755983,-2.1,1.105)); +#17446=CARTESIAN_POINT('',(-1.975,-2.1,1.105)); +#17447=CARTESIAN_POINT('',(-2.675,-1.95159455894967,1.12763125384184)); +#17448=CARTESIAN_POINT('',(-2.675,-1.98942680636264,1.11581799386769)); +#17449=CARTESIAN_POINT('',(-2.68577730963902,-2.02813280264199,1.1094247973941)); +#17450=CARTESIAN_POINT('',(-2.72280133412367,-2.08370731134799,1.10448532719033)); +#17451=CARTESIAN_POINT('',(-2.74874954828507,-2.1,1.105)); +#17452=CARTESIAN_POINT('',(-2.775,-2.1,1.105)); +#17453=CARTESIAN_POINT('',(-2.675,-2.02610976258686,1.36626797445899)); +#17454=CARTESIAN_POINT('',(-2.675,-2.04494622655633,1.3603862185211)); +#17455=CARTESIAN_POINT('',(-2.68577730963902,-2.06421772518974,1.35720308182531)); +#17456=CARTESIAN_POINT('',(-2.72280133412367,-2.09188796162677,1.35474374729237)); +#17457=CARTESIAN_POINT('',(-2.74874954828507,-2.1,1.355)); +#17458=CARTESIAN_POINT('',(-2.775,-2.1,1.355)); +#17459=CARTESIAN_POINT('',(-2.675,-1.95159455894967,1.12763125384184)); +#17460=CARTESIAN_POINT('',(-2.675,-2.02610976258686,1.36626797445899)); +#17461=CARTESIAN_POINT('',(-2.675,-1.95159455894967,1.12763125384184)); +#17462=CARTESIAN_POINT('',(-2.675,-1.95159455894967,1.12763125384184)); +#17463=CARTESIAN_POINT('',(-2.675,-2.02691217184205,1.10411304906754)); +#17464=CARTESIAN_POINT('',(-2.72264012244017,-2.1,1.105)); +#17465=CARTESIAN_POINT('',(-2.775,-2.1,1.105)); +#17466=CARTESIAN_POINT('',(-2.675,-2.02610976258686,1.36626797445899)); +#17467=CARTESIAN_POINT('',(-2.675,-2.06360997995505,1.35455839210133)); +#17468=CARTESIAN_POINT('',(-2.72264012244017,-2.1,1.355)); +#17469=CARTESIAN_POINT('',(-2.775,-2.1,1.355)); +#17470=CARTESIAN_POINT('',(-2.675,-2.1,1.60290322580645)); +#17471=CARTESIAN_POINT('',(-2.675,-1.85241868112583,1.61553255317465)); +#17472=CARTESIAN_POINT('',(-2.675,-1.85241868112583,1.61553255317465)); +#17473=CARTESIAN_POINT('',(-2.675,-1.60274331075826,1.62826869983743)); +#17474=CARTESIAN_POINT('',(-2.675,-2.1,1.60290322580645)); +#17475=CARTESIAN_POINT('',(-2.675,-2.1,1.60290322580645)); +#17476=CARTESIAN_POINT('',(-2.675,-1.60274331075826,1.62826869983743)); +#17477=CARTESIAN_POINT('',(-2.67467694221009,-1.6045209304003,1.66311659019627)); +#17478=CARTESIAN_POINT('',(-2.65807374439677,-1.60944303564479,1.68960907162638)); +#17479=CARTESIAN_POINT('',(-2.61939242117038,-1.61602809028997,1.72095079921806)); +#17480=CARTESIAN_POINT('',(-2.59693871063562,-1.6178896071375,1.72731100109248)); +#17481=CARTESIAN_POINT('',(-2.575,-1.617970071568,1.72762199089137)); +#17482=CARTESIAN_POINT('',(-2.675,-1.85241868112583,1.61553255317465)); +#17483=CARTESIAN_POINT('',(-2.67467699627654,-1.85330359986015,1.63288021872724)); +#17484=CARTESIAN_POINT('',(-2.65807374439677,-1.8557544366006,1.64607358052794)); +#17485=CARTESIAN_POINT('',(-2.61939242117038,-1.85903309840482,1.6616784510522)); +#17486=CARTESIAN_POINT('',(-2.59694074869379,-1.85995993348925,1.66484514553767)); +#17487=CARTESIAN_POINT('',(-2.575,-1.86,1.66500000000001)); +#17488=CARTESIAN_POINT('',(-2.675,-1.85241868112583,1.61553255317465)); +#17489=CARTESIAN_POINT('',(-2.67438423289615,-1.85410567049035,1.64860375638409)); +#17490=CARTESIAN_POINT('',(-2.61913358453676,-1.8599194066363,1.66468851188263)); +#17491=CARTESIAN_POINT('',(-2.575,-1.86,1.66500000000001)); +#17492=CARTESIAN_POINT('',(-2.675,-1.60274331075826,1.62826869983743)); +#17493=CARTESIAN_POINT('',(-2.67438423289615,-1.6061315581026,1.69469082365497)); +#17494=CARTESIAN_POINT('',(-2.61913358453676,-1.61780820317909,1.72699638007916)); +#17495=CARTESIAN_POINT('',(-2.575,-1.617970071568,1.72762199089137)); +#17496=CARTESIAN_POINT('',(-2.775,-2.1,1.60290322580645)); +#17497=CARTESIAN_POINT('',(-2.775,-2.1,1.60290322580645)); +#17498=CARTESIAN_POINT('',(-1.66,1.02,1.095)); +#17499=CARTESIAN_POINT('',(-1.66,1.02,1.095)); +#17500=CARTESIAN_POINT('',(-1.58,1.02,1.095)); +#17501=CARTESIAN_POINT('',(-1.66,1.1,1.095)); +#17502=CARTESIAN_POINT('',(-1.66,1.1,1.095)); +#17503=CARTESIAN_POINT('',(-2.06,1.1,1.095)); +#17504=CARTESIAN_POINT('',(-2.06,1.02,1.095)); +#17505=CARTESIAN_POINT('',(-2.14,1.02,1.095)); +#17506=CARTESIAN_POINT('',(-2.14,1.02,1.095)); +#17507=CARTESIAN_POINT('',(-2.14,0.919999999999999,1.095)); +#17508=CARTESIAN_POINT('',(-2.06,0.92,1.095)); +#17509=CARTESIAN_POINT('',(-2.06,0.84,1.095)); +#17510=CARTESIAN_POINT('',(-1.66,0.84,1.095)); +#17511=CARTESIAN_POINT('',(-1.66,0.84,1.095)); +#17512=CARTESIAN_POINT('',(-1.66,0.92,1.095)); +#17513=CARTESIAN_POINT('',(-1.58,0.92,1.095)); +#17514=CARTESIAN_POINT('',(-1.58,1.02,1.095)); +#17515=CARTESIAN_POINT('',(-1.58,0.92,0.844999999999999)); +#17516=CARTESIAN_POINT('',(-1.58,0.92,0.844999999999999)); +#17517=CARTESIAN_POINT('',(-1.58,0.92,1.105)); +#17518=CARTESIAN_POINT('',(-1.58,1.02,1.105)); +#17519=CARTESIAN_POINT('',(-1.58,1.02,1.105)); +#17520=CARTESIAN_POINT('',(-1.58,1.02,0.844999999999999)); +#17521=CARTESIAN_POINT('',(-1.66,0.92,0.844999999999999)); +#17522=CARTESIAN_POINT('',(-1.66,0.84,0.844999999999999)); +#17523=CARTESIAN_POINT('',(-1.66,0.84,1.105)); +#17524=CARTESIAN_POINT('',(-1.66,0.92,1.105)); +#17525=CARTESIAN_POINT('',(-2.06,0.84,0.844999999999999)); +#17526=CARTESIAN_POINT('',(-2.06,0.84,0.844999999999999)); +#17527=CARTESIAN_POINT('',(-2.06,0.84,1.105)); +#17528=CARTESIAN_POINT('',(-1.66,0.84,1.105)); +#17529=CARTESIAN_POINT('',(-2.06,0.92,0.844999999999999)); +#17530=CARTESIAN_POINT('',(-2.14,0.919999999999999,0.844999999999999)); +#17531=CARTESIAN_POINT('',(-2.14,0.919999999999999,1.105)); +#17532=CARTESIAN_POINT('',(-2.06,0.92,1.105)); +#17533=CARTESIAN_POINT('',(-2.14,1.02,0.844999999999999)); +#17534=CARTESIAN_POINT('',(-2.14,1.02,0.844999999999999)); +#17535=CARTESIAN_POINT('',(-2.14,1.02,1.105)); +#17536=CARTESIAN_POINT('',(-2.14,0.92,1.105)); +#17537=CARTESIAN_POINT('',(-2.06,1.02,0.844999999999999)); +#17538=CARTESIAN_POINT('',(-2.06,1.1,0.844999999999999)); +#17539=CARTESIAN_POINT('',(-2.06,1.1,1.105)); +#17540=CARTESIAN_POINT('',(-2.06,1.02,1.105)); +#17541=CARTESIAN_POINT('',(-1.66,1.1,0.844999999999999)); +#17542=CARTESIAN_POINT('',(-1.66,1.1,0.844999999999999)); +#17543=CARTESIAN_POINT('',(-1.66,1.1,1.105)); +#17544=CARTESIAN_POINT('',(-2.06,1.1,1.105)); +#17545=CARTESIAN_POINT('',(-1.66,1.02,0.844999999999999)); +#17546=CARTESIAN_POINT('',(-1.66,1.02,1.105)); +#17547=CARTESIAN_POINT('',(-1.66,1.35,0.844999999999999)); +#17548=CARTESIAN_POINT('',(-1.66,1.35,0.844999999999999)); +#17549=CARTESIAN_POINT('',(-1.66,1.35,1.095)); +#17550=CARTESIAN_POINT('',(-2.06,1.35,1.095)); +#17551=CARTESIAN_POINT('',(-2.06,1.35,1.095)); +#17552=CARTESIAN_POINT('',(-2.06,1.35,0.844999999999999)); +#17553=CARTESIAN_POINT('',(-2.06,1.02,0.844999999999999)); +#17554=CARTESIAN_POINT('',(-2.06,1.02,1.095)); +#17555=CARTESIAN_POINT('',(-2.39,1.02,1.095)); +#17556=CARTESIAN_POINT('',(-2.39,1.02,0.844999999999999)); +#17557=CARTESIAN_POINT('',(-2.39,1.02,0.844999999999999)); +#17558=CARTESIAN_POINT('',(-2.39,0.92,1.095)); +#17559=CARTESIAN_POINT('',(-2.39,0.920000000000001,1.095)); +#17560=CARTESIAN_POINT('',(-2.39,0.920000000000001,0.844999999999999)); +#17561=CARTESIAN_POINT('',(-2.06,0.92,0.844999999999999)); +#17562=CARTESIAN_POINT('',(-2.06,0.92,1.095)); +#17563=CARTESIAN_POINT('',(-2.06,0.59,1.095)); +#17564=CARTESIAN_POINT('',(-2.06,0.59,0.844999999999999)); +#17565=CARTESIAN_POINT('',(-2.06,0.59,0.844999999999999)); +#17566=CARTESIAN_POINT('',(-1.66,0.59,1.095)); +#17567=CARTESIAN_POINT('',(-1.66,0.59,1.095)); +#17568=CARTESIAN_POINT('',(-1.66,0.59,0.844999999999999)); +#17569=CARTESIAN_POINT('',(-1.33,0.920000000000001,0.844999999999999)); +#17570=CARTESIAN_POINT('',(-1.33,0.92,0.844999999999999)); +#17571=CARTESIAN_POINT('',(-1.33,0.92,1.095)); +#17572=CARTESIAN_POINT('',(-1.33,1.02,1.095)); +#17573=CARTESIAN_POINT('',(-1.33,1.02,1.095)); +#17574=CARTESIAN_POINT('',(-1.33,1.02,0.844999999999999)); +#17575=CARTESIAN_POINT('',(-1.66,1.02,0.844999999999999)); +#17576=CARTESIAN_POINT('',(-1.66,1.02,1.095)); +#17577=CARTESIAN_POINT('',(-1.66,0.92,0.844999999999999)); +#17578=CARTESIAN_POINT('',(-1.66,0.92,1.095)); +#17579=CARTESIAN_POINT('',(-1.66,1.02,0.844999999999999)); +#17580=CARTESIAN_POINT('',(-1.66,0.92,0.844999999999999)); +#17581=CARTESIAN_POINT('',(-1.58,0.92,0.844999999999999)); +#17582=CARTESIAN_POINT('',(-1.66,0.84,0.844999999999999)); +#17583=CARTESIAN_POINT('',(-2.06,0.84,0.844999999999999)); +#17584=CARTESIAN_POINT('',(-2.06,0.84,0.844999999999999)); +#17585=CARTESIAN_POINT('',(-2.06,0.92,0.844999999999999)); +#17586=CARTESIAN_POINT('',(-2.14,0.92,0.844999999999999)); +#17587=CARTESIAN_POINT('',(-2.14,1.02,0.844999999999999)); +#17588=CARTESIAN_POINT('',(-2.14,1.02,0.844999999999999)); +#17589=CARTESIAN_POINT('',(-2.06,1.02,0.844999999999999)); +#17590=CARTESIAN_POINT('',(-2.06,1.1,0.844999999999999)); +#17591=CARTESIAN_POINT('',(-1.66,1.1,0.844999999999999)); +#17592=CARTESIAN_POINT('',(-1.66,1.1,0.844999999999999)); +#17593=CARTESIAN_POINT('',(-1.66,1.02,0.844999999999999)); +#17594=CARTESIAN_POINT('',(-1.58,1.02,0.844999999999999)); +#17595=CARTESIAN_POINT('',(-1.58,0.92,0.844999999999999)); +#17596=CARTESIAN_POINT('',(-1.66,1.1,1.095)); +#17597=CARTESIAN_POINT('',(-1.66,1.1,1.095)); +#17598=CARTESIAN_POINT('',(-2.06,1.1,1.095)); +#17599=CARTESIAN_POINT('',(-2.06,1.02,1.095)); +#17600=CARTESIAN_POINT('',(-2.14,1.02,1.095)); +#17601=CARTESIAN_POINT('',(-1.66,1.02,1.095)); +#17602=CARTESIAN_POINT('',(-1.58,1.02,1.095)); +#17603=CARTESIAN_POINT('',(-2.14,1.02,1.095)); +#17604=CARTESIAN_POINT('',(-2.14,0.92,1.095)); +#17605=CARTESIAN_POINT('',(-1.58,0.92,1.095)); +#17606=CARTESIAN_POINT('',(-1.58,0.92,1.095)); +#17607=CARTESIAN_POINT('',(-2.06,0.92,1.095)); +#17608=CARTESIAN_POINT('',(-2.06,0.84,1.095)); +#17609=CARTESIAN_POINT('',(-1.66,0.92,1.095)); +#17610=CARTESIAN_POINT('',(-1.66,0.84,1.095)); +#17611=CARTESIAN_POINT('',(-2.06,0.84,1.095)); +#17612=CARTESIAN_POINT('',(-1.66,1.35,1.105)); +#17613=CARTESIAN_POINT('',(-1.66,1.35,1.105)); +#17614=CARTESIAN_POINT('',(-2.06,1.35,1.105)); +#17615=CARTESIAN_POINT('',(-2.06,1.02,1.105)); +#17616=CARTESIAN_POINT('',(-2.39,1.02,1.105)); +#17617=CARTESIAN_POINT('',(-1.66,1.02,1.105)); +#17618=CARTESIAN_POINT('',(-1.33,1.02,1.105)); +#17619=CARTESIAN_POINT('',(-2.39,1.02,1.105)); +#17620=CARTESIAN_POINT('',(-2.39,0.92,1.105)); +#17621=CARTESIAN_POINT('',(-1.33,0.920000000000001,1.105)); +#17622=CARTESIAN_POINT('',(-1.33,0.92,1.105)); +#17623=CARTESIAN_POINT('',(-2.06,0.92,1.105)); +#17624=CARTESIAN_POINT('',(-2.06,0.59,1.105)); +#17625=CARTESIAN_POINT('',(-1.66,0.92,1.105)); +#17626=CARTESIAN_POINT('',(-1.66,0.59,1.105)); +#17627=CARTESIAN_POINT('',(-2.06,0.59,1.105)); +#17628=CARTESIAN_POINT('',(3.45,2.95,-2.445)); +#17629=CARTESIAN_POINT('',(-7.20132160318962,-1.5,-0.495)); +#17630=CARTESIAN_POINT('',(-7.20132160318962,-2.1,-0.495)); +#17631=CARTESIAN_POINT('',(-7.20132160318962,-1.5,-0.495)); +#17632=CARTESIAN_POINT('',(-7.20132160318962,-1.5,0.654999999999999)); +#17633=CARTESIAN_POINT('',(-7.20132160318962,-1.5,0.654999999999999)); +#17634=CARTESIAN_POINT('',(-7.20132160318962,-2.1,-0.495)); +#17635=CARTESIAN_POINT('',(-7.20132160318962,-2.1,0.654999999999999)); +#17636=CARTESIAN_POINT('',(-7.20132160318962,-2.1,0.654999999999999)); +#17637=CARTESIAN_POINT('',(2.65,-0.3,-9.54632160318963)); +#17638=CARTESIAN_POINT('',(2.65,-0.4,1.105)); +#17639=CARTESIAN_POINT('',(2.65,-1.2,-9.54632160318963)); +#17640=CARTESIAN_POINT('',(2.65,-1.3,-9.54632160318963)); +#17641=CARTESIAN_POINT('',(2.75,-1.3,-9.54632160318963)); +#17642=CARTESIAN_POINT('',(2.775,-1.3,1.355)); +#17643=CARTESIAN_POINT('',(1.875,-2.1,-9.54632160318963)); +#17644=CARTESIAN_POINT('',(1.875,-1.4,1.355)); +#17645=CARTESIAN_POINT('',(1.875,-1.3,-9.54632160318963)); +#17646=CARTESIAN_POINT('',(1.975,-1.3,1.105)); +#17647=CARTESIAN_POINT('',(2.,-1.3,-9.54632160318963)); +#17648=CARTESIAN_POINT('',(2.1,-1.3,-9.54632160318963)); +#17649=CARTESIAN_POINT('',(2.1,-0.4,1.355)); +#17650=CARTESIAN_POINT('',(2.1,-1.2,-9.54632160318963)); +#17651=CARTESIAN_POINT('',(2.1,-0.3,-9.54632160318963)); +#17652=CARTESIAN_POINT('',(2.55,-0.3,1.355)); +#17653=CARTESIAN_POINT('',(2.2,-0.3,1.105)); +#17654=CARTESIAN_POINT('',(2.875,-1.3,-9.54632160318963)); +#17655=CARTESIAN_POINT('',(2.875,-1.4,1.105)); +#17656=CARTESIAN_POINT('',(2.875,-2.,1.355)); +#17657=CARTESIAN_POINT('',(2.55,-0.4,-9.54632160318963)); +#17658=CARTESIAN_POINT('',(2.2,-0.4,-9.54632160318963)); +#17659=CARTESIAN_POINT('',(1.975,-1.4,-9.54632160318963)); +#17660=CARTESIAN_POINT('',(2.,-1.2,-9.54632160318963)); +#17661=CARTESIAN_POINT('',(2.75,-1.2,-9.54632160318963)); +#17662=CARTESIAN_POINT('',(2.775,-1.4,-9.54632160318963)); +#17663=CARTESIAN_POINT('',(2.775,-2.,-9.54632160318963)); +#17664=CARTESIAN_POINT('',(2.775,-2.1,1.355)); +#17665=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.845)); +#17666=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.845)); +#17667=CARTESIAN_POINT('',(3.5,1.6,-0.845)); +#17668=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.945)); +#17669=CARTESIAN_POINT('',(3.5,1.6,-0.845)); +#17670=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.845)); +#17671=CARTESIAN_POINT('',(3.28018708216386,1.75550653089938,-0.845)); +#17672=CARTESIAN_POINT('',(3.28018708216386,1.75550653089938,-0.945)); +#17673=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.945)); +#17674=CARTESIAN_POINT('',(3.5,1.6,-0.945)); +#17675=CARTESIAN_POINT('',(3.5,1.6,-0.945)); +#17676=CARTESIAN_POINT('',(3.5,1.6,-0.445)); +#17677=CARTESIAN_POINT('',(3.5,1.6,-0.445)); +#17678=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.445)); +#17679=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.945)); +#17680=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.445)); +#17681=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.445)); +#17682=CARTESIAN_POINT('',(3.52330391853963,1.69724673455031,-0.345000000000001)); +#17683=CARTESIAN_POINT('',(2.1618496348353,2.02350159410511,-0.345000000000001)); +#17684=CARTESIAN_POINT('',(3.28018708216386,1.75550653089938,-0.345000000000001)); +#17685=CARTESIAN_POINT('',(3.28018708216386,1.75550653089938,-0.445)); +#17686=CARTESIAN_POINT('',(3.5,1.6,-0.945)); +#17687=CARTESIAN_POINT('',(3.5,1.6,-0.345000000000001)); +#17688=CARTESIAN_POINT('',(3.66145428370433,2.2737451404452,-0.345000000000001)); +#17689=CARTESIAN_POINT('',(3.5,1.6,-0.945)); +#17690=CARTESIAN_POINT('',(3.66145428370433,2.2737451404452,-0.945)); +#17691=CARTESIAN_POINT('',(3.66145428370433,2.2737451404452,-0.945)); +#17692=CARTESIAN_POINT('',(2.3,2.6,-0.345000000000001)); +#17693=CARTESIAN_POINT('',(2.3,2.6,-0.345000000000001)); +#17694=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.345000000000001)); +#17695=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.345000000000001)); +#17696=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.345000000000001)); +#17697=CARTESIAN_POINT('',(2.3,2.6,-0.345000000000001)); +#17698=CARTESIAN_POINT('',(3.41833744732856,2.33200493679427,-0.345000000000001)); +#17699=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.345000000000001)); +#17700=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.945)); +#17701=CARTESIAN_POINT('',(3.41833744732856,2.33200493679427,-0.945)); +#17702=CARTESIAN_POINT('',(3.41833744732856,2.33200493679427,-0.945)); +#17703=CARTESIAN_POINT('',(3.25688316362423,1.65825979634907,-0.945)); +#17704=CARTESIAN_POINT('',(3.5,1.6,-0.945)); +#17705=CARTESIAN_POINT('',(2.3,2.6,-0.945000000000001)); +#17706=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.945000000000001)); +#17707=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.945000000000001)); +#17708=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.945000000000001)); +#17709=CARTESIAN_POINT('',(2.3,2.6,-0.945000000000001)); +#17710=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.345000000000001)); +#17711=CARTESIAN_POINT('',(3.69781138707159,2.516258253949,-0.345000000000001)); +#17712=CARTESIAN_POINT('',(3.69910504212226,2.54571140717251,-0.334222690360982)); +#17713=CARTESIAN_POINT('',(3.70010436595147,2.58775521961153,-0.297198665876329)); +#17714=CARTESIAN_POINT('',(3.7,2.6,-0.271250451714929)); +#17715=CARTESIAN_POINT('',(3.7,2.6,-0.245000000000001)); +#17716=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.345000000000001)); +#17717=CARTESIAN_POINT('',(3.44820221080881,2.53121213717239,-0.345000000000001)); +#17718=CARTESIAN_POINT('',(3.449264856029,2.55540579874885,-0.334222690360982)); +#17719=CARTESIAN_POINT('',(3.45008572917442,2.58994178753805,-0.297198665876329)); +#17720=CARTESIAN_POINT('',(3.45,2.6,-0.271250451714929)); +#17721=CARTESIAN_POINT('',(3.45,2.6,-0.245000000000001)); +#17722=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.345000000000001)); +#17723=CARTESIAN_POINT('',(3.45017638272022,2.55549039046033,-0.345000000000001)); +#17724=CARTESIAN_POINT('',(3.45,2.6,-0.297359877559831)); +#17725=CARTESIAN_POINT('',(3.45,2.6,-0.245000000000001)); +#17726=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.345000000000001)); +#17727=CARTESIAN_POINT('',(3.70021472678983,2.54581438838649,-0.345000000000001)); +#17728=CARTESIAN_POINT('',(3.7,2.6,-0.297359877559831)); +#17729=CARTESIAN_POINT('',(3.7,2.6,-0.245000000000001)); +#17730=CARTESIAN_POINT('',(3.7,2.6,-1.045)); +#17731=CARTESIAN_POINT('',(3.7,2.6,-1.01874954828507)); +#17732=CARTESIAN_POINT('',(3.70010436595147,2.58775521961153,-0.992801334123672)); +#17733=CARTESIAN_POINT('',(3.69910504212226,2.54571140717251,-0.955777309639019)); +#17734=CARTESIAN_POINT('',(3.69781138707159,2.516258253949,-0.945000000000001)); +#17735=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.945000000000001)); +#17736=CARTESIAN_POINT('',(3.45,2.6,-1.045)); +#17737=CARTESIAN_POINT('',(3.45,2.6,-1.01874954828507)); +#17738=CARTESIAN_POINT('',(3.45008572917442,2.58994178753805,-0.992801334123672)); +#17739=CARTESIAN_POINT('',(3.449264856029,2.55540579874885,-0.955777309639019)); +#17740=CARTESIAN_POINT('',(3.44820221080881,2.53121213717239,-0.945000000000001)); +#17741=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.945000000000001)); +#17742=CARTESIAN_POINT('',(3.45,2.6,-1.045)); +#17743=CARTESIAN_POINT('',(3.45,2.6,-0.99264012244017)); +#17744=CARTESIAN_POINT('',(3.45017638272022,2.55549039046033,-0.945000000000001)); +#17745=CARTESIAN_POINT('',(3.44621683112702,2.50679605136514,-0.945000000000001)); +#17746=CARTESIAN_POINT('',(3.7,2.6,-1.045)); +#17747=CARTESIAN_POINT('',(3.7,2.6,-0.99264012244017)); +#17748=CARTESIAN_POINT('',(3.70021472678983,2.54581438838649,-0.945000000000001)); +#17749=CARTESIAN_POINT('',(3.69539440311116,2.48653432340104,-0.945000000000001)); +#17750=CARTESIAN_POINT('',(2.3,2.6,-0.245000000000001)); +#17751=CARTESIAN_POINT('',(2.3,2.6,-0.245000000000001)); +#17752=CARTESIAN_POINT('',(2.675,-1.95159455894967,1.12763125384185)); +#17753=CARTESIAN_POINT('',(2.675,-1.98942680636264,1.11581799386769)); +#17754=CARTESIAN_POINT('',(2.68577730963902,-2.02813280264199,1.1094247973941)); +#17755=CARTESIAN_POINT('',(2.72280133412367,-2.08370731134799,1.10448532719033)); +#17756=CARTESIAN_POINT('',(2.74874954828507,-2.1,1.105)); +#17757=CARTESIAN_POINT('',(2.775,-2.1,1.105)); +#17758=CARTESIAN_POINT('',(2.675,-2.02610976258686,1.36626797445899)); +#17759=CARTESIAN_POINT('',(2.675,-2.04494622655632,1.3603862185211)); +#17760=CARTESIAN_POINT('',(2.68577730963902,-2.06421772518974,1.35720308182531)); +#17761=CARTESIAN_POINT('',(2.72280133412367,-2.09188796162678,1.35474374729237)); +#17762=CARTESIAN_POINT('',(2.74874954828507,-2.1,1.355)); +#17763=CARTESIAN_POINT('',(2.775,-2.1,1.355)); +#17764=CARTESIAN_POINT('',(2.675,-2.02610976258686,1.36626797445899)); +#17765=CARTESIAN_POINT('',(2.675,-2.02610976258686,1.36626797445899)); +#17766=CARTESIAN_POINT('',(2.675,-1.95159455894967,1.12763125384185)); +#17767=CARTESIAN_POINT('',(2.675,-2.02610976258686,1.36626797445899)); +#17768=CARTESIAN_POINT('',(2.675,-2.06360997995505,1.35455839210133)); +#17769=CARTESIAN_POINT('',(2.72264012244017,-2.1,1.355)); +#17770=CARTESIAN_POINT('',(2.775,-2.1,1.355)); +#17771=CARTESIAN_POINT('',(2.675,-1.95159455894967,1.12763125384185)); +#17772=CARTESIAN_POINT('',(2.675,-2.02691217184205,1.10411304906754)); +#17773=CARTESIAN_POINT('',(2.72264012244017,-2.1,1.105)); +#17774=CARTESIAN_POINT('',(2.775,-2.1,1.105)); +#17775=CARTESIAN_POINT('',(2.075,-1.95159455894967,1.12763125384185)); +#17776=CARTESIAN_POINT('',(2.075,-1.98942680636264,1.11581799386769)); +#17777=CARTESIAN_POINT('',(2.06422269036098,-2.02813280264199,1.1094247973941)); +#17778=CARTESIAN_POINT('',(2.02719866587633,-2.08370731134799,1.10448532719033)); +#17779=CARTESIAN_POINT('',(2.00125045171493,-2.1,1.105)); +#17780=CARTESIAN_POINT('',(1.975,-2.1,1.105)); +#17781=CARTESIAN_POINT('',(2.075,-2.02610976258686,1.36626797445899)); +#17782=CARTESIAN_POINT('',(2.075,-2.04494622655633,1.3603862185211)); +#17783=CARTESIAN_POINT('',(2.06422269036098,-2.06421772518974,1.35720308182531)); +#17784=CARTESIAN_POINT('',(2.02719866587633,-2.09188796162677,1.35474374729237)); +#17785=CARTESIAN_POINT('',(2.00125045171493,-2.1,1.355)); +#17786=CARTESIAN_POINT('',(1.975,-2.1,1.355)); +#17787=CARTESIAN_POINT('',(2.075,-2.02610976258686,1.36626797445899)); +#17788=CARTESIAN_POINT('',(2.075,-2.06360997995505,1.35455839210133)); +#17789=CARTESIAN_POINT('',(2.02735987755983,-2.1,1.355)); +#17790=CARTESIAN_POINT('',(1.975,-2.1,1.355)); +#17791=CARTESIAN_POINT('',(2.075,-2.02610976258686,1.36626797445899)); +#17792=CARTESIAN_POINT('',(2.075,-1.95159455894967,1.12763125384185)); +#17793=CARTESIAN_POINT('',(2.075,-1.95159455894967,1.12763125384185)); +#17794=CARTESIAN_POINT('',(2.075,-1.95159455894967,1.12763125384185)); +#17795=CARTESIAN_POINT('',(2.075,-2.02691217184205,1.10411304906754)); +#17796=CARTESIAN_POINT('',(2.02735987755983,-2.1,1.105)); +#17797=CARTESIAN_POINT('',(1.975,-2.1,1.105)); +#17798=CARTESIAN_POINT('',(2.075,-2.1,1.60290322580645)); +#17799=CARTESIAN_POINT('',(2.075,-2.1,1.60290322580645)); +#17800=CARTESIAN_POINT('',(2.075,-1.85241868112583,1.61553255317465)); +#17801=CARTESIAN_POINT('',(2.075,-1.85241868112583,1.61553255317465)); +#17802=CARTESIAN_POINT('',(2.075,-1.60274331075826,1.62826869983743)); +#17803=CARTESIAN_POINT('',(2.075,-2.1,1.60290322580645)); +#17804=CARTESIAN_POINT('',(2.175,-1.617970071568,1.72762199089137)); +#17805=CARTESIAN_POINT('',(2.15306128936438,-1.6178896071375,1.72731100109247)); +#17806=CARTESIAN_POINT('',(2.13060757882963,-1.61602809028997,1.72095079921806)); +#17807=CARTESIAN_POINT('',(2.09192625560325,-1.6094430356448,1.68960907162641)); +#17808=CARTESIAN_POINT('',(2.07532305778991,-1.6045209304003,1.6631165901963)); +#17809=CARTESIAN_POINT('',(2.075,-1.60274331075826,1.62826869983743)); +#17810=CARTESIAN_POINT('',(2.175,-1.86,1.66500000000001)); +#17811=CARTESIAN_POINT('',(2.15305925130621,-1.85995993348925,1.66484514553767)); +#17812=CARTESIAN_POINT('',(2.13060757882963,-1.85903309840482,1.6616784510522)); +#17813=CARTESIAN_POINT('',(2.09192625560325,-1.8557544366006,1.64607358052795)); +#17814=CARTESIAN_POINT('',(2.07532300372346,-1.85330359986015,1.63288021872726)); +#17815=CARTESIAN_POINT('',(2.075,-1.85241868112583,1.61553255317465)); +#17816=CARTESIAN_POINT('',(2.175,-1.86,1.66500000000001)); +#17817=CARTESIAN_POINT('',(2.13086641546325,-1.8599194066363,1.66468851188263)); +#17818=CARTESIAN_POINT('',(2.07561576710385,-1.85410567049035,1.6486037563841)); +#17819=CARTESIAN_POINT('',(2.075,-1.85241868112583,1.61553255317465)); +#17820=CARTESIAN_POINT('',(2.175,-1.86,1.66500000000001)); +#17821=CARTESIAN_POINT('',(2.175,-1.617970071568,1.72762199089137)); +#17822=CARTESIAN_POINT('',(2.175,-1.617970071568,1.72762199089137)); +#17823=CARTESIAN_POINT('',(2.175,-1.617970071568,1.72762199089137)); +#17824=CARTESIAN_POINT('',(2.13086641546325,-1.61780820317909,1.72699638007916)); +#17825=CARTESIAN_POINT('',(2.07561576710385,-1.6061315581026,1.694690823655)); +#17826=CARTESIAN_POINT('',(2.075,-1.60274331075826,1.62826869983743)); +#17827=CARTESIAN_POINT('',(2.375,-1.61797007156799,1.72762199089136)); +#17828=CARTESIAN_POINT('',(1.975,-1.86,1.665)); +#17829=CARTESIAN_POINT('',(2.575,-1.86,1.665)); +#17830=CARTESIAN_POINT('',(2.575,-1.61797007156799,1.72762199089135)); +#17831=CARTESIAN_POINT('',(2.575,-1.61797007156799,1.72762199089135)); +#17832=CARTESIAN_POINT('',(2.575,-1.61797007156799,1.72762199089135)); +#17833=CARTESIAN_POINT('',(2.67500000000021,-1.60274331075827,1.62826869983746)); +#17834=CARTESIAN_POINT('',(2.67450765458307,-1.60452074157142,1.66311288845488)); +#17835=CARTESIAN_POINT('',(2.6580727148232,-1.60944320725629,1.68960989716348)); +#17836=CARTESIAN_POINT('',(2.61939330651466,-1.61602794167947,1.72095008315472)); +#17837=CARTESIAN_POINT('',(2.59693975498272,-1.6179151571233,1.7274097501285)); +#17838=CARTESIAN_POINT('',(2.575,-1.61797007156799,1.72762199089135)); +#17839=CARTESIAN_POINT('',(2.67500000000021,-1.85241868112584,1.61553255317466)); +#17840=CARTESIAN_POINT('',(2.67450788257582,-1.85330324415812,1.63287324565768)); +#17841=CARTESIAN_POINT('',(2.6580727148232,-1.855754522045,1.64607399155823)); +#17842=CARTESIAN_POINT('',(2.61939330651466,-1.85903302441249,1.66167809452828)); +#17843=CARTESIAN_POINT('',(2.59694037598869,-1.85997265763183,1.66489432352251)); +#17844=CARTESIAN_POINT('',(2.575,-1.86,1.665)); +#17845=CARTESIAN_POINT('',(2.67500000000021,-1.85241868112584,1.61553255317466)); +#17846=CARTESIAN_POINT('',(2.67406130854821,-1.85410594460402,1.64860913002145)); +#17847=CARTESIAN_POINT('',(2.61913213572088,-1.85994500198613,1.6647874362477)); +#17848=CARTESIAN_POINT('',(2.575,-1.86,1.665)); +#17849=CARTESIAN_POINT('',(2.67500000000021,-1.85241868112584,1.61553255317466)); +#17850=CARTESIAN_POINT('',(2.675,-1.60274331075827,1.62826869983746)); +#17851=CARTESIAN_POINT('',(2.67500000000021,-1.60274331075827,1.62826869983746)); +#17852=CARTESIAN_POINT('',(2.67500000000021,-1.60274331075827,1.62826869983746)); +#17853=CARTESIAN_POINT('',(2.67406130854821,-1.60613210864842,1.69470161638011)); +#17854=CARTESIAN_POINT('',(2.61913213572088,-1.61785961036512,1.72719506551506)); +#17855=CARTESIAN_POINT('',(2.575,-1.61797007156799,1.72762199089135)); +#17856=CARTESIAN_POINT('',(2.675,-2.1,1.60290322580645)); +#17857=CARTESIAN_POINT('',(2.675,-2.1,1.60290322580645)); +#17858=CARTESIAN_POINT('',(2.675,-2.1,1.60290322580645)); +#17859=CARTESIAN_POINT('',(1.975,-2.1,1.60290322580645)); +#17860=CARTESIAN_POINT('',(1.975,-2.1,1.60290322580645)); +#17861=CARTESIAN_POINT('',(1.66,1.02,1.095)); +#17862=CARTESIAN_POINT('',(1.66,1.1,1.095)); +#17863=CARTESIAN_POINT('',(2.06,1.1,1.095)); +#17864=CARTESIAN_POINT('',(1.66,1.1,1.095)); +#17865=CARTESIAN_POINT('',(1.66,1.02,1.095)); +#17866=CARTESIAN_POINT('',(1.58,1.02,1.095)); +#17867=CARTESIAN_POINT('',(1.58,1.02,1.095)); +#17868=CARTESIAN_POINT('',(1.58,0.919999999999999,1.095)); +#17869=CARTESIAN_POINT('',(1.66,0.92,1.095)); +#17870=CARTESIAN_POINT('',(1.66,0.84,1.095)); +#17871=CARTESIAN_POINT('',(1.66,0.84,1.095)); +#17872=CARTESIAN_POINT('',(2.06,0.84,1.095)); +#17873=CARTESIAN_POINT('',(2.06,0.92,1.095)); +#17874=CARTESIAN_POINT('',(2.14,0.92,1.095)); +#17875=CARTESIAN_POINT('',(2.14,1.02,1.095)); +#17876=CARTESIAN_POINT('',(2.14,1.02,1.095)); +#17877=CARTESIAN_POINT('',(2.06,1.02,1.095)); +#17878=CARTESIAN_POINT('',(2.06,1.02,0.844999999999999)); +#17879=CARTESIAN_POINT('',(2.14,1.02,0.844999999999999)); +#17880=CARTESIAN_POINT('',(2.14,1.02,1.105)); +#17881=CARTESIAN_POINT('',(2.06,1.02,1.105)); +#17882=CARTESIAN_POINT('',(2.06,1.1,1.105)); +#17883=CARTESIAN_POINT('',(2.06,1.1,0.844999999999999)); +#17884=CARTESIAN_POINT('',(2.14,0.92,0.844999999999999)); +#17885=CARTESIAN_POINT('',(2.14,0.92,0.844999999999999)); +#17886=CARTESIAN_POINT('',(2.14,0.92,1.105)); +#17887=CARTESIAN_POINT('',(2.14,1.02,1.105)); +#17888=CARTESIAN_POINT('',(2.06,0.92,0.844999999999999)); +#17889=CARTESIAN_POINT('',(2.06,0.84,0.844999999999999)); +#17890=CARTESIAN_POINT('',(2.06,0.84,1.105)); +#17891=CARTESIAN_POINT('',(2.06,0.92,1.105)); +#17892=CARTESIAN_POINT('',(1.66,0.84,0.844999999999999)); +#17893=CARTESIAN_POINT('',(1.66,0.84,0.844999999999999)); +#17894=CARTESIAN_POINT('',(1.66,0.84,1.105)); +#17895=CARTESIAN_POINT('',(2.06,0.84,1.105)); +#17896=CARTESIAN_POINT('',(1.66,0.92,0.844999999999999)); +#17897=CARTESIAN_POINT('',(1.58,0.919999999999999,0.844999999999999)); +#17898=CARTESIAN_POINT('',(1.58,0.919999999999999,1.105)); +#17899=CARTESIAN_POINT('',(1.66,0.92,1.105)); +#17900=CARTESIAN_POINT('',(1.58,1.02,0.844999999999999)); +#17901=CARTESIAN_POINT('',(1.58,1.02,0.844999999999999)); +#17902=CARTESIAN_POINT('',(1.58,1.02,1.105)); +#17903=CARTESIAN_POINT('',(1.58,0.92,1.105)); +#17904=CARTESIAN_POINT('',(1.66,1.02,0.844999999999999)); +#17905=CARTESIAN_POINT('',(1.66,1.1,0.844999999999999)); +#17906=CARTESIAN_POINT('',(1.66,1.1,1.105)); +#17907=CARTESIAN_POINT('',(1.66,1.02,1.105)); +#17908=CARTESIAN_POINT('',(2.06,1.1,0.844999999999999)); +#17909=CARTESIAN_POINT('',(1.66,1.1,1.105)); +#17910=CARTESIAN_POINT('',(1.66,1.02,0.844999999999999)); +#17911=CARTESIAN_POINT('',(1.66,1.35,0.844999999999999)); +#17912=CARTESIAN_POINT('',(1.66,1.35,1.095)); +#17913=CARTESIAN_POINT('',(1.66,1.02,1.095)); +#17914=CARTESIAN_POINT('',(1.33,1.02,1.095)); +#17915=CARTESIAN_POINT('',(1.33,1.02,0.844999999999999)); +#17916=CARTESIAN_POINT('',(1.33,1.02,0.844999999999999)); +#17917=CARTESIAN_POINT('',(1.33,0.92,1.095)); +#17918=CARTESIAN_POINT('',(1.33,0.920000000000001,1.095)); +#17919=CARTESIAN_POINT('',(1.33,0.920000000000001,0.844999999999999)); +#17920=CARTESIAN_POINT('',(1.66,0.92,0.844999999999999)); +#17921=CARTESIAN_POINT('',(1.66,0.92,1.095)); +#17922=CARTESIAN_POINT('',(1.66,0.59,1.095)); +#17923=CARTESIAN_POINT('',(1.66,0.59,0.844999999999999)); +#17924=CARTESIAN_POINT('',(1.66,0.59,0.844999999999999)); +#17925=CARTESIAN_POINT('',(2.06,0.59,1.095)); +#17926=CARTESIAN_POINT('',(2.06,0.59,1.095)); +#17927=CARTESIAN_POINT('',(2.06,0.59,0.844999999999999)); +#17928=CARTESIAN_POINT('',(2.06,0.92,0.844999999999999)); +#17929=CARTESIAN_POINT('',(2.06,0.92,1.095)); +#17930=CARTESIAN_POINT('',(2.39,0.92,1.095)); +#17931=CARTESIAN_POINT('',(2.39,0.92,0.844999999999999)); +#17932=CARTESIAN_POINT('',(2.06,1.02,0.844999999999999)); +#17933=CARTESIAN_POINT('',(2.39,1.02,0.844999999999999)); +#17934=CARTESIAN_POINT('',(2.39,1.02,1.095)); +#17935=CARTESIAN_POINT('',(2.06,1.02,1.095)); +#17936=CARTESIAN_POINT('',(2.06,1.35,1.095)); +#17937=CARTESIAN_POINT('',(2.06,1.35,0.844999999999999)); +#17938=CARTESIAN_POINT('',(2.06,1.35,0.844999999999999)); +#17939=CARTESIAN_POINT('',(1.66,1.35,1.095)); +#17940=CARTESIAN_POINT('',(2.39,0.920000000000001,0.844999999999999)); +#17941=CARTESIAN_POINT('',(2.39,1.02,1.095)); +#17942=CARTESIAN_POINT('',(1.66,1.02,0.844999999999999)); +#17943=CARTESIAN_POINT('',(2.14,0.92,0.844999999999999)); +#17944=CARTESIAN_POINT('',(2.14,1.02,0.844999999999999)); +#17945=CARTESIAN_POINT('',(2.14,0.92,0.844999999999999)); +#17946=CARTESIAN_POINT('',(2.06,0.92,0.844999999999999)); +#17947=CARTESIAN_POINT('',(2.06,0.84,0.844999999999999)); +#17948=CARTESIAN_POINT('',(1.66,0.84,0.844999999999999)); +#17949=CARTESIAN_POINT('',(1.66,0.84,0.844999999999999)); +#17950=CARTESIAN_POINT('',(1.66,0.92,0.844999999999999)); +#17951=CARTESIAN_POINT('',(1.58,0.92,0.844999999999999)); +#17952=CARTESIAN_POINT('',(1.58,1.02,0.844999999999999)); +#17953=CARTESIAN_POINT('',(1.58,1.02,0.844999999999999)); +#17954=CARTESIAN_POINT('',(1.66,1.02,0.844999999999999)); +#17955=CARTESIAN_POINT('',(1.66,1.1,0.844999999999999)); +#17956=CARTESIAN_POINT('',(2.06,1.1,0.844999999999999)); +#17957=CARTESIAN_POINT('',(2.06,1.1,0.844999999999999)); +#17958=CARTESIAN_POINT('',(2.06,1.02,0.844999999999999)); +#17959=CARTESIAN_POINT('',(1.66,1.02,1.095)); +#17960=CARTESIAN_POINT('',(1.66,1.1,1.095)); +#17961=CARTESIAN_POINT('',(1.58,1.02,1.095)); +#17962=CARTESIAN_POINT('',(1.58,1.02,1.095)); +#17963=CARTESIAN_POINT('',(1.58,0.92,1.095)); +#17964=CARTESIAN_POINT('',(2.06,1.1,1.095)); +#17965=CARTESIAN_POINT('',(2.06,1.1,1.095)); +#17966=CARTESIAN_POINT('',(1.66,0.92,1.095)); +#17967=CARTESIAN_POINT('',(1.66,0.84,1.095)); +#17968=CARTESIAN_POINT('',(2.06,1.02,1.095)); +#17969=CARTESIAN_POINT('',(2.14,1.02,1.095)); +#17970=CARTESIAN_POINT('',(1.66,0.84,1.095)); +#17971=CARTESIAN_POINT('',(2.06,0.84,1.095)); +#17972=CARTESIAN_POINT('',(2.14,0.92,1.095)); +#17973=CARTESIAN_POINT('',(2.14,0.92,1.095)); +#17974=CARTESIAN_POINT('',(2.06,0.92,1.095)); +#17975=CARTESIAN_POINT('',(1.66,1.02,1.105)); +#17976=CARTESIAN_POINT('',(1.66,1.35,1.105)); +#17977=CARTESIAN_POINT('',(1.33,1.02,1.105)); +#17978=CARTESIAN_POINT('',(1.33,1.02,1.105)); +#17979=CARTESIAN_POINT('',(1.33,0.92,1.105)); +#17980=CARTESIAN_POINT('',(2.06,1.35,1.105)); +#17981=CARTESIAN_POINT('',(2.06,1.35,1.105)); +#17982=CARTESIAN_POINT('',(1.66,0.92,1.105)); +#17983=CARTESIAN_POINT('',(1.66,0.59,1.105)); +#17984=CARTESIAN_POINT('',(2.06,1.02,1.105)); +#17985=CARTESIAN_POINT('',(2.39,1.02,1.105)); +#17986=CARTESIAN_POINT('',(1.66,0.59,1.105)); +#17987=CARTESIAN_POINT('',(2.06,0.59,1.105)); +#17988=CARTESIAN_POINT('',(2.39,0.920000000000001,1.105)); +#17989=CARTESIAN_POINT('',(2.39,0.92,1.105)); +#17990=CARTESIAN_POINT('',(2.06,0.92,1.105)); +#17991=CARTESIAN_POINT('',(-2.85,3.3,-9.54824199926424)); +#17992=CARTESIAN_POINT('',(-2.85,2.8,1.105)); +#17993=CARTESIAN_POINT('',(-2.85,3.1,1.355)); +#17994=CARTESIAN_POINT('',(2.85,2.6,-9.54824199926424)); +#17995=CARTESIAN_POINT('',(2.85,2.8,1.355)); +#17996=CARTESIAN_POINT('',(2.85,3.1,1.105)); +#17997=CARTESIAN_POINT('',(1.302,2.6,1.355)); +#17998=CARTESIAN_POINT('',(-1.302,2.6,1.355)); +#17999=CARTESIAN_POINT('',(0.500000000000002,2.54,-10.1319842003964)); +#18000=CARTESIAN_POINT('',(-0.4,2.54,1.355)); +#18001=CARTESIAN_POINT('',(-0.399999999999998,2.64,-10.1319842003964)); +#18002=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.355)); +#18003=CARTESIAN_POINT('',(-0.5,2.72379588113674,1.31793196762879)); +#18004=CARTESIAN_POINT('',(-0.5,2.68131103925187,1.34342986690004)); +#18005=CARTESIAN_POINT('',(-0.49537965721434,2.63273110485376,1.355)); +#18006=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.355)); +#18007=CARTESIAN_POINT('',(-0.5,2.59514599542834,1.10357430644726)); +#18008=CARTESIAN_POINT('',(-0.5,2.59351327359593,1.10455420833635)); +#18009=CARTESIAN_POINT('',(-0.495366981694675,2.5916411305578,1.105)); +#18010=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.105)); +#18011=CARTESIAN_POINT('',(-0.5,2.59514599542834,1.10357430644726)); +#18012=CARTESIAN_POINT('',(-0.5,2.59352815890315,1.1045452747015)); +#18013=CARTESIAN_POINT('',(-0.495329186638416,2.59163405348129,1.105)); +#18014=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.105)); +#18015=CARTESIAN_POINT('',(-0.5,2.59514599542834,1.10357430644726)); +#18016=CARTESIAN_POINT('',(-0.5,2.72379588113674,1.31793196762879)); +#18017=CARTESIAN_POINT('',(-0.5,2.72379588113674,1.31793196762879)); +#18018=CARTESIAN_POINT('',(-0.5,2.72379588113674,1.31793196762879)); +#18019=CARTESIAN_POINT('',(-0.5,2.68173213148191,1.34317714223898)); +#18020=CARTESIAN_POINT('',(-0.495329186638416,2.63248539051359,1.355)); +#18021=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.355)); +#18022=CARTESIAN_POINT('',(-0.5,2.59,1.095)); +#18023=CARTESIAN_POINT('',(-0.5,2.59,1.095)); +#18024=CARTESIAN_POINT('',(-0.5,2.6,1.095)); +#18025=CARTESIAN_POINT('',(-0.5,2.6,0.)); +#18026=CARTESIAN_POINT('',(-0.5,2.6,0.605000000000001)); +#18027=CARTESIAN_POINT('',(-0.5,2.85,0.605000000000001)); +#18028=CARTESIAN_POINT('',(-0.500000000000001,2.85,0.605000000000001)); +#18029=CARTESIAN_POINT('',(-0.5,2.85,1.29029866022853)); +#18030=CARTESIAN_POINT('',(-0.5,2.85,1.095)); +#18031=CARTESIAN_POINT('',(-0.5,2.59,1.095)); +#18032=CARTESIAN_POINT('',(-1.3,2.59,1.095)); +#18033=CARTESIAN_POINT('',(-1.3,2.59,1.095)); +#18034=CARTESIAN_POINT('',(-1.3,2.85,1.095)); +#18035=CARTESIAN_POINT('',(-1.3,2.85,1.16764933011426)); +#18036=CARTESIAN_POINT('',(-1.3,2.85,-0.295000000000002)); +#18037=CARTESIAN_POINT('',(-1.3,2.85,-0.295000000000002)); +#18038=CARTESIAN_POINT('',(-1.3,2.6,-0.295000000000002)); +#18039=CARTESIAN_POINT('',(-1.3,2.6,1.16764933011426)); +#18040=CARTESIAN_POINT('',(-1.3,2.6,1.095)); +#18041=CARTESIAN_POINT('',(-1.3,2.59,1.095)); +#18042=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.095)); +#18043=CARTESIAN_POINT('',(-1.3,2.85,1.095)); +#18044=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.095)); +#18045=CARTESIAN_POINT('',(-1.3,2.6,1.095)); +#18046=CARTESIAN_POINT('',(1.2,2.85,-0.295000000000002)); +#18047=CARTESIAN_POINT('',(1.2,2.85,-0.295000000000002)); +#18048=CARTESIAN_POINT('',(1.3,2.85,-0.295000000000002)); +#18049=CARTESIAN_POINT('',(1.2,2.85,-0.395000000000003)); +#18050=CARTESIAN_POINT('',(1.3,2.85,-0.295000000000002)); +#18051=CARTESIAN_POINT('',(1.3,2.6,-0.295000000000002)); +#18052=CARTESIAN_POINT('',(1.2,2.6,-0.295000000000002)); +#18053=CARTESIAN_POINT('',(1.2,2.6,-0.395000000000003)); +#18054=CARTESIAN_POINT('',(1.2,2.85,-0.395000000000003)); +#18055=CARTESIAN_POINT('',(0.4,-8.63698420039642,0.605000000000001)); +#18056=CARTESIAN_POINT('',(0.4,2.6,0.605000000000001)); +#18057=CARTESIAN_POINT('',(0.5,2.6,0.605000000000001)); +#18058=CARTESIAN_POINT('',(0.4,2.6,0.505000000000001)); +#18059=CARTESIAN_POINT('',(0.5,2.6,0.605000000000001)); +#18060=CARTESIAN_POINT('',(0.5,2.85,0.605000000000001)); +#18061=CARTESIAN_POINT('',(0.4,2.85,0.605000000000001)); +#18062=CARTESIAN_POINT('',(0.4,2.85,0.505000000000001)); +#18063=CARTESIAN_POINT('',(0.4,2.85,0.505)); +#18064=CARTESIAN_POINT('',(-0.5,-8.63698420039642,0.505000000000002)); +#18065=CARTESIAN_POINT('',(-0.5,2.85,0.505000000000001)); +#18066=CARTESIAN_POINT('',(-0.4,2.85,0.505000000000001)); +#18067=CARTESIAN_POINT('',(-0.4,2.6,0.505000000000001)); +#18068=CARTESIAN_POINT('',(-0.4,2.6,0.505000000000001)); +#18069=CARTESIAN_POINT('',(9.24868407272257E-18,2.6,0.505000000000001)); +#18070=CARTESIAN_POINT('',(-0.4,-8.63698420039642,0.605000000000001)); +#18071=CARTESIAN_POINT('',(-0.4,2.6,0.605000000000001)); +#18072=CARTESIAN_POINT('',(-0.4,2.85,0.605000000000001)); +#18073=CARTESIAN_POINT('',(-1.2,2.85,-0.295000000000002)); +#18074=CARTESIAN_POINT('',(-1.2,2.85,-0.295000000000002)); +#18075=CARTESIAN_POINT('',(-1.2,2.85,-0.395000000000002)); +#18076=CARTESIAN_POINT('',(-1.2,2.85,-0.395000000000002)); +#18077=CARTESIAN_POINT('',(-1.2,2.6,-0.395000000000002)); +#18078=CARTESIAN_POINT('',(-1.2,2.6,-0.295000000000002)); +#18079=CARTESIAN_POINT('',(1.3,2.85,-0.395000000000003)); +#18080=CARTESIAN_POINT('',(1.3,2.6,-0.395000000000003)); +#18081=CARTESIAN_POINT('',(1.3,2.85,-0.395000000000003)); +#18082=CARTESIAN_POINT('',(-9.62964972193618E-32,2.85,-4.33680868994202E-16)); +#18083=CARTESIAN_POINT('',(0.5,2.85,0.505)); +#18084=CARTESIAN_POINT('',(0.5,2.85,1.095)); +#18085=CARTESIAN_POINT('',(-1.3,2.85,1.095)); +#18086=CARTESIAN_POINT('',(1.3,2.85,1.095)); +#18087=CARTESIAN_POINT('',(1.3,2.85,1.16764933011426)); +#18088=CARTESIAN_POINT('',(-9.62964972193618E-32,2.6,0.)); +#18089=CARTESIAN_POINT('',(1.3,2.6,1.16764933011426)); +#18090=CARTESIAN_POINT('',(1.3,2.6,1.095)); +#18091=CARTESIAN_POINT('',(-1.3,2.6,1.095)); +#18092=CARTESIAN_POINT('',(0.5,2.6,1.095)); +#18093=CARTESIAN_POINT('',(0.5,2.6,0.)); +#18094=CARTESIAN_POINT('',(0.5,-8.63698420039642,0.505000000000001)); +#18095=CARTESIAN_POINT('',(0.5,2.59,1.095)); +#18096=CARTESIAN_POINT('',(0.5,2.59514599542834,1.10357430644726)); +#18097=CARTESIAN_POINT('',(0.5,2.59514599542834,1.10357430644726)); +#18098=CARTESIAN_POINT('',(0.5,2.72379588113674,1.31793196762879)); +#18099=CARTESIAN_POINT('',(0.5,2.59,1.095)); +#18100=CARTESIAN_POINT('',(1.3,2.85,1.16764933011426)); +#18101=CARTESIAN_POINT('',(1.3,2.59,1.095)); +#18102=CARTESIAN_POINT('',(1.3,2.59,1.095)); +#18103=CARTESIAN_POINT('',(0.486602540378444,2.59,1.355)); +#18104=CARTESIAN_POINT('',(0.495379657214339,2.63273110485375,1.355)); +#18105=CARTESIAN_POINT('',(0.5,2.68131103925187,1.34342986690004)); +#18106=CARTESIAN_POINT('',(0.5,2.72379588113674,1.31793196762879)); +#18107=CARTESIAN_POINT('',(0.486602540378444,2.59,1.105)); +#18108=CARTESIAN_POINT('',(0.495366981694674,2.5916411305578,1.105)); +#18109=CARTESIAN_POINT('',(0.5,2.59351327359593,1.10455420833635)); +#18110=CARTESIAN_POINT('',(0.5,2.59514599542834,1.10357430644726)); +#18111=CARTESIAN_POINT('',(0.486602540378444,2.59,1.105)); +#18112=CARTESIAN_POINT('',(0.495329186638415,2.59163405348129,1.105)); +#18113=CARTESIAN_POINT('',(0.5,2.59352815890315,1.1045452747015)); +#18114=CARTESIAN_POINT('',(0.5,2.59514599542834,1.10357430644726)); +#18115=CARTESIAN_POINT('',(0.486602540378444,2.59,1.355)); +#18116=CARTESIAN_POINT('',(0.495329186638415,2.63248539051359,1.355)); +#18117=CARTESIAN_POINT('',(0.5,2.68173213148191,1.34317714223898)); +#18118=CARTESIAN_POINT('',(0.5,2.72379588113674,1.31793196762879)); +#18119=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.095)); +#18120=CARTESIAN_POINT('',(-0.486602540378444,2.59,1.095)); +#18121=CARTESIAN_POINT('',(2.65,2.8,-9.54824199926424)); +#18122=CARTESIAN_POINT('',(-2.65,2.8,-9.54824199926424)); +#18123=CARTESIAN_POINT('',(-3.05,3.1,-9.54824199926424)); +#18124=CARTESIAN_POINT('',(3.05,3.1,-9.54824199926424)); +#18125=CARTESIAN_POINT('',(1.60316204755873E-14,-2.04999999999999,-0.0549999999999966)); +#18126=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#10819, +#10820,#10821,#10822,#10823),#18127); +#18127=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18133)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521','TOP_LEVEL_ASSEMBLY_PART') +); +#18128=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18134)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521_Housing','COMPONENT_PART') +); +#18129=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18135)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521_Pin1','COMPONENT_PART') +); +#18130=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18136)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521_Pin2','COMPONENT_PART') +); +#18131=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18137)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521_Shielding2','COMPONENT_PART') +); +#18132=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#18138)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#18141,#18140,#18139)) +REPRESENTATION_CONTEXT('629105150521_Shielding','COMPONENT_PART') +); +#18133=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18134=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18135=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18136=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18137=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18138=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#18141, +'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); +#18139=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#18140=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#18141=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#18142=PRODUCT_DEFINITION_SHAPE('','',#18156); +#18143=PRODUCT_DEFINITION_SHAPE('','',#18157); +#18144=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#56); +#18145=PRODUCT_DEFINITION_SHAPE('','',#18158); +#18146=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#57); +#18147=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#58); +#18148=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#59); +#18149=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#60); +#18150=PRODUCT_DEFINITION_SHAPE('','',#18159); +#18151=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#61); +#18152=PRODUCT_DEFINITION_SHAPE('','',#18160); +#18153=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#62); +#18154=PRODUCT_DEFINITION_SHAPE('','',#18161); +#18155=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#63); +#18156=PRODUCT_DEFINITION('','',#18168,#18162); +#18157=PRODUCT_DEFINITION('','',#18169,#18163); +#18158=PRODUCT_DEFINITION('','',#18170,#18164); +#18159=PRODUCT_DEFINITION('','',#18171,#18165); +#18160=PRODUCT_DEFINITION('','',#18172,#18166); +#18161=PRODUCT_DEFINITION('','',#18173,#18167); +#18162=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18163=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18164=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18165=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18166=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18167=PRODUCT_DEFINITION_CONTEXT('',#18199,'design'); +#18168=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18180, + .NOT_KNOWN.); +#18169=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18181, + .NOT_KNOWN.); +#18170=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18182, + .NOT_KNOWN.); +#18171=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18183, + .NOT_KNOWN.); +#18172=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18184, + .NOT_KNOWN.); +#18173=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#18185, + .NOT_KNOWN.); +#18174=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18180)); +#18175=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18181)); +#18176=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18182)); +#18177=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18183)); +#18178=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18184)); +#18179=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#18185)); +#18180=PRODUCT('629105150521','629105150521','629105150521',(#18192)); +#18181=PRODUCT('629105150521_Housing','629105150521_Housing', +'629105150521_Housing',(#18193)); +#18182=PRODUCT('629105150521_Pin1','629105150521_Pin1', +'629105150521_Pin1',(#18194)); +#18183=PRODUCT('629105150521_Pin2','629105150521_Pin2', +'629105150521_Pin2',(#18195)); +#18184=PRODUCT('629105150521_Shielding2','629105150521_Shielding2', +'629105150521_Shielding2',(#18196)); +#18185=PRODUCT('629105150521_Shielding','629105150521_Shielding', +'629105150521_Shielding',(#18197)); +#18186=PRODUCT_CATEGORY('',''); +#18187=PRODUCT_CATEGORY('',''); +#18188=PRODUCT_CATEGORY('',''); +#18189=PRODUCT_CATEGORY('',''); +#18190=PRODUCT_CATEGORY('',''); +#18191=PRODUCT_CATEGORY('',''); +#18192=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18193=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18194=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18195=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18196=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18197=PRODUCT_CONTEXT('',#18199,'mechanical'); +#18198=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2010,#18199); +#18199=APPLICATION_CONTEXT( +'core data for automotive mechanical design processes'); +ENDSEC; +END-ISO-10303-21; diff --git a/pcb/lib/laser.kicad_mod b/pcb/lib/laser.kicad_mod new file mode 100644 index 0000000..2bf1bfe --- /dev/null +++ b/pcb/lib/laser.kicad_mod @@ -0,0 +1,179 @@ +(module svg2mod (layer F.Cu) (tedit 660753F0) + (attr virtual) + (descr "Converted using: svg2mod --factor 0.6 -i laser.svg -o ../pcb/lib/laser.kicad_mod") + (tags svg2mod) + (fp_text reference svg2mod (at 0 -2.982184200305846) (layer F.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3048))) + ) + (fp_text value G*** (at 0 8.361996575305843) (layer F.SilkS) hide + (effects (font (size 1.524 1.524) (thickness 0.3048))) + ) + (fp_poly + (pts + (xy 2.6899061274999996 1.5210920929314815) + (xy 2.6899062474999993 0.06581579969415428) + (xy 2.6899061274999996 1.5210920929314815) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 3.8587198020685194 2.689906187499999) + (xy 3.769749158753163 3.1371919540451687) + (xy 3.516382202730967 3.516382517289406) + (xy 3.1371917562791336 3.769749551349793) + (xy 2.6899061274999996 3.8587202220685173) + (xy 2.2426204987208656 3.769749551349793) + (xy 1.8634300522690326 3.516382517289406) + (xy 1.610063096246836 3.1371919540451687) + (xy 1.5210924529314795 2.689906187499999) + (xy 1.6100630962468359 2.24262042095483) + (xy 1.8634300522690321 1.8634298577105923) + (xy 2.2426204987208647 1.610062823650206) + (xy 2.6899061274999996 1.5210921529314811) + (xy 3.137191756279134 1.6100628236502057) + (xy 3.516382202730966 1.8634298577105923) + (xy 3.7697491587531626 2.2426204209548293) + (xy 3.8587198020685194 2.6899061874999988) + (xy 3.8587198020685194 2.689906187499999) + ) + (layer F.SilkS) + (width 0.11688119945685276) + ) + (fp_poly + (pts + (xy 1.6100629125180332 2.2426207095785355) + (xy 0.8767342759258132 1.938865250990088) + (xy 1.6100629125180332 2.2426207095785355) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 2.242620349578538 1.6100629125180332) + (xy 1.9388662709900832 0.8767336759258164) + (xy 2.242620349578538 1.6100629125180332) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 1.6100632125180316 3.13719220542146) + (xy 0.8767339759258148 3.4409463440099133) + (xy 1.6100632125180316 3.13719220542146) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 2.2426210095785346 3.769749582481964) + (xy 1.9388654909900873 4.503078279074184) + (xy 2.2426210095785346 3.769749582481964) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 3.1371922654214597 3.769749282481965) + (xy 3.440946464009913 4.503078579074182) + (xy 3.1371922654214597 3.769749282481965) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 3.7697494624819647 3.137191725421462) + (xy 4.503078459074183 3.44094718400991) + (xy 3.7697494624819647 3.137191725421462) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 3.7697488624819666 2.242620529578536) + (xy 4.50307905907418 1.9388660309900847) + (xy 3.7697488624819666 2.242620529578536) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 3.137191665421462 1.6100635125180307) + (xy 3.440947664009907 0.8767339159258152) + (xy 3.137191665421462 1.6100635125180307) + ) + (layer F.SilkS) + (width 0.11906219944671766) + ) + (fp_poly + (pts + (xy 1.863429771340637 1.8634298313406368) + (xy 0.8343941361225684 0.8343940161225689) + (xy 1.863429771340637 1.8634298313406368) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 1.5210920929314815 2.689906187499999) + (xy 0.06581579969415428 2.6899061274999996) + (xy 1.5210920929314815 2.689906187499999) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 1.8634298313406363 3.5163825436593608) + (xy 0.8343940761225686 4.545418238877429) + (xy 1.8634298313406363 3.5163825436593608) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 2.6899061874999988 3.8587202220685173) + (xy 2.6899061274999996 5.313996575305843) + (xy 2.6899061874999988 3.8587202220685173) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 3.5163826636593605 3.5163823636593614) + (xy 4.545418058877431 4.54541811887743) + (xy 3.5163826636593605 3.5163823636593614) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 3.8587198620685195 2.6899060075000003) + (xy 5.313996455305846 2.689906187499999) + (xy 3.8587198620685195 2.6899060075000003) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) + (fp_poly + (pts + (xy 3.5163826636593605 1.863429771340637) + (xy 4.545418058877431 0.834394196122568) + (xy 3.5163826636593605 1.863429771340637) + ) + (layer F.SilkS) + (width 0.13163159938830762) + ) +) \ No newline at end of file diff --git a/pcb/lib/tooling_hole.kicad_mod b/pcb/lib/tooling_hole.kicad_mod new file mode 100644 index 0000000..2cd65ae --- /dev/null +++ b/pcb/lib/tooling_hole.kicad_mod @@ -0,0 +1,92 @@ +(footprint "tooling_hole" + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -0.5 0) + (unlocked yes) + (layer "F.SilkS") + (hide yes) + (uuid "4bed7e93-7382-4c2f-a150-b5e328a488fa") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + ) + ) + (property "Value" "tooling_hole" + (at 0 1 0) + (unlocked yes) + (layer "F.Fab") + (uuid "afa409b5-e949-4ed1-8f5c-ad29d8f8fbc9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a9adcec7-a2c2-4c97-9a7c-6a220eb6ad0e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b2545fb6-7919-43eb-9c50-80412e8e7703") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "81efaeb0-90bd-4f29-92be-ed7d9fbce38a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr through_hole) + (fp_text user "${REFERENCE}" + (at 0 2.5 0) + (unlocked yes) + (layer "F.Fab") + (uuid "7b0341c8-5ea3-4f73-9555-9a2e43a8ca2b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 1.3 1.3) + (drill 1.152) + (layers "*.Mask") + (solder_mask_margin 0.148) + (clearance 0.25) + (uuid "47607f7c-6eb8-448a-90c3-94008093a4d2") + ) +) \ No newline at end of file diff --git a/pcb/lipo-charger/README.md b/pcb/lipo-charger/README.md new file mode 100644 index 0000000..5f2508e --- /dev/null +++ b/pcb/lipo-charger/README.md @@ -0,0 +1,3 @@ +# Laser power supply hardware + +[The KiCAD design files can be viewed online using KiCanvas.](https://kicanvas.org/?github=https%3A%2F%2Fgithub.com%2Ftvannoy%2Flaser-photogate%2Ftree%2Fhardware%2Fpcb%2Flipo-charger) diff --git a/pcb/lipo-charger/fp-lib-table b/pcb/lipo-charger/fp-lib-table new file mode 100644 index 0000000..b854bd5 --- /dev/null +++ b/pcb/lipo-charger/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "laser-photogate")(type "KiCad")(uri "${KIPRJMOD}/../lib")(options "")(descr "")) +) diff --git a/pcb/lipo-charger/lipo-charger.kicad_pcb b/pcb/lipo-charger/lipo-charger.kicad_pcb new file mode 100644 index 0000000..c5df2f1 --- /dev/null +++ b/pcb/lipo-charger/lipo-charger.kicad_pcb @@ -0,0 +1,6338 @@ +(kicad_pcb + (version 20240108) + (generator "pcbnew") + (generator_version "8.0") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "USLetter") + (title_block + (title "lipo charger/supply") + (date "2024-03-30") + (rev "1") + (company "Trevor Vannoy") + ) + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + (setup + (stackup + (layer "F.SilkS" + (type "Top Silk Screen") + ) + (layer "F.Paste" + (type "Top Solder Paste") + ) + (layer "F.Mask" + (type "Top Solder Mask") + (thickness 0.01) + ) + (layer "F.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 1" + (type "core") + (thickness 1.51) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "B.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "B.Mask" + (type "Bottom Solder Mask") + (thickness 0.01) + ) + (layer "B.Paste" + (type "Bottom Solder Paste") + ) + (layer "B.SilkS" + (type "Bottom Silk Screen") + ) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (grid_origin 76.5 70) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros no) + (usegerberextensions yes) + (usegerberattributes yes) + (usegerberadvancedattributes yes) + (creategerberjobfile no) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref no) + (viasonmask no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plotreference yes) + (plotvalue yes) + (plotfptext yes) + (plotinvisibletext no) + (sketchpadsonfab no) + (subtractmaskfromsilk yes) + (outputformat 1) + (mirror no) + (drillshape 0) + (scaleselection 1) + (outputdirectory "production/") + ) + ) + (net 0 "") + (net 1 "GND") + (net 2 "VDD") + (net 3 "VBAT") + (net 4 "Net-(D1-K)") + (net 5 "VBUS") + (net 6 "unconnected-(J1-ID-Pad4)") + (net 7 "unconnected-(J1-D+-Pad3)") + (net 8 "unconnected-(J1-D--Pad2)") + (net 9 "VOUT") + (net 10 "Net-(U1-STAT)") + (net 11 "Net-(U1-PROG)") + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "12522dfc-8c3e-480e-acf4-26c9d955c635") + (at 104 49) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "9a1a9a5a-41e6-4e5d-9657-6acaf24ba01e") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "43e5112c-c0e9-4160-b86c-bd715d13c604") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fe7ef397-7e33-47c3-b9e2-fbe65e82596c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "973ac84b-d557-4af8-b9bd-9f4e2a97bd77") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fba59f0b-5eab-4696-a97d-e51d0afe71e6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "e95f3c82-829a-4cb3-98ba-4fcd6afb070d") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "51027ff5-fccc-4ff4-9de2-fd6e7ce731cc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "6315b9d9-ae21-4666-8daa-c9be25418477") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "*.Cu" "*.Mask") + (uuid "0a427656-b512-4759-aca4-610a4f0fa717") + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "1debf127-def1-4cb3-be70-36a6d563bda2") + (at 91.75 59.2125 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C1" + (at -2.6625 0.15 90) + (layer "F.SilkS") + (uuid "bb9b7258-7a49-4390-893b-1aa0089a8e41") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "f5d23642-c897-4ae1-9b69-6cdebd9bd7df") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "07ddc75b-1afb-4c40-a5ca-c64f3e913310") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1fc027f3-8bbe-453a-a18f-2e6736ec86e2") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fd162b45-e1a6-4aee-884c-4e55a34f188a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/c1ef979d-91af-487c-9e01-f69707f16626") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "df92fc4c-72fe-49cf-aeaa-7fe1003d14f4") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "896de375-b430-44a4-a9f7-6b06fcf488f6") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "79000c6b-93de-4d62-a776-eab134cb193c") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dd87995d-bef7-4fef-855a-23299961a22c") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9b492b51-b5af-4782-8090-f2b83f5218ac") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "acbaef20-a7a3-4a48-b7cd-cb15e290ffb5") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "41cb14c0-0468-4b07-bb54-f3ccd4951d90") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1f82e14f-f4d2-4e92-a5f0-d7304204545b") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "48d39785-57aa-467b-82b7-c5a396e258f4") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a0fdefcb-3eae-478d-9ba2-fd4f9e166f85") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "0c493856-a3f8-4fd2-9678-c3010dce2d1e") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 2 "VDD") + (pintype "passive") + (uuid "fb49d0a8-afa2-41da-8fd6-3959157e0703") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 1 "GND") + (pintype "passive") + (uuid "43b48035-e3f6-4466-a334-fa5671c85595") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (layer "F.Cu") + (uuid "218c79b5-0019-421b-8132-f6d4ee7c2e4a") + (at 95.9575 51.1 180) + (descr "E-Switch slide switch, EG series, SPDT, right angle, http://spec_sheets.e-switch.com/specs/P040042.pdf") + (tags "switch SPDT") + (property "Reference" "SW1" + (at 4 2.5 180) + (layer "F.SilkS") + (uuid "dd93fc25-eca6-43df-8d01-daced1557619") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "BATTERY_EN" + (at -4.0425 1.1 -90) + (layer "F.SilkS") + (uuid "a461e160-4aad-4b22-a220-46fdb38569cc") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "1cf72b4b-c524-408f-9684-dde28ea4eb28") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://sten-eswitch-13110800-production.s3.amazonaws.com/system/asset/product_line/data_sheet/119/EG.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "70056e50-f6fe-4c71-8863-c7e4d3b33f01") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6769843a-c6e7-4d68-8fdb-328eada1ca47") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "EG1224" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "84e272b6-858e-4acb-8794-8edfc7191c71") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/9fb571ca-8b4a-47c6-b955-674bd791619e") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr through_hole) + (fp_line + (start 11 -1.35) + (end 11 3.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dc5b5e2b-23c5-4929-8cf9-f680884a7de9") + ) + (fp_line + (start 8.95 4.6) + (end -0.95 4.6) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e225b4f0-4b4c-408c-a5c2-328fca2c9b13") + ) + (fp_line + (start -0.95 -2.1) + (end 8.95 -2.1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5b726021-a0ea-48a2-82cd-9c073be1af65") + ) + (fp_line + (start -3 3.85) + (end -3 -1.35) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "67b6cf17-a55f-4bb8-8f89-4f98104a12f8") + ) + (fp_line + (start -3.3 -1.75) + (end -3.3 -3.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5bbc7dd3-a9aa-4abb-8ae6-69935be1ca39") + ) + (fp_line + (start -3.3 -3.05) + (end -2 -3.05) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0a6ba965-a820-4b17-bbfb-0779eaf76d71") + ) + (fp_line + (start 11.25 5.5) + (end 8.75 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6d855673-14dc-4089-a1fe-42fdba49c1b9") + ) + (fp_line + (start 11.25 -3) + (end 11.25 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b76f1aa1-5981-4e51-841f-4448f458d32e") + ) + (fp_line + (start 8.75 13.75) + (end -0.75 13.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7c479f00-2a9e-4344-87bb-4d66523283e0") + ) + (fp_line + (start 8.75 5.5) + (end 8.75 13.75) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ba831bf2-ea8d-42a1-88e3-f873b9e4bd74") + ) + (fp_line + (start -0.75 13.75) + (end -0.75 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2d4421b0-2975-43d2-8412-6aada54c77af") + ) + (fp_line + (start -0.75 5.5) + (end -3.25 5.5) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cdbb2b00-d25e-4087-8d41-9f42ae6266aa") + ) + (fp_line + (start -3.25 5.5) + (end -3.25 -3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "45fda1c9-1e9b-4c7a-872a-37ed0637db6a") + ) + (fp_line + (start -3.25 -3) + (end 11.25 -3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "04078a28-ddae-4397-81ea-b761588bb079") + ) + (fp_line + (start 10.9 4.5) + (end -2.9 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9412dd9a-bd05-43d1-bc76-7ff07c6fd802") + ) + (fp_line + (start 10.9 -2) + (end 10.9 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c27eb05c-ca2f-4728-8ac8-7ed1a7452703") + ) + (fp_line + (start 4.5 13.5) + (end -0.5 13.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "992de694-6301-43dc-9c3a-5924494894e7") + ) + (fp_line + (start 4.5 4.5) + (end 4.5 13.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ffa55d42-09bb-4534-946b-2b34bcefa0db") + ) + (fp_line + (start -0.5 13.5) + (end -0.5 4.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "598d2852-b2fd-435f-adc4-cd950059b83f") + ) + (fp_line + (start -1.9 -2) + (end 10.9 -2) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8b16240a-53ac-418f-90ff-57ec652be74d") + ) + (fp_line + (start -1.9 -2) + (end -2.9 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "33d35aa7-cd0a-4fd4-b04c-f493a6711893") + ) + (fp_line + (start -2.9 4.5) + (end -2.9 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "61352792-9994-48b8-b269-dd994582a532") + ) + (fp_text user "${REFERENCE}" + (at 4 1.25 180) + (layer "F.Fab") + (uuid "c9da8c36-1e45-4bec-ab39-d4079906fbb3") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + ) + ) + (pad "" thru_hole circle + (at -2 -1.75 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "87e38f79-42df-4415-a023-c69ad9c8d5e7") + ) + (pad "" thru_hole circle + (at -2 4.25 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "94ec73cd-9ade-4fec-aae7-60f71c725388") + ) + (pad "" thru_hole circle + (at 10 -1.75 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "81ac810f-ede1-4e9a-8380-f69579b7b9a0") + ) + (pad "" thru_hole circle + (at 10 4.25 180) + (size 2 2) + (drill 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (uuid "e5d3128e-7bf5-48e4-959d-dcbe6c51ff6d") + ) + (pad "1" thru_hole circle + (at 0 0 180) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "VBAT") + (pinfunction "A") + (pintype "passive") + (uuid "0c8ba3d5-6b0f-47f4-adaf-dc9d844b846f") + ) + (pad "2" thru_hole circle + (at 4 0 180) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "VOUT") + (pinfunction "B") + (pintype "passive") + (uuid "be4a4db6-8870-4ea9-ba02-b2536d868bfe") + ) + (pad "3" thru_hole circle + (at 8 0 180) + (size 1.7 1.7) + (drill 0.9) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "C") + (pintype "passive") + (uuid "ef33f02a-68ea-4e6e-97a2-ae2c31d82d23") + ) + (model "${KICAD8_3DMODEL_DIR}/Button_Switch_THT.3dshapes/SW_E-Switch_EG1224_SPDT_Angled.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "34173c94-d12d-4d56-ad8e-89bf54a5e53a") + (at 104 66.5) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "0d7236cc-1e3d-4972-8bd4-c319ff935d0b") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "636f2357-a824-4e69-bee0-d8e26a00bea6") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4eeb5fb2-b183-4f66-a7a2-54d8a82357fb") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "09574d56-fb3f-462d-8243-8255207291fc") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a7c01a4c-180f-4e1f-921c-3d07e9580b21") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "df29e495-8f71-4b30-9f41-cea77d8ed295") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "41c1a74e-84e0-4da5-9d7b-c92f8c9dd1dc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "e4586dd8-0595-4102-9416-5d87b8a27979") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "*.Cu" "*.Mask") + (uuid "d32e41f8-1fb7-4ed4-bec7-5400274d6759") + ) + ) + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (layer "F.Cu") + (uuid "59a3d614-b3a5-41be-bdbe-42ccc3fdf4ef") + (at 98.5 59.25 -90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Reference" "C2" + (at 0 -1.68 90) + (layer "F.SilkS") + (uuid "20a72076-2da3-41b3-a83e-2e11566c2ac2") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "4.7uF" + (at 0 1.68 90) + (layer "F.Fab") + (uuid "28ac58f2-70eb-4b9b-942f-74e92fccb3a2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b21c89b8-15af-48d3-86a2-724328d97a6b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2ab59d07-7900-4a94-9094-7a4d77a842f6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "928e6a24-8519-4cc2-bbd2-1d952cc0b92b") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/97223afb-a9ca-4ace-be26-7846a88336fe") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -0.261252 0.735) + (end 0.261252 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c62722c2-d4b1-40b1-9797-95d14a28bb35") + ) + (fp_line + (start -0.261252 -0.735) + (end 0.261252 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ac982a4e-91ac-497f-9301-8533894bba56") + ) + (fp_line + (start -1.88 0.98) + (end -1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "9a3146bf-0023-4bcb-9a96-889bf2d28f5f") + ) + (fp_line + (start 1.88 0.98) + (end -1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "66c3c4eb-91e3-4667-838f-02f28354802d") + ) + (fp_line + (start -1.88 -0.98) + (end 1.88 -0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "52ad0dc0-f2f6-479a-a05f-ff05c7084492") + ) + (fp_line + (start 1.88 -0.98) + (end 1.88 0.98) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c4399c08-6ede-409d-8cc1-d7e6c6c93c00") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "483d8166-ad58-417d-a273-df54af1a0a5a") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4707accb-c5b5-456f-9c96-19d5bc547c76") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fc7ddd18-983e-453f-a571-98e93fed5b11") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c1fac8d6-52dc-48e5-be6c-e04e61b423cc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "f1ccf238-6a88-4935-90ea-f5266e783bc9") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 3 "VBAT") + (pintype "passive") + (uuid "fe9c1567-0485-430b-af0b-8553b7d3a924") + ) + (pad "2" smd roundrect + (at 1.0375 0 270) + (size 1.175 1.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.212766) + (net 1 "GND") + (pintype "passive") + (uuid "37d4e0aa-444d-42f5-9652-35ae2e2ef8c5") + ) + (model "${KICAD8_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "6bfa167b-140b-4075-9ed2-a3ef51deac52") + (at 80 49) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "2f0a9560-18c7-4220-9dc0-6945e2745dc2") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "9a102113-7a63-4ca0-adb0-554a32a4f9aa") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fe7ef397-7e33-47c3-b9e2-fbe65e82596c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "973ac84b-d557-4af8-b9bd-9f4e2a97bd77") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fba59f0b-5eab-4696-a97d-e51d0afe71e6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "2631aced-f427-408a-bcf0-4727f7cdaacc") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "81c306e3-e47e-4d76-aec5-be4eeeba3336") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "89b5fb1a-a830-4343-bb37-26fb924bb1a5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "F&B.Cu" "*.Mask") + (uuid "12474e49-46fb-48eb-9a7b-69e2f85df09c") + ) + ) + (footprint "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (layer "F.Cu") + (uuid "703a8a09-3811-45e8-895f-b466d54321ae") + (at 80.35 57.625 -90) + (descr "USB Micro-B receptacle, http://www.mouser.com/ds/2/445/629105150521-469306.pdf") + (tags "usb micro receptacle") + (property "Reference" "J1" + (at 5.875 -3.05 90) + (layer "F.SilkS") + (uuid "fc7837f0-e772-467c-906e-11c09a66bb48") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "USB_B_Micro" + (at 0 5.6 90) + (layer "F.Fab") + (uuid "63630711-f721-4e03-b6fe-4dae0718037d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a506b301-4f9f-41a2-bf28-d6c75758930e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.we-online.com/katalog/datasheet/629105150521.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "68f466e1-f7e3-4af8-9bc3-3d68a26d2f7c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "35802b5e-969c-4452-b2b5-87f91f3b3633") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "629105150521" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e6c44358-5811-458d-b77a-5d17622badf8") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/a0694061-3a9a-4b7d-948a-37859d5e3ccb") + (attr smd) + (fp_line + (start 3.85 3.75) + (end 3.85 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "7d110e6c-3a64-40e4-91d3-2b7703163b7d") + ) + (fp_line + (start -4.15 3.3) + (end -3.85 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "7dda4e82-50d1-4585-b1c0-ef97f4eb0a63") + ) + (fp_line + (start -3.85 3.3) + (end -3.85 3.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "08e9553d-841a-4816-ba6e-8ade97e90dd2") + ) + (fp_line + (start 3.85 3.3) + (end 4.15 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "96265fe0-9311-4fb9-9048-85ffac29b947") + ) + (fp_line + (start 4.15 3.3) + (end 4.15 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "26665cac-6710-4464-94bd-9169df427d69") + ) + (fp_line + (start -4.15 3.15) + (end -4.15 3.3) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "ed972501-d8a9-4d63-87d4-7a3b7f2eae6e") + ) + (fp_line + (start 4.15 0.75) + (end 4.15 -0.65) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "8d8e5f96-03d5-4209-95a0-a1f27214cc12") + ) + (fp_line + (start -4.15 -0.65) + (end -4.15 0.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "342d31e2-8e01-4606-9424-f7a3702540ee") + ) + (fp_line + (start -1.8 -2.4) + (end -2.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "2237b47f-1f96-4e4f-82e9-5f901493abc2") + ) + (fp_line + (start 1.8 -2.4) + (end 2.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "cfca9216-90b7-4ca3-a24b-a59a22fef097") + ) + (fp_line + (start -1.8 -2.825) + (end -1.8 -2.4) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "ce30dedc-194c-4628-8606-da048d8b68f8") + ) + (fp_line + (start -1.075 -2.825) + (end -1.8 -2.825) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.SilkS") + (uuid "14e16961-d523-451f-907c-321d42155aac") + ) + (fp_line + (start -4.94 4.85) + (end 4.95 4.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4ad2431e-833e-419e-a273-c8044e0af3e2") + ) + (fp_line + (start 4.95 4.85) + (end 4.95 -3.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "da8d3691-c11c-4a9b-80c4-329f934ec397") + ) + (fp_line + (start -4.94 -3.34) + (end -4.94 4.85) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bf2ca52e-4cc1-4f0b-9e36-19a1fd29de54") + ) + (fp_line + (start 4.95 -3.34) + (end -4.94 -3.34) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e0061d3a-7459-42ee-a01d-0898b596868a") + ) + (fp_line + (start -3.7 4.35) + (end 3.7 4.35) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "c0d09737-578c-4213-86b2-1773298019da") + ) + (fp_line + (start 3.7 4.35) + (end 3.7 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "7f8a0c55-66d8-4f61-93cc-778fc864917d") + ) + (fp_line + (start -2.7 3.75) + (end 2.7 3.75) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "e32af333-71cc-4e4c-9851-1703d544eaca") + ) + (fp_line + (start -4 3.15) + (end -3.7 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "535f40b8-6dbb-4fbc-a608-eaa33dfc7680") + ) + (fp_line + (start -3.7 3.15) + (end -3.7 4.35) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "cffd61ed-3ef0-4148-8c83-de7cf9f134ef") + ) + (fp_line + (start 3.7 3.15) + (end 4 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "ef952597-ddea-42f5-bc40-07e5d4a1385b") + ) + (fp_line + (start 4 3.15) + (end 4 -2.25) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "1690f701-7d7f-4404-981d-f0153813733d") + ) + (fp_line + (start -4 -2.25) + (end -4 3.15) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "21f130c0-3368-4189-b327-bea3aacc711a") + ) + (fp_line + (start 4 -2.25) + (end -4 -2.25) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "df5220e6-ee78-4432-918f-3f9ecc12f4ac") + ) + (fp_line + (start -1.3 -2.55) + (end -1.525 -2.725) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "ae2b0008-a83f-4a09-9f9c-881a31dc627c") + ) + (fp_line + (start -1.525 -2.725) + (end -1.525 -2.95) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "2eb265d2-6716-4416-88db-a056754437cc") + ) + (fp_line + (start -1.075 -2.725) + (end -1.3 -2.55) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "9a5da441-2be0-4fea-8f4a-151641fbf3fe") + ) + (fp_line + (start -1.525 -2.95) + (end -1.075 -2.95) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "ae97b4a8-d173-4829-afa7-ba71f535c69d") + ) + (fp_line + (start -1.075 -2.95) + (end -1.075 -2.725) + (stroke + (width 0.15) + (type solid) + ) + (layer "F.Fab") + (uuid "06077935-9353-41ba-9a43-35f3ff2a1ed6") + ) + (fp_text user "PCB Edge" + (at 0 3.75 90) + (layer "Dwgs.User") + (uuid "a52ac1e9-cee3-404e-8caa-6d4ff4efbd28") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (fp_text user "${REFERENCE}" + (at 0 1.05 90) + (layer "F.Fab") + (uuid "bb152b6a-2ba0-4284-8d13-c7848e0f35d9") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole oval + (at -2.5 -0.8 270) + (size 0.8 0.8) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (uuid "452ffff1-b93b-4404-9a44-1b4de376087c") + ) + (pad "" np_thru_hole oval + (at 2.5 -0.8 270) + (size 0.8 0.8) + (drill 0.8) + (layers "*.Cu" "*.Mask") + (uuid "5392e8f7-ea75-4ba2-ad0b-c6e5cc335197") + ) + (pad "1" smd rect + (at -1.3 -1.9 270) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "VBUS") + (pinfunction "VBUS") + (pintype "power_out") + (uuid "6deb6a21-22bb-4b90-8143-d0cc53405f8a") + ) + (pad "2" smd rect + (at -0.65 -1.9 270) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "unconnected-(J1-D--Pad2)") + (pinfunction "D-") + (pintype "bidirectional+no_connect") + (uuid "f2053715-46be-4d01-a9c0-2bcb35b27ea4") + ) + (pad "3" smd rect + (at 0 -1.9 270) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "unconnected-(J1-D+-Pad3)") + (pinfunction "D+") + (pintype "bidirectional+no_connect") + (uuid "c4780932-8f01-4063-811a-e8d4a3de8609") + ) + (pad "4" smd rect + (at 0.65 -1.9 270) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "unconnected-(J1-ID-Pad4)") + (pinfunction "ID") + (pintype "passive+no_connect") + (uuid "2c34e7dd-0813-4b76-90e6-c6aff260f218") + ) + (pad "5" smd rect + (at 1.3 -1.9 270) + (size 0.45 1.3) + (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") + (pinfunction "GND") + (pintype "power_out") + (uuid "73226e07-e884-4154-8622-c48c2f17ce53") + ) + (pad "6" thru_hole oval + (at -3.875 1.95 270) + (size 1.15 1.8) + (drill oval 0.55 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "dbb4114a-29f8-467b-a232-ee1efa427d43") + ) + (pad "6" thru_hole oval + (at -3.725 -1.85 270) + (size 1.45 2) + (drill oval 0.85 1.4) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "235dd901-a79f-4d34-a1ed-3777f7a4e4dc") + ) + (pad "6" thru_hole oval + (at 3.725 -1.85 270) + (size 1.45 2) + (drill oval 0.85 1.4) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "be5b1f5b-1d7f-4157-83f1-979d5572e13c") + ) + (pad "6" thru_hole oval + (at 3.875 1.95 270) + (size 1.15 1.8) + (drill oval 0.55 1.2) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "Shield") + (pintype "passive") + (uuid "1a9077ae-f5e2-4ddc-9e76-e29a70f272e1") + ) + (model "${KIPRJMOD}/../lib/USB_Micro_B_Wuerth_629105150521.stp" + (offset + (xyz 0 1 1) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-123F" + (layer "F.Cu") + (uuid "77190275-7a2c-4e3e-b75d-17978755f01b") + (at 88.5 57.5125 90) + (descr "D_SOD-123F") + (tags "D_SOD-123F") + (property "Reference" "D3" + (at 3.0125 0 0) + (layer "F.SilkS") + (uuid "fd87ceb1-489d-42d5-9b9c-86e3644f5e7e") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "NRVB120VLSF" + (at 0 2.1 -90) + (layer "F.Fab") + (uuid "c0071419-bc2e-40bc-864e-02f907667ef3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123F" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "319fd875-1ab0-4d4b-8bfc-719177a42667") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.onsemi.com/pdf/datasheet/mbr120vlsft1-d.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bcd363d9-9948-4773-8380-cd8decf25e05") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "038ad441-5294-45cc-942a-2f23dcb255be") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "NRVB120VLSF" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "96775967-2909-40dd-b02a-4340a5c4e1b7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "TO-???* *_Diode_* *SingleDiode* D_*") + (path "/095f950d-cb3a-46b7-8f67-48d091ca3cf3") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -2.21 -1) + (end 1.65 -1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8e044ce7-a26f-4c58-a8f9-2cdf76149202") + ) + (fp_line + (start -2.21 -1) + (end -2.21 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f3570a8-a2e6-478d-bfae-a7a29fbdfd9a") + ) + (fp_line + (start -2.21 1) + (end 1.65 1) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c3f59515-98a8-4b5a-ac24-82876e23ebcd") + ) + (fp_line + (start 2.2 -1.15) + (end 2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a13ca83a-740b-4af2-9c55-747174ed9d69") + ) + (fp_line + (start -2.2 -1.15) + (end 2.2 -1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ee2a53d8-509f-408f-91e1-edb508da4977") + ) + (fp_line + (start -2.2 -1.15) + (end -2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f55f4224-c4f7-4806-acb1-d3649fb41030") + ) + (fp_line + (start 2.2 1.15) + (end -2.2 1.15) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5a4086d6-5d25-41e3-b857-d154b4635f01") + ) + (fp_line + (start 1.4 -0.9) + (end 1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9ee2cf09-d759-402a-8337-9d261cb1ab6d") + ) + (fp_line + (start -1.4 -0.9) + (end 1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e4934ad9-1861-497c-9068-86b7f63725a9") + ) + (fp_line + (start 0.25 -0.4) + (end 0.25 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "163f9bb2-48ed-452b-9d3b-5ae30c6233ac") + ) + (fp_line + (start 0.25 0) + (end 0.75 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cf632965-1b55-497b-91ae-0ed4aff4d099") + ) + (fp_line + (start -0.35 0) + (end -0.35 -0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "d48d7e9b-7593-498a-b64c-f912ac25cc63") + ) + (fp_line + (start -0.35 0) + (end 0.25 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bddd1b79-349d-4486-93da-13821a50a568") + ) + (fp_line + (start -0.35 0) + (end -0.35 0.55) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "136661cd-29fc-4246-a9b3-e0d3940b077a") + ) + (fp_line + (start -0.75 0) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "96295c00-3dc4-483c-bea7-1b4fa283bbf3") + ) + (fp_line + (start 0.25 0.4) + (end -0.35 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a0baf81b-a656-4575-b43c-168c7a61f7f7") + ) + (fp_line + (start 1.4 0.9) + (end -1.4 0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b36a7f3b-fff1-482b-a23b-967cbf7dc93c") + ) + (fp_line + (start -1.4 0.9) + (end -1.4 -0.9) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "98ada7a6-d323-413b-957d-bc3043c19243") + ) + (fp_text user "${REFERENCE}" + (at -2.373 -9.6825 -90) + (layer "F.Fab") + (uuid "0cb6d831-a9b5-45f2-8509-061b283cf578") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4 0 90) + (size 1.1 1.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.2272727273) + (net 2 "VDD") + (pinfunction "K") + (pintype "passive") + (uuid "f78b5326-bdfd-48ea-8908-8f1117b04a95") + ) + (pad "2" smd roundrect + (at 1.4 0 90) + (size 1.1 1.1) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.2272727273) + (net 5 "VBUS") + (pinfunction "A") + (pintype "passive") + (uuid "c9247f4d-7ed4-4e0a-8f44-aafa32eddd6f") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-123F.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Diode_SMD:D_SOD-323" + (layer "F.Cu") + (uuid "83f1c7db-0f99-4a9f-961d-8ced0ec923da") + (at 85.5 57.16 -90) + (descr "SOD-323") + (tags "SOD-323") + (property "Reference" "D2" + (at -2.41 0 180) + (layer "F.SilkS") + (uuid "bff94f32-e022-41e7-97e8-fe36692c809a") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0.1 1.9 90) + (layer "F.Fab") + (uuid "d1657480-6992-4392-9a07-018a14870f0a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a7118f05-761b-4541-bbaf-1184437f3d34") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d3b9c18e-e8ab-4e4a-9f2e-33f846608952") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7474d6b8-48b2-403c-b082-f0a50180f670") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "SD05C-7" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "56428563-1513-4fed-84dc-7435570b5398") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "D?SOD?323*") + (path "/17899dc8-f08e-482c-9df2-5f770e30f4aa") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -1.61 0.85) + (end 1.05 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "84e4509a-79a7-4c57-a678-02a8219e4a96") + ) + (fp_line + (start -1.61 -0.85) + (end -1.61 0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a1e1d862-53d7-4552-b315-0f1eea13edfd") + ) + (fp_line + (start -1.61 -0.85) + (end 1.05 -0.85) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "726122f9-c940-46a5-a691-e8c0c2234f17") + ) + (fp_line + (start -1.6 0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "83244ed2-aca4-43f8-90cf-3f838a7c3dcb") + ) + (fp_line + (start -1.6 -0.95) + (end -1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f90fd5a9-df78-4a6e-b5c5-706444212e90") + ) + (fp_line + (start -1.6 -0.95) + (end 1.6 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5bac0149-d9d8-4622-97a5-8a3fdadcfc57") + ) + (fp_line + (start 1.6 -0.95) + (end 1.6 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d9cba844-4bf5-4ffe-bba1-54b6078cc8d4") + ) + (fp_line + (start -0.9 0.7) + (end -0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a75513eb-66d1-4dfd-baf1-9ca8559f6ffb") + ) + (fp_line + (start 0.9 0.7) + (end -0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "aff23258-159a-43f6-a4a8-ce8fa444c754") + ) + (fp_line + (start 0.2 0.35) + (end -0.3 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5a9ec8c7-f2d0-4804-a02d-0b8423e5453a") + ) + (fp_line + (start -0.3 0) + (end -0.5 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b6fac809-cd43-4453-bb2b-a1fa4256d015") + ) + (fp_line + (start -0.3 0) + (end 0.2 -0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a737977e-d0b3-4526-8b46-ae69329512e3") + ) + (fp_line + (start 0.2 0) + (end 0.45 0) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e8bc3b1-3a95-45c9-8a5c-721d53eb0457") + ) + (fp_line + (start -0.3 -0.35) + (end -0.3 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a691bf8b-8791-4c7d-bf16-eb948e277889") + ) + (fp_line + (start 0.2 -0.35) + (end 0.2 0.35) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c64a0547-97b7-4676-8367-5f1ff1e5f555") + ) + (fp_line + (start -0.9 -0.7) + (end 0.9 -0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0f34fb22-8384-4159-a577-9fe7809cb9a1") + ) + (fp_line + (start 0.9 -0.7) + (end 0.9 0.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "db8acde7-39fb-480f-993b-dc3c47221513") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.85 90) + (layer "F.Fab") + (uuid "7bb60601-4a72-4d48-97a9-1b520ede134b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at -1.05 0 270) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 5 "VBUS") + (pinfunction "A1") + (pintype "passive") + (uuid "d70bf1d0-060c-4f69-b859-ffcdc0fb3461") + ) + (pad "2" smd roundrect + (at 1.05 0 270) + (size 0.6 0.45) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "GND") + (pinfunction "A2") + (pintype "passive") + (uuid "8539efdf-db1e-48e1-bc4c-db8947ab5985") + ) + (model "${KICAD8_3DMODEL_DIR}/Diode_SMD.3dshapes/D_SOD-323.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "ab172d1e-c36d-47df-9ac5-eb2c88cdea3b") + (at 105.3 57 -90) + (descr "JST PH series connector, B2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "Reference" "J3" + (at -3.25 0.55 180) + (layer "F.SilkS") + (uuid "b71ec04d-e7a1-455a-b6eb-ce2de483d009") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "OUTPUT" + (at 5.25 0.8 180) + (layer "F.SilkS") + (uuid "99aecedb-05c6-4c92-9878-61db352e1f15") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5b52ce96-e8ae-45ff-a498-754b1d4cd1c4") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d796d7c5-f886-4134-9830-923971fdb44c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dc1a92dd-c8ce-4d8c-8a8c-efe36d3306d0") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "aea5c27c-d8f7-4411-b196-f014163503a0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/9c73ed74-4fec-4c40-8bc4-81f10504b7e3") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr through_hole) + (fp_line + (start -2.06 2.91) + (end 4.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c2ed1ed5-2725-429c-8bc7-88710ea451ca") + ) + (fp_line + (start 4.06 2.91) + (end 4.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "63a0440e-09d5-4ff9-bdc0-011586e088c6") + ) + (fp_line + (start -1.45 2.3) + (end 3.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e6817914-67f6-41c0-98fc-da5f43e5ad18") + ) + (fp_line + (start 0.9 2.3) + (end 0.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "067c4f8f-9e3b-4c1b-9131-a92cda796de0") + ) + (fp_line + (start 1 2.3) + (end 1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "af3e9488-3af7-48d4-9e4a-0ad47acd3fa2") + ) + (fp_line + (start 3.45 2.3) + (end 3.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "87f6fde2-9f75-4a9f-b5a0-cb524f4ea88c") + ) + (fp_line + (start 0.9 1.8) + (end 1.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5d0e7503-564a-4413-b53b-4aecb2555492") + ) + (fp_line + (start 1.1 1.8) + (end 1.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3936f8ef-b584-4e9d-8f66-f4fdf87474e5") + ) + (fp_line + (start -2.06 0.8) + (end -1.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e4390e1d-427b-4f5e-a8c3-97626f217cd4") + ) + (fp_line + (start 4.06 0.8) + (end 3.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4294a5ba-a57c-4cae-bfef-9fa1bc8f3f7a") + ) + (fp_line + (start -2.06 -0.5) + (end -1.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d6a5b577-3bff-4008-a6b3-d445199ff4f6") + ) + (fp_line + (start 4.06 -0.5) + (end 3.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4377d24c-cd61-48ca-9260-38f9964d3e3c") + ) + (fp_line + (start -1.45 -1.2) + (end -1.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2a5aef3f-11a7-4a44-bc5f-2824270c9d3c") + ) + (fp_line + (start 0.5 -1.2) + (end -1.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2c71a5b1-308e-4a73-890e-59c128c7d69e") + ) + (fp_line + (start 1.5 -1.2) + (end 1.5 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bd0a8110-7fc9-435b-8d2a-ff6fe0092531") + ) + (fp_line + (start 3.45 -1.2) + (end 1.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8bd9469d-f42d-4837-b72a-1088605b820e") + ) + (fp_line + (start -2.06 -1.81) + (end -2.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "15295fe0-4a2f-4b18-b0bf-69de51150b35") + ) + (fp_line + (start -0.3 -1.81) + (end -0.3 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bc7a350c-842d-4129-878b-af0e71d3e3c6") + ) + (fp_line + (start 0.5 -1.81) + (end 0.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "387b617c-0639-40fe-8a27-a8d001e60f0d") + ) + (fp_line + (start 4.06 -1.81) + (end -2.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "51331610-bd36-4cc2-b679-0df8f64f94f7") + ) + (fp_line + (start -0.3 -1.91) + (end -0.6 -1.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9b6941f4-d578-451c-8181-2bf29d9d0566") + ) + (fp_line + (start -0.6 -2.01) + (end -0.6 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "73c5df4a-ee78-406a-8c32-708632ec8a76") + ) + (fp_line + (start -0.3 -2.01) + (end -0.6 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4f1ccadf-7374-4b1f-9716-130bb84f8e2a") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5f31a3cd-3810-4b74-8555-74c195e9b8c1") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3f4e607d-00a8-460b-85d4-3ee21ad07ca8") + ) + (fp_line + (start -2.45 3.3) + (end 4.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1ee4c5b6-4db7-49f1-9224-3cc2ca0c36dc") + ) + (fp_line + (start 4.45 3.3) + (end 4.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c57f5028-97b0-4dac-982a-7d205793fdef") + ) + (fp_line + (start -2.45 -2.2) + (end -2.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2f4ec4d7-1398-4f0e-8f6f-27705e382ee9") + ) + (fp_line + (start 4.45 -2.2) + (end -2.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "21b1eeae-ef0d-4ce6-99f6-a47f51de7b7b") + ) + (fp_line + (start -1.95 2.8) + (end 3.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "250134aa-354a-48ce-9deb-18e50de562cd") + ) + (fp_line + (start 3.95 2.8) + (end 3.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9b2f0968-3847-45e3-9dee-c99edfe60a31") + ) + (fp_line + (start -1.95 -1.7) + (end -1.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c4f8dd61-86b7-4f1e-b3f4-c3049d4135d3") + ) + (fp_line + (start 3.95 -1.7) + (end -1.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fd9c9b42-8a39-4a56-a25b-aabbb1106a43") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b681e982-ec88-4469-8971-1eefde3a85e4") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8820eec7-43c1-4358-b057-cf78ae4ebbc6") + ) + (fp_text user "${REFERENCE}" + (at 1 1.5 90) + (layer "F.Fab") + (uuid "6b1c32d5-49b5-45c5-8960-7bf970139134") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole roundrect + (at 0 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.208333) + (net 9 "VOUT") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "53713742-3bd7-4971-b5a6-b2e1857a47bf") + ) + (pad "2" thru_hole oval + (at 2 0 270) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "GND") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "98c8e4de-1b3c-44bf-bb47-37004d627f19") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Package_TO_SOT_SMD:SOT-23-5" + (layer "F.Cu") + (uuid "b6134b39-7609-4f5f-a6fe-1e3cbfbb50bb") + (at 95 59.5 180) + (descr "SOT, 5 Pin (https://www.jedec.org/sites/default/files/docs/Mo-178c.PDF variant AA), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOT TO_SOT_SMD") + (property "Reference" "U1" + (at -0.25 2.75 180) + (layer "F.SilkS") + (uuid "63bec2d6-dc32-437d-a020-0ea6e7a8913c") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 0 2.4 180) + (layer "F.Fab") + (uuid "ca507a47-c70e-440e-b707-9afe797ca764") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2d70a847-0d48-454a-be73-26d517676d23") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2982bcc0-abcc-4b89-9477-61dabe447408") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2f5ad4de-e030-4413-bc85-02cd456e9be1") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "MCP73831T-2ACI/OT" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b16d18fb-9c85-4f2a-a206-635e3ea589a4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "SOT?23*") + (path "/f03745c3-cabf-4fcb-8356-a383a822c00a") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start 0 1.56) + (end 0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c64b923d-b0ba-4f67-9941-d22ce9dbf957") + ) + (fp_line + (start 0 1.56) + (end -0.8 1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "53c90035-b159-403c-8618-27cae0c9f2fe") + ) + (fp_line + (start 0 -1.56) + (end 0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4d7b721b-670e-4708-98a6-b15afb6ad1b7") + ) + (fp_line + (start 0 -1.56) + (end -0.8 -1.56) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b6910038-6e9a-4ccd-b53b-ca1b43a97246") + ) + (fp_poly + (pts + (xy -1.3 -1.51) (xy -1.54 -1.84) (xy -1.06 -1.84) (xy -1.3 -1.51) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill solid) + (layer "F.SilkS") + (uuid "d2257ae1-8573-4f4c-944d-a00b210c26d9") + ) + (fp_line + (start 2.05 1.7) + (end 2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "10560eec-1860-4a58-a4a8-63cb6f0f48c0") + ) + (fp_line + (start 2.05 -1.7) + (end -2.05 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0550fd46-0063-43aa-85c8-bd871b77ed03") + ) + (fp_line + (start -2.05 1.7) + (end 2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "51565cc3-175b-44c2-9521-19e3337a1146") + ) + (fp_line + (start -2.05 -1.7) + (end -2.05 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dec1de46-911d-4dbb-919b-94b8035e1002") + ) + (fp_line + (start 0.8 1.45) + (end -0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c878ade7-31dd-43b1-9c9b-2122d5d6ce26") + ) + (fp_line + (start 0.8 -1.45) + (end 0.8 1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3fe057dc-1b39-452d-a425-945d6a4250ff") + ) + (fp_line + (start -0.4 -1.45) + (end 0.8 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2b2fcca7-61ad-4fc4-a628-e27d130fbe3e") + ) + (fp_line + (start -0.8 1.45) + (end -0.8 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "360308a2-e385-4665-afab-4e7a15bff134") + ) + (fp_line + (start -0.8 -1.05) + (end -0.4 -1.45) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3c605d48-aa2f-47c5-a684-295820a3e815") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "ae5a5ab2-d704-445d-bd71-ff9ebb0e2470") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -1.1375 -0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 10 "Net-(U1-STAT)") + (pinfunction "STAT") + (pintype "tri_state") + (uuid "5df443d5-429d-40d5-ab75-687092ef554e") + ) + (pad "2" smd roundrect + (at -1.1375 0 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 1 "GND") + (pinfunction "V_{SS}") + (pintype "power_in") + (uuid "a8315490-ce4d-4fdb-95b3-a0a58017cdda") + ) + (pad "3" smd roundrect + (at -1.1375 0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 3 "VBAT") + (pinfunction "V_{BAT}") + (pintype "power_out") + (uuid "42cc29af-d59d-48f7-95f1-8f24ab916010") + ) + (pad "4" smd roundrect + (at 1.1375 0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 2 "VDD") + (pinfunction "V_{DD}") + (pintype "power_in") + (uuid "a21d31d0-72a5-42ce-9352-28dcb8493f7b") + ) + (pad "5" smd roundrect + (at 1.1375 -0.95 180) + (size 1.325 0.6) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.25) + (net 11 "Net-(U1-PROG)") + (pinfunction "PROG") + (pintype "input") + (uuid "f3e82c55-fff3-4433-ac3a-2572146bd07b") + ) + (model "${KICAD8_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "be2f4658-518d-4de7-b2a6-d289116083f4") + (at 92.75 62.5 180) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R2" + (at 2 -1.65 180) + (layer "F.SilkS") + (uuid "a8ac0cc2-6254-49a2-8b1c-649ea8f8ec0f") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "20k" + (at 0 1.65 180) + (layer "F.Fab") + (uuid "d865c492-f38b-4bf3-ab2f-990e8fe08e64") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "112d5972-a0d3-4598-9fb6-a7e3a3489eb9") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fcdadb78-6452-4772-9d2d-cb0b1be8ec0c") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e2c1e192-2f09-4922-a9b8-0e110d8cfa72") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/308a712b-52b9-4481-b8b2-6a25ef2b8dcd") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6a573ff1-5c1f-47f8-9402-3573ef84155d") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "139bcbbc-128b-45f8-9317-6e3ea1d68370") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "15daed42-c114-44dc-87a4-e784aa647e6e") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cdd2e55d-1935-4076-b777-3edc71215bcf") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "802a3994-8142-4918-9354-c339fb3f8d15") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4db08f9a-d7a0-4307-a2dd-f9d619a3dc41") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0dd13331-3ed8-4056-9eea-34e444904b83") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3c1a3622-e0e9-41f2-ba61-7a8827076848") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4f9364f6-67f1-4e20-89d6-0ca54a08cdd5") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9e038039-88b5-4aca-8428-1df31f63a8d2") + ) + (fp_text user "${REFERENCE}" + (at 0 0 180) + (layer "F.Fab") + (uuid "a7d6d0e0-f4b9-4f0b-8803-7ca476ea2de0") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 11 "Net-(U1-PROG)") + (pintype "passive") + (uuid "543e9b4f-baf4-4266-8d27-d8cae083b471") + ) + (pad "2" smd roundrect + (at 1 0 180) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 1 "GND") + (pintype "passive") + (uuid "03951b52-b0e6-4517-ad96-8da83af1f8c5") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (layer "F.Cu") + (uuid "c861ef32-6667-49cb-a89a-cb85ca5e6ade") + (at 88.5 62.5 90) + (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "LED handsolder") + (property "Reference" "D1" + (at 0 -3.25 -90) + (layer "F.SilkS") + (uuid "8f21d3a4-c108-4220-85d3-6ae122d26a18") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "CHARGE" + (at 0 -1.8 -90) + (layer "F.SilkS") + (uuid "9687e60f-b9be-4423-ba76-871cfd97525f") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "358338c5-9edf-438d-af51-6551556c16b5") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "806d36f7-d86d-4e02-a313-d5f268a4df0e") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c6e470d0-5569-4424-b6bf-ad28799d7818") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "34d48355-57bf-4397-a6e3-fb1972a865c2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "LED* LED_SMD:* LED_THT:*") + (path "/b139f7a0-c1bc-40d9-8a56-5454725af7c3") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start 1.6 -1.135) + (end -2.46 -1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "33c03a76-39f6-47f4-b1eb-d8696a5e20ca") + ) + (fp_line + (start -2.46 -1.135) + (end -2.46 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "409d0d6f-0224-4e16-8ce5-4c3a2545ca5e") + ) + (fp_line + (start -2.46 1.135) + (end 1.6 1.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "237da3dd-2b67-4278-b00f-1c57cc5132b2") + ) + (fp_line + (start 2.45 -1.12) + (end 2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8f043494-4359-4a7b-8be3-32872ce70d02") + ) + (fp_line + (start -2.45 -1.12) + (end 2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69243402-3731-416d-ac21-b1a02e3a19d1") + ) + (fp_line + (start 2.45 1.12) + (end -2.45 1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1839cd65-6382-4b70-a938-2d89034e2590") + ) + (fp_line + (start -2.45 1.12) + (end -2.45 -1.12) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0afe7d03-8832-4908-aee3-061eb7e2cc51") + ) + (fp_line + (start 1.6 -0.8) + (end -1.2 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c4c16651-b58f-4991-a9c5-2391f5b728e2") + ) + (fp_line + (start -1.2 -0.8) + (end -1.6 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9a7cf7e9-c732-448a-851e-3223dc139918") + ) + (fp_line + (start -1.6 -0.4) + (end -1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a3071e17-b921-4af7-8f57-68f995e6a072") + ) + (fp_line + (start 1.6 0.8) + (end 1.6 -0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1a7977d2-2c5c-45c4-b417-e0e205757959") + ) + (fp_line + (start -1.6 0.8) + (end 1.6 0.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5d527f03-ff7a-4df4-a222-bb1f52cbe75d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 -90) + (layer "F.Fab") + (uuid "a85301a0-5893-430b-a5fd-63742fa4871e") + (effects + (font + (size 0.8 0.8) + (thickness 0.12) + ) + ) + ) + (pad "1" smd roundrect + (at -1.4875 0 90) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 4 "Net-(D1-K)") + (pinfunction "K") + (pintype "passive") + (uuid "df9d35b3-cccc-4d28-9668-e071530e2383") + ) + (pad "2" smd roundrect + (at 1.4875 0 90) + (size 1.425 1.75) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.175439) + (net 2 "VDD") + (pinfunction "A") + (pintype "passive") + (uuid "4255f0a3-44cf-4357-91f3-718722d7cf3b") + ) + (model "${KICAD8_3DMODEL_DIR}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (layer "F.Cu") + (uuid "cb48d4de-25d0-4b95-bff6-809c745bcd36") + (at 95.75 66.7) + (descr "JST PH series connector, B2B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator") + (tags "connector JST PH side entry") + (property "Reference" "J2" + (at 0.25 -2.9 0) + (layer "F.SilkS") + (uuid "4934b4ad-5824-46a8-9e61-d63a0483a0d1") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "BATTERY" + (at -3.25 0.3 90) + (layer "F.SilkS") + (uuid "d691e073-23b4-40d8-a649-3e66187c2910") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cddbbfd4-fb58-4e52-876a-a03799ba34d3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6877f203-c8d5-4325-87c6-85b9df9964b8") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cd733ec5-db05-4ac2-b00b-3644de075483") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8e2f8a86-d625-4dba-aca8-22a69c744793") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Connector*:*_1x??_*") + (path "/effc075d-11ba-4cc3-be0e-7e1286ca85f9") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr through_hole) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2a830788-d750-4221-80c1-89da5b4a94d5") + ) + (fp_line + (start -2.06 -1.81) + (end -2.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3da45f63-7294-464b-af0e-bfaba4873704") + ) + (fp_line + (start -2.06 -0.5) + (end -1.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "84514faf-89d4-452d-8103-0f8374b2cf16") + ) + (fp_line + (start -2.06 0.8) + (end -1.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "266d1e97-7031-41f8-a236-894e4d8cf46b") + ) + (fp_line + (start -2.06 2.91) + (end 4.06 2.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2d572133-9fde-478c-9cdf-ee08e3ec73e6") + ) + (fp_line + (start -1.45 -1.2) + (end -1.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "f7ddc2e7-461f-495c-8210-bc75cd40a562") + ) + (fp_line + (start -1.45 2.3) + (end 3.45 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c8be53a2-3a03-4bdd-810e-6459e18378d2") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7e382d78-c25b-42d4-ace1-03e1cbddead6") + ) + (fp_line + (start -0.6 -2.01) + (end -0.6 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "502ba488-52a1-4f5e-95e3-be914230c18c") + ) + (fp_line + (start -0.3 -2.01) + (end -0.6 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a820c32c-f61b-412e-89b8-a54a87053720") + ) + (fp_line + (start -0.3 -1.91) + (end -0.6 -1.91) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "25165db3-3cb8-4b80-b36d-378d3b0a7a51") + ) + (fp_line + (start -0.3 -1.81) + (end -0.3 -2.01) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6f6bfa22-54c2-49b9-8019-20ffe2a1dbd3") + ) + (fp_line + (start 0.5 -1.81) + (end 0.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4c01a4e2-3bfb-4655-abdb-a52c631ae41b") + ) + (fp_line + (start 0.5 -1.2) + (end -1.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ce9d463f-68ef-4338-b2f5-6649bdd01ec1") + ) + (fp_line + (start 0.9 1.8) + (end 1.1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8da5c6f5-5c73-4989-9c4d-98f5fced9e43") + ) + (fp_line + (start 0.9 2.3) + (end 0.9 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "55176eed-b9f4-4c05-9be5-ae8fa3401d31") + ) + (fp_line + (start 1 2.3) + (end 1 1.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ccf4e655-a029-44c6-a9eb-22044359336d") + ) + (fp_line + (start 1.1 1.8) + (end 1.1 2.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "59411ea8-70cb-4898-9066-2fc7bca61769") + ) + (fp_line + (start 1.5 -1.2) + (end 1.5 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8371ad07-00bb-4907-b0b8-76689853ac56") + ) + (fp_line + (start 3.45 -1.2) + (end 1.5 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "96d89a91-7c08-4093-9825-9821c104d0ef") + ) + (fp_line + (start 3.45 2.3) + (end 3.45 -1.2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c6d5440c-8093-4aa9-a5b1-3e82f613dcd2") + ) + (fp_line + (start 4.06 -1.81) + (end -2.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "41690fac-74a9-4a40-a8cc-af2b2278ace7") + ) + (fp_line + (start 4.06 -0.5) + (end 3.45 -0.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "90ea83e3-7715-4e46-afe2-237baa8f5826") + ) + (fp_line + (start 4.06 0.8) + (end 3.45 0.8) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "57e339c1-debd-4c26-b791-3992b152f4db") + ) + (fp_line + (start 4.06 2.91) + (end 4.06 -1.81) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "354ae543-e2f7-4f9a-900d-894443b4b3f5") + ) + (fp_line + (start -2.45 -2.2) + (end -2.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4b2837d8-3663-4f33-940d-31c2152246ac") + ) + (fp_line + (start -2.45 3.3) + (end 4.45 3.3) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b19bf50c-43d4-42ab-83a4-adb560aa5a70") + ) + (fp_line + (start 4.45 -2.2) + (end -2.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a6c47eff-46a0-4693-99eb-fd1c7e6a59d9") + ) + (fp_line + (start 4.45 3.3) + (end 4.45 -2.2) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f50f738a-e6e8-46d8-b9ff-3106e5240bd7") + ) + (fp_line + (start -2.36 -2.11) + (end -2.36 -0.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e182903-be35-4479-973f-c16c81b02b83") + ) + (fp_line + (start -1.95 -1.7) + (end -1.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ff9e3e71-8c9a-496c-93c7-d1188d3b3fd9") + ) + (fp_line + (start -1.95 2.8) + (end 3.95 2.8) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "56c1a561-4887-4a71-942c-25bfde4fbeea") + ) + (fp_line + (start -1.11 -2.11) + (end -2.36 -2.11) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e8727087-344b-455a-8df4-6e945cfbfea4") + ) + (fp_line + (start 3.95 -1.7) + (end -1.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e951cd40-39c3-4ac6-b838-288d4aef4df1") + ) + (fp_line + (start 3.95 2.8) + (end 3.95 -1.7) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e3ac1bd6-8b02-4a29-b882-8b2d0fdd31d0") + ) + (fp_text user "${REFERENCE}" + (at 1 1.5 0) + (layer "F.Fab") + (uuid "ec30e2dc-6ae8-4b74-a105-3f97c330f64b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" thru_hole roundrect + (at 0 0) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (roundrect_rratio 0.208333) + (net 1 "GND") + (pinfunction "Pin_1") + (pintype "passive") + (uuid "85bc38bc-1e6c-48a0-9065-29da8be57aea") + ) + (pad "2" thru_hole oval + (at 2 0) + (size 1.2 1.75) + (drill 0.75) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "VBAT") + (pinfunction "Pin_2") + (pintype "passive") + (uuid "667d4432-d87a-4968-8803-3de5e3c39cc2") + ) + (model "${KICAD8_3DMODEL_DIR}/Connector_JST.3dshapes/JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "MountingHole:MountingHole_3.2mm_M3" + (layer "F.Cu") + (uuid "f8ed99ea-0a80-4b6e-bd84-6c68af9da578") + (at 80 66.5) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (property "Reference" "REF**" + (at 0 -4.2 0) + (layer "F.SilkS") + (hide yes) + (uuid "52089ae3-85e9-46a6-befc-f85818f22fb0") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "MountingHole_3.2mm_M3" + (at 0 4.2 0) + (layer "F.Fab") + (uuid "cf3743c3-dd01-48e1-9f05-2b97c219a711") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a04923df-df15-41bd-b643-af50f974fa4d") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ac2a47f3-7448-4176-a546-60c6fd9b1ae6") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2d21fabd-2dbe-4bd9-88b5-2a0b9fc7da68") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_circle + (center 0 0) + (end 3.2 0) + (stroke + (width 0.15) + (type solid) + ) + (fill none) + (layer "Cmts.User") + (uuid "8717693c-2658-4f97-b657-ba2c0d361f77") + ) + (fp_circle + (center 0 0) + (end 3.45 0) + (stroke + (width 0.05) + (type solid) + ) + (fill none) + (layer "F.CrtYd") + (uuid "7439acc2-d2c2-4fb4-91f8-b3241d421714") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "28b7d170-f86a-4c1f-8b54-dbe9af647fe0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" np_thru_hole circle + (at 0 0) + (size 3.2 3.2) + (drill 3.2) + (layers "*.Cu" "*.Mask") + (uuid "94203230-7c47-43dd-ac14-6d2ecf938f60") + ) + ) + (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (layer "F.Cu") + (uuid "fd0c8535-4dff-437d-b11c-c559bca38ae6") + (at 88.5 67.25 -90) + (descr "Resistor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Reference" "R1" + (at 0 1.75 90) + (layer "F.SilkS") + (uuid "1dee1990-fc0d-430c-804b-105c2fa2a8b6") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + ) + ) + (property "Value" "390" + (at 0 1.65 90) + (layer "F.Fab") + (uuid "2c336e04-c7c3-4bce-8729-ccdb4be17ead") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b306ff28-8305-4c7f-a8a1-e10add9c25b3") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "9f7d6ce2-2f2b-4583-9432-28815af90170") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 -90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d28e1945-a55c-4016-9525-17559183904a") + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/a122bd03-db0a-4340-acef-b50b408bf3b9") + (sheetname "Root") + (sheetfile "lipo-charger.kicad_sch") + (attr smd) + (fp_line + (start -0.227064 0.735) + (end 0.227064 0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "19640aed-befc-415e-b512-71a8f0b538c8") + ) + (fp_line + (start -0.227064 -0.735) + (end 0.227064 -0.735) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "3ae4391a-7e51-4eba-9472-1a2d48126c3f") + ) + (fp_line + (start -1.85 0.95) + (end -1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bdd14569-c7b5-40eb-9cf8-5f16d51da1df") + ) + (fp_line + (start 1.85 0.95) + (end -1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "999cdf14-933b-4ac5-8c6e-07dee0905117") + ) + (fp_line + (start -1.85 -0.95) + (end 1.85 -0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "17327eac-2385-400b-bc4c-2cf5964196a8") + ) + (fp_line + (start 1.85 -0.95) + (end 1.85 0.95) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "956a6c7c-58da-4756-93c1-69a92a92c750") + ) + (fp_line + (start -1 0.625) + (end -1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "076ad351-2f17-48f7-b736-2d98c30549d1") + ) + (fp_line + (start 1 0.625) + (end -1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f106eb6a-cc74-4375-bc0d-20b5a85bbce5") + ) + (fp_line + (start -1 -0.625) + (end 1 -0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e8884f3-fb7d-4ca2-864f-d7628faaebb1") + ) + (fp_line + (start 1 -0.625) + (end 1 0.625) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4d457518-91c9-409e-aa61-0fe3eb032b71") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "1697f159-9964-46d2-9dac-1872999bf6e4") + (effects + (font + (size 0.5 0.5) + (thickness 0.08) + ) + ) + ) + (pad "1" smd roundrect + (at -1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 4 "Net-(D1-K)") + (pintype "passive") + (uuid "f2d6dfc7-abc8-4afa-9903-a7bc2c86cd17") + ) + (pad "2" smd roundrect + (at 1 0 270) + (size 1.2 1.4) + (layers "F.Cu" "F.Paste" "F.Mask") + (roundrect_rratio 0.208333) + (net 10 "Net-(U1-STAT)") + (pintype "passive") + (uuid "410acd38-2cc6-471f-97d4-78d00296f500") + ) + (model "${KICAD8_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (layer "B.Cu") + (uuid "7ae33565-418b-479f-81ee-aa983eb4670b") + (at 102 60 180) + (descr "Open Source Hardware Logo") + (tags "Logo OSHW") + (property "Reference" "REF**" + (at 0 0 180) + (layer "B.SilkS") + (hide yes) + (uuid "a98c0354-8ce8-4462-b176-282441ff17cc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "OSHW-Logo_5.7x6mm_SilkScreen" + (at 0.75 0 180) + (layer "B.Fab") + (hide yes) + (uuid "b3aa849b-f233-4caa-a970-bd147e87675f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Footprint" "Symbol:OSHW-Logo_5.7x6mm_SilkScreen" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "6b01f052-d6f9-4c6f-8df4-562d948dc417") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "69370323-cabe-4680-9cb1-df26dd829045") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "B.Fab") + (hide yes) + (uuid "73121771-81a2-49a2-b344-f86469294993") + (effects + (font + (size 1.27 1.27) + ) + (justify mirror) + ) + ) + (attr exclude_from_pos_files exclude_from_bom) + (fp_poly + (pts + (xy 1.79946 -1.45803) (xy 1.842711 -1.471245) (xy 1.870558 -1.487941) (xy 1.879629 -1.501145) (xy 1.877132 -1.516797) + (xy 1.860931 -1.541385) (xy 1.847232 -1.5588) (xy 1.818992 -1.590283) (xy 1.797775 -1.603529) (xy 1.779688 -1.602664) + (xy 1.726035 -1.58901) (xy 1.68663 -1.58963) (xy 1.654632 -1.605104) (xy 1.64389 -1.614161) (xy 1.609505 -1.646027) + (xy 1.609505 -2.062179) (xy 1.471188 -2.062179) (xy 1.471188 -1.458614) (xy 1.540347 -1.458614) + (xy 1.581869 -1.460256) (xy 1.603291 -1.466087) (xy 1.609502 -1.477461) (xy 1.609505 -1.477798) + (xy 1.612439 -1.489713) (xy 1.625704 -1.488159) (xy 1.644084 -1.479563) (xy 1.682046 -1.463568) + (xy 1.712872 -1.453945) (xy 1.752536 -1.451478) (xy 1.79946 -1.45803) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "96ba3d0f-85d3-4e80-92e6-f3dcfa6fa37f") + ) + (fp_poly + (pts + (xy 1.635255 -2.401486) (xy 1.683595 -2.411015) (xy 1.711114 -2.425125) (xy 1.740064 -2.448568) + (xy 1.698876 -2.500571) (xy 1.673482 -2.532064) (xy 1.656238 -2.547428) (xy 1.639102 -2.549776) + (xy 1.614027 -2.542217) (xy 1.602257 -2.537941) (xy 1.55427 -2.531631) (xy 1.510324 -2.545156) (xy 1.47806 -2.57571) + (xy 1.472819 -2.585452) (xy 1.467112 -2.611258) (xy 1.462706 -2.658817) (xy 1.459811 -2.724758) + (xy 1.458631 -2.80571) (xy 1.458614 -2.817226) (xy 1.458614 -3.017822) (xy 1.320297 -3.017822) (xy 1.320297 -2.401683) + (xy 1.389456 -2.401683) (xy 1.429333 -2.402725) (xy 1.450107 -2.407358) (xy 1.457789 -2.417849) + (xy 1.458614 -2.427745) (xy 1.458614 -2.453806) (xy 1.491745 -2.427745) (xy 1.529735 -2.409965) + (xy 1.58077 -2.401174) (xy 1.635255 -2.401486) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f95769dc-dc03-45aa-aa0e-2b3a9f0cb899") + ) + (fp_poly + (pts + (xy -0.993356 -2.40302) (xy -0.974539 -2.40866) (xy -0.968473 -2.421053) (xy -0.968218 -2.426647) + (xy -0.967129 -2.44223) (xy -0.959632 -2.444676) (xy -0.939381 -2.433993) (xy -0.927351 -2.426694) + (xy -0.8894 -2.411063) (xy -0.844072 -2.403334) (xy -0.796544 -2.40274) (xy -0.751995 -2.408513) + (xy -0.715602 -2.419884) (xy -0.692543 -2.436088) (xy -0.687996 -2.456355) (xy -0.690291 -2.461843) + (xy -0.70702 -2.484626) (xy -0.732963 -2.512647) (xy -0.737655 -2.517177) (xy -0.762383 -2.538005) + (xy -0.783718 -2.544735) (xy -0.813555 -2.540038) (xy -0.825508 -2.536917) (xy -0.862705 -2.529421) + (xy -0.888859 -2.532792) (xy -0.910946 -2.544681) (xy -0.931178 -2.560635) (xy -0.946079 -2.5807) + (xy -0.956434 -2.608702) (xy -0.963029 -2.648467) (xy -0.966649 -2.703823) (xy -0.968078 -2.778594) + (xy -0.968218 -2.82374) (xy -0.968218 -3.017822) (xy -1.09396 -3.017822) (xy -1.09396 -2.401683) + (xy -1.031089 -2.401683) (xy -0.993356 -2.40302) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "50b6b157-758b-4090-afa6-4e20f5dfc31b") + ) + (fp_poly + (pts + (xy 0.993367 -1.654342) (xy 0.994555 -1.746563) (xy 0.998897 -1.81661) (xy 1.007558 -1.867381) (xy 1.021704 -1.901772) + (xy 1.0425 -1.922679) (xy 1.07111 -1.933) (xy 1.106535 -1.935636) (xy 1.143636 -1.932682) (xy 1.171818 -1.921889) + (xy 1.192243 -1.90036) (xy 1.206079 -1.865199) (xy 1.214491 -1.81351) (xy 1.218643 -1.742394) (xy 1.219703 -1.654342) + (xy 1.219703 -1.458614) (xy 1.35802 -1.458614) (xy 1.35802 -2.062179) (xy 1.288862 -2.062179) (xy 1.24717 -2.060489) + (xy 1.225701 -2.054556) (xy 1.219703 -2.043293) (xy 1.216091 -2.033261) (xy 1.201714 -2.035383) + (xy 1.172736 -2.04958) (xy 1.106319 -2.07148) (xy 1.035875 -2.069928) (xy 0.968377 -2.046147) (xy 0.936233 -2.027362) + (xy 0.911715 -2.007022) (xy 0.893804 -1.981573) (xy 0.881479 -1.947458) (xy 0.873723 -1.901121) + (xy 0.869516 -1.839007) (xy 0.86784 -1.757561) (xy 0.867624 -1.694578) (xy 0.867624 -1.458614) (xy 0.993367 -1.458614) + (xy 0.993367 -1.654342) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "b31994f0-b273-48ce-abf6-8ab4c9e7b987") + ) + (fp_poly + (pts + (xy -0.754012 -1.469002) (xy -0.722717 -1.48395) (xy -0.692409 -1.505541) (xy -0.669318 -1.530391) + (xy -0.6525 -1.562087) (xy -0.641006 -1.604214) (xy -0.633891 -1.660358) (xy -0.630207 -1.734106) + (xy -0.629008 -1.829044) (xy -0.628989 -1.838985) (xy -0.628713 -2.062179) (xy -0.76703 -2.062179) + (xy -0.76703 -1.856418) (xy -0.767128 -1.780189) (xy -0.767809 -1.724939) (xy -0.769651 -1.686501) + (xy -0.773233 -1.660706) (xy -0.779132 -1.643384) (xy -0.787927 -1.630368) (xy -0.80018 -1.617507) + (xy -0.843047 -1.589873) (xy -0.889843 -1.584745) (xy -0.934424 -1.602217) (xy -0.949928 -1.615221) + (xy -0.96131 -1.627447) (xy -0.969481 -1.64054) (xy -0.974974 -1.658615) (xy -0.97832 -1.685787) + (xy -0.980051 -1.72617) (xy -0.980697 -1.783879) (xy -0.980792 -1.854132) (xy -0.980792 -2.062179) + (xy -1.119109 -2.062179) (xy -1.119109 -1.458614) (xy -1.04995 -1.458614) (xy -1.008428 -1.460256) + (xy -0.987006 -1.466087) (xy -0.980795 -1.477461) (xy -0.980792 -1.477798) (xy -0.97791 -1.488938) + (xy -0.965199 -1.487674) (xy -0.939926 -1.475434) (xy -0.882605 -1.457424) (xy -0.817037 -1.455421) + (xy -0.754012 -1.469002) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "4665328f-7fdd-483d-a227-de1eb7527b0a") + ) + (fp_poly + (pts + (xy 2.217226 -1.46388) (xy 2.29008 -1.49483) (xy 2.313027 -1.509895) (xy 2.342354 -1.533048) (xy 2.360764 -1.551253) + (xy 2.363961 -1.557183) (xy 2.354935 -1.57034) (xy 2.331837 -1.592667) (xy 2.313344 -1.60825) (xy 2.262728 -1.648926) + (xy 2.22276 -1.615295) (xy 2.191874 -1.593584) (xy 2.161759 -1.58609) (xy 2.127292 -1.58792) (xy 2.072561 -1.601528) + (xy 2.034886 -1.629772) (xy 2.011991 -1.675433) (xy 2.001597 -1.741289) (xy 2.001595 -1.741331) + (xy 2.002494 -1.814939) (xy 2.016463 -1.868946) (xy 2.044328 -1.905716) (xy 2.063325 -1.918168) + (xy 2.113776 -1.933673) (xy 2.167663 -1.933683) (xy 2.214546 -1.918638) (xy 2.225644 -1.911287) + (xy 2.253476 -1.892511) (xy 2.275236 -1.889434) (xy 2.298704 -1.903409) (xy 2.324649 -1.92851) (xy 2.365716 -1.97088) + (xy 2.320121 -2.008464) (xy 2.249674 -2.050882) (xy 2.170233 -2.071785) (xy 2.087215 -2.070272) + (xy 2.032694 -2.056411) (xy 1.96897 -2.022135) (xy 1.918005 -1.968212) (xy 1.894851 -1.930149) (xy 1.876099 -1.875536) + (xy 1.866715 -1.806369) (xy 1.866643 -1.731407) (xy 1.875824 -1.659409) (xy 1.894199 -1.599137) + (xy 1.897093 -1.592958) (xy 1.939952 -1.532351) (xy 1.997979 -1.488224) (xy 2.066591 -1.461493) + (xy 2.141201 -1.453073) (xy 2.217226 -1.46388) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "46d38a44-0b09-485d-a049-12e9a688c9c8") + ) + (fp_poly + (pts + (xy 0.610762 -1.466055) (xy 0.674363 -1.500692) (xy 0.724123 -1.555372) (xy 0.747568 -1.599842) + (xy 0.757634 -1.639121) (xy 0.764156 -1.695116) (xy 0.766951 -1.759621) (xy 0.765836 -1.824429) + (xy 0.760626 -1.881334) (xy 0.754541 -1.911727) (xy 0.734014 -1.953306) (xy 0.698463 -1.997468) + (xy 0.655619 -2.036087) (xy 0.613211 -2.061034) (xy 0.612177 -2.06143) (xy 0.559553 -2.072331) (xy 0.497188 -2.072601) + (xy 0.437924 -2.062676) (xy 0.41504 -2.054722) (xy 0.356102 -2.0213) (xy 0.31389 -1.977511) (xy 0.286156 -1.919538) + (xy 0.270651 -1.843565) (xy 0.267143 -1.803771) (xy 0.26759 -1.753766) (xy 0.402376 -1.753766) (xy 0.406917 -1.826732) + (xy 0.419986 -1.882334) (xy 0.440756 -1.917861) (xy 0.455552 -1.92802) (xy 0.493464 -1.935104) (xy 0.538527 -1.933007) + (xy 0.577487 -1.922812) (xy 0.587704 -1.917204) (xy 0.614659 -1.884538) (xy 0.632451 -1.834545) + (xy 0.640024 -1.773705) (xy 0.636325 -1.708497) (xy 0.628057 -1.669253) (xy 0.60432 -1.623805) (xy 0.566849 -1.595396) + (xy 0.52172 -1.585573) (xy 0.475011 -1.595887) (xy 0.439132 -1.621112) (xy 0.420277 -1.641925) (xy 0.409272 -1.662439) + (xy 0.404026 -1.690203) (xy 0.402449 -1.732762) (xy 0.402376 -1.753766) (xy 0.26759 -1.753766) (xy 0.268094 -1.69758) + (xy 0.285388 -1.610501) (xy 0.319029 -1.54253) (xy 0.369018 -1.493664) (xy 0.435356 -1.463899) (xy 0.449601 -1.460448) + (xy 0.53521 -1.452345) (xy 0.610762 -1.466055) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "fd704fc5-1f6b-42cb-bf0e-3202fca0c24c") + ) + (fp_poly + (pts + (xy 0.281524 -2.404237) (xy 0.331255 -2.407971) (xy 0.461291 -2.797773) (xy 0.481678 -2.728614) + (xy 0.493946 -2.685874) (xy 0.510085 -2.628115) (xy 0.527512 -2.564625) (xy 0.536726 -2.53057) (xy 0.571388 -2.401683) + (xy 0.714391 -2.401683) (xy 0.671646 -2.536857) (xy 0.650596 -2.603342) (xy 0.625167 -2.683539) + (xy 0.59861 -2.767193) (xy 0.574902 -2.841782) (xy 0.520902 -3.011535) (xy 0.462598 -3.015328) (xy 0.404295 -3.019122) + (xy 0.372679 -2.914734) (xy 0.353182 -2.849889) (xy 0.331904 -2.7784) (xy 0.313308 -2.715263) (xy 0.312574 -2.71275) + (xy 0.298684 -2.669969) (xy 0.286429 -2.640779) (xy 0.277846 -2.629741) (xy 0.276082 -2.631018) + (xy 0.269891 -2.64813) (xy 0.258128 -2.684787) (xy 0.242225 -2.736378) (xy 0.223614 -2.798294) (xy 0.213543 -2.832352) + (xy 0.159007 -3.017822) (xy 0.043264 -3.017822) (xy -0.049263 -2.725471) (xy -0.075256 -2.643462) + (xy -0.098934 -2.568987) (xy -0.11918 -2.505544) (xy -0.134874 -2.456632) (xy -0.144898 -2.425749) + (xy -0.147945 -2.416726) (xy -0.145533 -2.407487) (xy -0.126592 -2.403441) (xy -0.087177 -2.403846) + (xy -0.081007 -2.404152) (xy -0.007914 -2.407971) (xy 0.039957 -2.58401) (xy 0.057553 -2.648211) + (xy 0.073277 -2.704649) (xy 0.085746 -2.748422) (xy 0.093574 -2.77463) (xy 0.09502 -2.778903) (xy 0.101014 -2.77399) + (xy 0.113101 -2.748532) (xy 0.129893 -2.705997) (xy 0.150003 -2.64985) (xy 0.167003 -2.59913) (xy 0.231794 -2.400504) + (xy 0.281524 -2.404237) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "46cc51f5-a5c1-4cdd-80e8-eab03ee0f47f") + ) + (fp_poly + (pts + (xy -0.201188 -3.017822) (xy -0.270346 -3.017822) (xy -0.310488 -3.016645) (xy -0.331394 -3.011772) + (xy -0.338922 -3.001186) (xy -0.339505 -2.994029) (xy -0.340774 -2.979676) (xy -0.348779 -2.976923) + (xy -0.369815 -2.985771) (xy -0.386173 -2.994029) (xy -0.448977 -3.013597) (xy -0.517248 -3.014729) + (xy -0.572752 -3.000135) (xy -0.624438 -2.964877) (xy -0.663838 -2.912835) (xy -0.685413 -2.85145) + (xy -0.685962 -2.848018) (xy -0.689167 -2.810571) (xy -0.690761 -2.756813) (xy -0.690633 -2.716155) + (xy -0.553279 -2.716155) (xy -0.550097 -2.770194) (xy -0.542859 -2.814735) (xy -0.53306 -2.839888) + (xy -0.495989 -2.87426) (xy -0.451974 -2.886582) (xy -0.406584 -2.876618) (xy -0.367797 -2.846895) + (xy -0.353108 -2.826905) (xy -0.344519 -2.80305) (xy -0.340496 -2.76823) (xy -0.339505 -2.71593) + (xy -0.341278 -2.664139) (xy -0.345963 -2.618634) (xy -0.352603 -2.588181) (xy -0.35371 -2.585452) + (xy -0.380491 -2.553) (xy -0.419579 -2.535183) (xy -0.463315 -2.532306) (xy -0.504038 -2.544674) + (xy -0.534087 -2.572593) (xy -0.537204 -2.578148) (xy -0.546961 -2.612022) (xy -0.552277 -2.660728) + (xy -0.553279 -2.716155) (xy -0.690633 -2.716155) (xy -0.690568 -2.69554) (xy -0.689664 -2.662563) + (xy -0.683514 -2.580981) (xy -0.670733 -2.51973) (xy -0.649471 -2.474449) (xy -0.617878 -2.440779) + (xy -0.587207 -2.421014) (xy -0.544354 -2.40712) (xy -0.491056 -2.402354) (xy -0.43648 -2.406236) + (xy -0.389792 -2.418282) (xy -0.365124 -2.432693) (xy -0.339505 -2.455878) (xy -0.339505 -2.162773) + (xy -0.201188 -2.162773) (xy -0.201188 -3.017822) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "76bca6e0-92da-41d5-bed2-4b301598359c") + ) + (fp_poly + (pts + (xy -2.538261 -1.465148) (xy -2.472479 -1.494231) (xy -2.42254 -1.542793) (xy -2.388374 -1.610908) + (xy -2.369907 -1.698651) (xy -2.368583 -1.712351) (xy -2.367546 -1.808939) (xy -2.380993 -1.893602) + (xy -2.408108 -1.962221) (xy -2.422627 -1.984294) (xy -2.473201 -2.031011) (xy -2.537609 -2.061268) + (xy -2.609666 -2.073824) (xy -2.683185 -2.067439) (xy -2.739072 -2.047772) (xy -2.787132 -2.014629) + (xy -2.826412 -1.971175) (xy -2.827092 -1.970158) (xy -2.843044 -1.943338) (xy -2.85341 -1.916368) + (xy -2.859688 -1.882332) (xy -2.863373 -1.83431) (xy -2.864997 -1.794931) (xy -2.865672 -1.759219) + (xy -2.739955 -1.759219) (xy -2.738726 -1.79477) (xy -2.734266 -1.842094) (xy -2.726397 -1.872465) + (xy -2.712207 -1.894072) (xy -2.698917 -1.906694) (xy -2.651802 -1.933122) (xy -2.602505 -1.936653) + (xy -2.556593 -1.917639) (xy -2.533638 -1.896331) (xy -2.517096 -1.874859) (xy -2.507421 -1.854313) + (xy -2.503174 -1.827574) (xy -2.50292 -1.787523) (xy -2.504228 -1.750638) (xy -2.507043 -1.697947) + (xy -2.511505 -1.663772) (xy -2.519548 -1.64148) (xy -2.533103 -1.624442) (xy -2.543845 -1.614703) + (xy -2.588777 -1.589123) (xy -2.637249 -1.587847) (xy -2.677894 -1.602999) (xy -2.712567 -1.634642) + (xy -2.733224 -1.68662) (xy -2.739955 -1.759219) (xy -2.865672 -1.759219) (xy -2.866479 -1.716621) + (xy -2.863948 -1.658056) (xy -2.856362 -1.614007) (xy -2.842681 -1.579248) (xy -2.821865 -1.548551) + (xy -2.814147 -1.539436) (xy -2.765889 -1.494021) (xy -2.714128 -1.467493) (xy -2.650828 -1.456379) + (xy -2.619961 -1.455471) (xy -2.538261 -1.465148) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "fd828ff2-3e68-4686-a7b9-9030164bf520") + ) + (fp_poly + (pts + (xy 2.677898 -1.456457) (xy 2.710096 -1.464279) (xy 2.771825 -1.492921) (xy 2.82461 -1.536667) (xy 2.861141 -1.589117) + (xy 2.86616 -1.600893) (xy 2.873045 -1.63174) (xy 2.877864 -1.677371) (xy 2.879505 -1.723492) (xy 2.879505 -1.810693) + (xy 2.697178 -1.810693) (xy 2.621979 -1.810978) (xy 2.569003 -1.812704) (xy 2.535325 -1.817181) + (xy 2.51802 -1.82572) (xy 2.514163 -1.83963) (xy 2.520829 -1.860222) (xy 2.53277 -1.884315) (xy 2.56608 -1.924525) + (xy 2.612368 -1.944558) (xy 2.668944 -1.943905) (xy 2.733031 -1.922101) (xy 2.788417 -1.895193) + (xy 2.834375 -1.931532) (xy 2.880333 -1.967872) (xy 2.837096 -2.007819) (xy 2.779374 -2.045563) + (xy 2.708386 -2.06832) (xy 2.632029 -2.074688) (xy 2.558199 -2.063268) (xy 2.546287 -2.059393) (xy 2.481399 -2.025506) + (xy 2.43313 -1.974986) (xy 2.400465 -1.906325) (xy 2.382385 -1.818014) (xy 2.382175 -1.816121) (xy 2.380556 -1.719878) + (xy 2.3871 -1.685542) (xy 2.514852 -1.685542) (xy 2.526584 -1.690822) (xy 2.558438 -1.694867) (xy 2.605397 -1.697176) + (xy 2.635154 -1.697525) (xy 2.690648 -1.697306) (xy 2.725346 -1.695916) (xy 2.743601 -1.692251) + (xy 2.749766 -1.68521) (xy 2.748195 -1.67369) (xy 2.746878 -1.669233) (xy 2.724382 -1.627355) (xy 2.689003 -1.593604) + (xy 2.65778 -1.578773) (xy 2.616301 -1.579668) (xy 2.574269 -1.598164) (xy 2.539012 -1.628786) (xy 2.517854 -1.666062) + (xy 2.514852 -1.685542) (xy 2.3871 -1.685542) (xy 2.39669 -1.635229) (xy 2.428698 -1.564191) (xy 2.474701 -1.508779) + (xy 2.532821 -1.471009) (xy 2.60118 -1.452896) (xy 2.677898 -1.456457) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "ee05bf1e-8e57-4498-b07c-bf3f91141fc3") + ) + (fp_poly + (pts + (xy 0.014017 -1.456452) (xy 0.061634 -1.465482) (xy 0.111034 -1.48437) (xy 0.116312 -1.486777) (xy 0.153774 -1.506476) + (xy 0.179717 -1.524781) (xy 0.188103 -1.536508) (xy 0.180117 -1.555632) (xy 0.16072 -1.58385) (xy 0.15211 -1.594384) + (xy 0.116628 -1.635847) (xy 0.070885 -1.608858) (xy 0.02735 -1.590878) (xy -0.02295 -1.581267) (xy -0.071188 -1.58066) + (xy -0.108533 -1.589691) (xy -0.117495 -1.595327) (xy -0.134563 -1.621171) (xy -0.136637 -1.650941) + (xy -0.123866 -1.674197) (xy -0.116312 -1.678708) (xy -0.093675 -1.684309) (xy -0.053885 -1.690892) + (xy -0.004834 -1.697183) (xy 0.004215 -1.69817) (xy 0.082996 -1.711798) (xy 0.140136 -1.734946) + (xy 0.17803 -1.769752) (xy 0.199079 -1.818354) (xy 0.205635 -1.877718) (xy 0.196577 -1.945198) (xy 0.167164 -1.998188) + (xy 0.117278 -2.036783) (xy 0.0468 -2.061081) (xy -0.031435 -2.070667) (xy -0.095234 -2.070552) + (xy -0.146984 -2.061845) (xy -0.182327 -2.049825) (xy -0.226983 -2.02888) (xy -0.268253 -2.004574) + (xy -0.282921 -1.993876) (xy -0.320643 -1.963084) (xy -0.275148 -1.917049) (xy -0.229653 -1.871013) + (xy -0.177928 -1.905243) (xy -0.126048 -1.930952) (xy -0.070649 -1.944399) (xy -0.017395 -1.945818) + (xy 0.028049 -1.935443) (xy 0.060016 -1.913507) (xy 0.070338 -1.894998) (xy 0.068789 -1.865314) + (xy 0.04314 -1.842615) (xy -0.00654 -1.82694) (xy -0.060969 -1.819695) (xy -0.144736 -1.805873) + (xy -0.206967 -1.779796) (xy -0.248493 -1.740699) (xy -0.270147 -1.68782) (xy -0.273147 -1.625126) + (xy -0.258329 -1.559642) (xy -0.224546 -1.510144) (xy -0.171495 -1.476408) (xy -0.098874 -1.458207) + (xy -0.045072 -1.454639) (xy 0.014017 -1.456452) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "f92a14a8-f382-48ea-ab85-57fee44e6887") + ) + (fp_poly + (pts + (xy 2.032581 -2.40497) (xy 2.092685 -2.420597) (xy 2.143021 -2.452848) (xy 2.167393 -2.47694) (xy 2.207345 -2.533895) + (xy 2.230242 -2.599965) (xy 2.238108 -2.681182) (xy 2.238148 -2.687748) (xy 2.238218 -2.753763) + (xy 1.858264 -2.753763) (xy 1.866363 -2.788342) (xy 1.880987 -2.819659) (xy 1.906581 -2.852291) + (xy 1.911935 -2.8575) (xy 1.957943 -2.885694) (xy 2.01041 -2.890475) (xy 2.070803 -2.871926) (xy 2.08104 -2.866931) + (xy 2.112439 -2.851745) (xy 2.13347 -2.843094) (xy 2.137139 -2.842293) (xy 2.149948 -2.850063) (xy 2.174378 -2.869072) + (xy 2.186779 -2.87946) (xy 2.212476 -2.903321) (xy 2.220915 -2.919077) (xy 2.215058 -2.933571) (xy 2.211928 -2.937534) + (xy 2.190725 -2.954879) (xy 2.155738 -2.975959) (xy 2.131337 -2.988265) (xy 2.062072 -3.009946) + (xy 1.985388 -3.016971) (xy 1.912765 -3.008647) (xy 1.892426 -3.002686) (xy 1.829476 -2.968952) + (xy 1.782815 -2.917045) (xy 1.752173 -2.846459) (xy 1.737282 -2.756692) (xy 1.735647 -2.709753) + (xy 1.740421 -2.641413) (xy 1.86099 -2.641413) (xy 1.872652 -2.646465) (xy 1.903998 -2.650429) (xy 1.949571 -2.652768) + (xy 1.980446 -2.653169) (xy 2.035981 -2.652783) (xy 2.071033 -2.650975) (xy 2.090262 -2.646773) + (xy 2.09833 -2.639203) (xy 2.099901 -2.628218) (xy 2.089121 -2.594381) (xy 2.06198 -2.56094) (xy 2.026277 -2.535272) + (xy 1.99056 -2.524772) (xy 1.942048 -2.534086) (xy 1.900053 -2.561013) (xy 1.870936 -2.599827) (xy 1.86099 -2.641413) + (xy 1.740421 -2.641413) (xy 1.742599 -2.610236) (xy 1.764055 -2.530949) (xy 1.80047 -2.471263) (xy 1.852297 -2.430549) + (xy 1.91999 -2.408179) (xy 1.956662 -2.403871) (xy 2.032581 -2.40497) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "e29c042b-4c2e-44ee-bb40-a6a4e19e3910") + ) + (fp_poly + (pts + (xy -1.356699 -1.472614) (xy -1.344168 -1.478514) (xy -1.300799 -1.510283) (xy -1.25979 -1.556646) + (xy -1.229168 -1.607696) (xy -1.220459 -1.631166) (xy -1.212512 -1.673091) (xy -1.207774 -1.723757) + (xy -1.207199 -1.744679) (xy -1.207129 -1.810693) (xy -1.587083 -1.810693) (xy -1.578983 -1.845273) + (xy -1.559104 -1.88617) (xy -1.524347 -1.921514) (xy -1.482998 -1.944282) (xy -1.456649 -1.94901) + (xy -1.420916 -1.943273) (xy -1.378282 -1.928882) (xy -1.363799 -1.922262) (xy -1.31024 -1.895513) + (xy -1.264533 -1.930376) (xy -1.238158 -1.953955) (xy -1.224124 -1.973417) (xy -1.223414 -1.979129) + (xy -1.235951 -1.992973) (xy -1.263428 -2.014012) (xy -1.288366 -2.030425) (xy -1.355664 -2.05993) + (xy -1.43111 -2.073284) (xy -1.505888 -2.069812) (xy -1.565495 -2.051663) (xy -1.626941 -2.012784) + (xy -1.670608 -1.961595) (xy -1.697926 -1.895367) (xy -1.710322 -1.811371) (xy -1.711421 -1.772936) + (xy -1.707022 -1.684861) (xy -1.706482 -1.682299) (xy -1.580582 -1.682299) (xy -1.577115 -1.690558) + (xy -1.562863 -1.695113) (xy -1.53347 -1.697065) (xy -1.484575 -1.697517) (xy -1.465748 -1.697525) + (xy -1.408467 -1.696843) (xy -1.372141 -1.694364) (xy -1.352604 -1.689443) (xy -1.34569 -1.681434) + (xy -1.345445 -1.678862) (xy -1.353336 -1.658423) (xy -1.373085 -1.629789) (xy -1.381575 -1.619763) + (xy -1.413094 -1.591408) (xy -1.445949 -1.580259) (xy -1.463651 -1.579327) (xy -1.511539 -1.590981) + (xy -1.551699 -1.622285) (xy -1.577173 -1.667752) (xy -1.577625 -1.669233) (xy -1.580582 -1.682299) + (xy -1.706482 -1.682299) (xy -1.692392 -1.61551) (xy -1.666038 -1.560025) (xy -1.633807 -1.520639) + (xy -1.574217 -1.477931) (xy -1.504168 -1.455109) (xy -1.429661 -1.453046) (xy -1.356699 -1.472614) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "47756bd2-e3d6-42c9-8f40-aa13dd7cd9d9") + ) + (fp_poly + (pts + (xy 1.038411 -2.405417) (xy 1.091411 -2.41829) (xy 1.106731 -2.42511) (xy 1.136428 -2.442974) (xy 1.15922 -2.463093) + (xy 1.176083 -2.488962) (xy 1.187998 -2.524073) (xy 1.195942 -2.57192) (xy 1.200894 -2.635996) (xy 1.203831 -2.719794) + (xy 1.204947 -2.775768) (xy 1.209052 -3.017822) (xy 1.138932 -3.017822) (xy 1.096393 -3.016038) + (xy 1.074476 -3.009942) (xy 1.068812 -2.999706) (xy 1.065821 -2.988637) (xy 1.052451 -2.990754) + (xy 1.034233 -2.999629) (xy 0.988624 -3.013233) (xy 0.930007 -3.016899) (xy 0.868354 -3.010903) + (xy 0.813638 -2.995521) (xy 0.80873 -2.993386) (xy 0.758723 -2.958255) (xy 0.725756 -2.909419) (xy 0.710587 -2.852333) + (xy 0.711746 -2.831824) (xy 0.835508 -2.831824) (xy 0.846413 -2.859425) (xy 0.878745 -2.879204) + (xy 0.93091 -2.889819) (xy 0.958787 -2.891228) (xy 1.005247 -2.88762) (xy 1.036129 -2.873597) (xy 1.043664 -2.866931) + (xy 1.064076 -2.830666) (xy 1.068812 -2.797773) (xy 1.068812 -2.753763) (xy 1.007513 -2.753763) + (xy 0.936256 -2.757395) (xy 0.886276 -2.768818) (xy 0.854696 -2.788824) (xy 0.847626 -2.797743) + (xy 0.835508 -2.831824) (xy 0.711746 -2.831824) (xy 0.713971 -2.792456) (xy 0.736663 -2.735244) + (xy 0.767624 -2.69658) (xy 0.786376 -2.679864) (xy 0.804733 -2.668878) (xy 0.828619 -2.66218) (xy 0.863957 -2.658326) + (xy 0.916669 -2.655873) (xy 0.937577 -2.655168) (xy 1.068812 -2.650879) (xy 1.06862 -2.611158) (xy 1.063537 -2.569405) + (xy 1.045162 -2.544158) (xy 1.008039 -2.52803) (xy 1.007043 -2.527742) (xy 0.95441 -2.5214) (xy 0.902906 -2.529684) + (xy 0.86463 -2.549827) (xy 0.849272 -2.559773) (xy 0.83273 -2.558397) (xy 0.807275 -2.543987) (xy 0.792328 -2.533817) + (xy 0.763091 -2.512088) (xy 0.74498 -2.4958) (xy 0.742074 -2.491137) (xy 0.75404 -2.467005) (xy 0.789396 -2.438185) + (xy 0.804753 -2.428461) (xy 0.848901 -2.411714) (xy 0.908398 -2.402227) (xy 0.974487 -2.400095) + (xy 1.038411 -2.405417) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "2b078e87-dd60-4c26-a0b3-144f575c5a5a") + ) + (fp_poly + (pts + (xy -1.38421 -2.406555) (xy -1.325055 -2.422339) (xy -1.280023 -2.450948) (xy -1.248246 -2.488419) + (xy -1.238366 -2.504411) (xy -1.231073 -2.521163) (xy -1.225974 -2.542592) (xy -1.222679 -2.572616) + (xy -1.220797 -2.615154) (xy -1.219937 -2.674122) (xy -1.219707 -2.75344) (xy -1.219703 -2.774484) + (xy -1.219703 -3.017822) (xy -1.280059 -3.017822) (xy -1.318557 -3.015126) (xy -1.347023 -3.008295) + (xy -1.354155 -3.004083) (xy -1.373652 -2.996813) (xy -1.393566 -3.004083) (xy -1.426353 -3.01316) + (xy -1.473978 -3.016813) (xy -1.526764 -3.015228) (xy -1.575036 -3.008589) (xy -1.603218 -3.000072) + (xy -1.657753 -2.965063) (xy -1.691835 -2.916479) (xy -1.707157 -2.851882) (xy -1.707299 -2.850223) + (xy -1.705955 -2.821566) (xy -1.584356 -2.821566) (xy -1.573726 -2.854161) (xy -1.55641 -2.872505) + (xy -1.521652 -2.886379) (xy -1.475773 -2.891917) (xy -1.428988 -2.889191) (xy -1.391514 -2.878274) + (xy -1.381015 -2.871269) (xy -1.362668 -2.838904) (xy -1.35802 -2.802111) (xy -1.35802 -2.753763) + (xy -1.427582 -2.753763) (xy -1.493667 -2.75885) (xy -1.543764 -2.773263) (xy -1.574929 -2.795729) + (xy -1.584356 -2.821566) (xy -1.705955 -2.821566) (xy -1.703987 -2.779647) (xy -1.68071 -2.723845) + (xy -1.636948 -2.681647) (xy -1.630899 -2.677808) (xy -1.604907 -2.665309) (xy -1.572735 -2.65774) + (xy -1.52776 -2.654061) (xy -1.474331 -2.653216) (xy -1.35802 -2.653169) (xy -1.35802 -2.604411) + (xy -1.362953 -2.566581) (xy -1.375543 -2.541236) (xy -1.377017 -2.539887) (xy -1.405034 -2.5288) + (xy -1.447326 -2.524503) (xy -1.494064 -2.526615) (xy -1.535418 -2.534756) (xy -1.559957 -2.546965) + (xy -1.573253 -2.556746) (xy -1.587294 -2.558613) (xy -1.606671 -2.5506) (xy -1.635976 -2.530739) + (xy -1.679803 -2.497063) (xy -1.683825 -2.493909) (xy -1.681764 -2.482236) (xy -1.664568 -2.462822) + (xy -1.638433 -2.441248) (xy -1.609552 -2.423096) (xy -1.600478 -2.418809) (xy -1.56738 -2.410256) + (xy -1.51888 -2.404155) (xy -1.464695 -2.401708) (xy -1.462161 -2.401703) (xy -1.38421 -2.406555) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "50ad115b-fe91-4595-8a64-830c3fc87819") + ) + (fp_poly + (pts + (xy -1.908759 -1.469184) (xy -1.882247 -1.482282) (xy -1.849553 -1.505106) (xy -1.825725 -1.529996) + (xy -1.809406 -1.561249) (xy -1.79924 -1.603166) (xy -1.793872 -1.660044) (xy -1.791944 -1.736184) + (xy -1.791831 -1.768917) (xy -1.792161 -1.840656) (xy -1.793527 -1.891927) (xy -1.7965 -1.927404) + (xy -1.801649 -1.951763) (xy -1.809543 -1.96968) (xy -1.817757 -1.981902) (xy -1.870187 -2.033905) + (xy -1.93193 -2.065184) (xy -1.998536 -2.074592) (xy -2.065558 -2.06098) (xy -2.086792 -2.051354) + (xy -2.137624 -2.024859) (xy -2.137624 -2.440052) (xy -2.100525 -2.420868) (xy -2.051643 -2.406025) + (xy -1.991561 -2.402222) (xy -1.931564 -2.409243) (xy -1.886256 -2.425013) (xy -1.848675 -2.455047) + (xy -1.816564 -2.498024) (xy -1.81415 -2.502436) (xy -1.803967 -2.523221) (xy -1.79653 -2.54417) + (xy -1.791411 -2.569548) (xy -1.788181 -2.603618) (xy -1.786413 -2.650641) (xy -1.785677 -2.714882) + (xy -1.785544 -2.787176) (xy -1.785544 -3.017822) (xy -1.923861 -3.017822) (xy -1.923861 -2.592533) + (xy -1.962549 -2.559979) (xy -2.002738 -2.53394) (xy -2.040797 -2.529205) (xy -2.079066 -2.541389) + (xy -2.099462 -2.55332) (xy -2.114642 -2.570313) (xy -2.125438 -2.595995) (xy -2.132683 -2.633991) + (xy -2.137208 -2.687926) (xy -2.139844 -2.761425) (xy -2.140772 -2.810347) (xy -2.143911 -3.011535) + (xy -2.209926 -3.015336) (xy -2.27594 -3.019136) (xy -2.27594 -1.77065) (xy -2.137624 -1.77065) + (xy -2.134097 -1.840254) (xy -2.122215 -1.888569) (xy -2.10002 -1.918631) (xy -2.065559 -1.933471) + (xy -2.030742 -1.936436) (xy -1.991329 -1.933028) (xy -1.965171 -1.919617) (xy -1.948814 -1.901896) + (xy -1.935937 -1.882835) (xy -1.928272 -1.861601) (xy -1.924861 -1.831849) (xy -1.924749 -1.787236) + (xy -1.925897 -1.74988) (xy -1.928532 -1.693604) (xy -1.932456 -1.656658) (xy -1.939063 -1.633223) + (xy -1.949749 -1.61748) (xy -1.959833 -1.60838) (xy -2.00197 -1.588537) (xy -2.05184 -1.585332) + (xy -2.080476 -1.592168) (xy -2.108828 -1.616464) (xy -2.127609 -1.663728) (xy -2.136712 -1.733624) + (xy -2.137624 -1.77065) (xy -2.27594 -1.77065) (xy -2.27594 -1.458614) (xy -2.206782 -1.458614) + (xy -2.16526 -1.460256) (xy -2.143838 -1.466087) (xy -2.137626 -1.477461) (xy -2.137624 -1.477798) + (xy -2.134742 -1.488938) (xy -2.12203 -1.487673) (xy -2.096757 -1.475433) (xy -2.037869 -1.456707) + (xy -1.971615 -1.454739) (xy -1.908759 -1.469184) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "5e6f5c71-5505-42d1-921a-80ec09bb7a6f") + ) + (fp_poly + (pts + (xy 0.376964 2.709982) (xy 0.433812 2.40843) (xy 0.853338 2.235488) (xy 1.104984 2.406605) (xy 1.175458 2.45425) + (xy 1.239163 2.49679) (xy 1.293126 2.532285) (xy 1.334373 2.55879) (xy 1.359934 2.574364) (xy 1.366895 2.577722) + (xy 1.379435 2.569086) (xy 1.406231 2.545208) (xy 1.44428 2.509141) (xy 1.490579 2.463933) (xy 1.542123 2.412636) + (xy 1.595909 2.358299) (xy 1.648935 2.303972) (xy 1.698195 2.252705) (xy 1.740687 2.207549) (xy 1.773407 2.171554) + (xy 1.793351 2.14777) (xy 1.798119 2.13981) (xy 1.791257 2.125135) (xy 1.77202 2.092986) (xy 1.74243 2.046508) + (xy 1.70451 1.988844) (xy 1.660282 1.92314) (xy 1.634654 1.885664) (xy 1.587941 1.817232) (xy 1.546432 1.75548) + (xy 1.51214 1.703481) (xy 1.48708 1.664308) (xy 1.473264 1.641035) (xy 1.471188 1.636145) (xy 1.475895 1.622245) + (xy 1.488723 1.58985) (xy 1.507738 1.543515) (xy 1.531003 1.487794) (xy 1.556584 1.427242) (xy 1.582545 1.366414) + (xy 1.60695 1.309864) (xy 1.627863 1.262148) (xy 1.643349 1.227819) (xy 1.651472 1.211432) (xy 1.651952 1.210788) + (xy 1.664707 1.207659) (xy 1.698677 1.200679) (xy 1.75034 1.190533) (xy 1.816176 1.177908) (xy 1.892664 1.163491) + (xy 1.93729 1.155177) (xy 2.019021 1.139616) (xy 2.092843 1.124808) (xy 2.155021 1.111564) (xy 2.201822 1.100695) + (xy 2.229509 1.093011) (xy 2.235074 1.090573) (xy 2.240526 1.07407) (xy 2.244924 1.0368) (xy 2.248272 0.98312) + (xy 2.250574 0.917388) (xy 2.251832 0.843963) (xy 2.252048 0.767204) (xy 2.251227 0.691468) (xy 2.249371 0.621114) + (xy 2.246482 0.5605) (xy 2.242565 0.513984) (xy 2.237622 0.485925) (xy 2.234657 0.480084) (xy 2.216934 0.473083) + (xy 2.179381 0.463073) (xy 2.126964 0.451231) (xy 2.064652 0.438733) (xy 2.0429 0.43469) (xy 1.938024 0.41548) + (xy 1.85518 0.400009) (xy 1.79163 0.387663) (xy 1.744637 0.377827) (xy 1.711463 0.369886) (xy 1.689371 0.363224) + (xy 1.675624 0.357227) (xy 1.667484 0.351281) (xy 1.666345 0.350106) (xy 1.654977 0.331174) (xy 1.637635 0.294331) + (xy 1.61605 0.244087) (xy 1.591954 0.184954) (xy 1.567079 0.121444) (xy 1.543157 0.058068) (xy 1.521919 -0.000662) + (xy 1.505097 -0.050235) (xy 1.494422 -0.086139) (xy 1.491627 -0.103862) (xy 1.49186 -0.104483) (xy 1.501331 -0.11897) + (xy 1.522818 -0.150844) (xy 1.554063 -0.196789) (xy 1.592807 -0.253485) (xy 1.636793 -0.317617) + (xy 1.649319 -0.335842) (xy 1.693984 -0.401914) (xy 1.733288 -0.4622) (xy 1.765088 -0.513235) (xy 1.787245 -0.55156) + (xy 1.797617 -0.573711) (xy 1.798119 -0.576432) (xy 1.789405 -0.590736) (xy 1.765325 -0.619072) + (xy 1.728976 -0.658396) (xy 1.683453 -0.705661) (xy 1.631852 -0.757823) (xy 1.577267 -0.811835) + (xy 1.522794 -0.864653) (xy 1.471529 -0.913231) (xy 1.426567 -0.954523) (xy 1.391004 -0.985485) + (xy 1.367935 -1.00307) (xy 1.361554 -1.005941) (xy 1.346699 -0.999178) (xy 1.316286 -0.980939) (xy 1.275268 -0.954297) + (xy 1.243709 -0.932852) (xy 1.186525 -0.893503) (xy 1.118806 -0.847171) (xy 1.05088 -0.800913) (xy 1.014361 -0.776155) + (xy 0.890752 -0.692547) (xy 0.786991 -0.74865) (xy 0.73972 -0.773228) (xy 0.699523 -0.792331) (xy 0.672326 -0.803227) + (xy 0.665402 -0.804743) (xy 0.657077 -0.793549) (xy 0.640654 -0.761917) (xy 0.617357 -0.712765) + (xy 0.588414 -0.64901) (xy 0.55505 -0.573571) (xy 0.518491 -0.489364) (xy 0.479964 -0.399308) (xy 0.440694 -0.306321) + (xy 0.401908 -0.21332) (xy 0.36483 -0.123223) (xy 0.330689 -0.038948) (xy 0.300708 0.036587) (xy 0.276116 0.100466) + (xy 0.258136 0.149769) (xy 0.247997 0.181579) (xy 0.246366 0.192504) (xy 0.259291 0.206439) (xy 0.287589 0.22906) + (xy 0.325346 0.255667) (xy 0.328515 0.257772) (xy 0.4261 0.335886) (xy 0.504786 0.427018) (xy 0.563891 0.528255) + (xy 0.602732 0.636682) (xy 0.620628 0.749386) (xy 0.616897 0.863452) (xy 0.590857 0.975966) (xy 0.541825 1.084015) + (xy 0.5274 1.107655) (xy 0.452369 1.203113) (xy 0.36373 1.279768) (xy 0.264549 1.33722) (xy 0.157895 1.375071) + (xy 0.046836 1.392922) (xy -0.065561 1.390375) (xy -0.176227 1.36703) (xy -0.282094 1.32249) (xy -0.380095 1.256355) + (xy -0.41041 1.229513) (xy -0.487562 1.145488) (xy -0.543782 1.057034) (xy -0.582347 0.957885) (xy -0.603826 0.859697) + (xy -0.609128 0.749303) (xy -0.591448 0.63836) (xy -0.552581 0.530619) (xy -0.494323 0.429831) (xy -0.418469 0.339744) + (xy -0.326817 0.264108) (xy -0.314772 0.256136) (xy -0.276611 0.230026) (xy -0.247601 0.207405) + (xy -0.233732 0.192961) (xy -0.233531 0.192504) (xy -0.236508 0.176879) (xy -0.248311 0.141418) + (xy -0.267714 0.089038) (xy -0.293488 0.022655) (xy -0.324409 -0.054814) (xy -0.359249 -0.14045) + (xy -0.396783 -0.231337) (xy -0.435783 -0.324559) (xy -0.475023 -0.417197) (xy -0.513276 -0.506335) + (xy -0.549317 -0.589055) (xy -0.581917 -0.662441) (xy -0.609852 -0.723575) (xy -0.631895 -0.769541) + (xy -0.646818 -0.797421) (xy -0.652828 -0.804743) (xy -0.671191 -0.799041) (xy -0.705552 -0.783749) + (xy -0.749984 -0.761599) (xy -0.774417 -0.74865) (xy -0.878178 -0.692547) (xy -1.001787 -0.776155) + (xy -1.064886 -0.818987) (xy -1.13397 -0.866122) (xy -1.198707 -0.910503) (xy -1.231134 -0.932852) + (xy -1.276741 -0.963477) (xy -1.31536 -0.987747) (xy -1.341952 -1.002587) (xy -1.35059 -1.005724) + (xy -1.363161 -0.997261) (xy -1.390984 -0.973636) (xy -1.431361 -0.937302) (xy -1.481595 -0.890711) + (xy -1.538988 -0.836317) (xy -1.575286 -0.801392) (xy -1.63879 -0.738996) (xy -1.693673 -0.683188) + (xy -1.737714 -0.636354) (xy -1.768695 -0.600882) (xy -1.784398 -0.579161) (xy -1.785905 -0.574752) + (xy -1.778914 -0.557985) (xy -1.759594 -0.524082) (xy -1.730091 -0.476476) (xy -1.692545 -0.418599) + (xy -1.6491 -0.353884) (xy -1.636745 -0.335842) (xy -1.591727 -0.270267) (xy -1.55134 -0.211228) + (xy -1.51784 -0.162042) (xy -1.493486 -0.126028) (xy -1.480536 -0.106502) (xy -1.479285 -0.104483) + (xy -1.481156 -0.088922) (xy -1.491087 -0.054709) (xy -1.507347 -0.006355) (xy -1.528205 0.051629) + (xy -1.551927 0.11473) (xy -1.576784 0.178437) (xy -1.601042 0.238239) (xy -1.622971 0.289624) (xy -1.640838 0.328081) + (xy -1.652913 0.349098) (xy -1.653771 0.350106) (xy -1.661154 0.356112) (xy -1.673625 0.362052) + (xy -1.69392 0.36854) (xy -1.724778 0.376191) (xy -1.768934 0.38562) (xy -1.829126 0.397441) (xy -1.908093 0.412271) + (xy -2.00857 0.430723) (xy -2.030325 0.43469) (xy -2.094802 0.447147) (xy -2.151011 0.459334) (xy -2.193987 0.470074) + (xy -2.21876 0.478191) (xy -2.222082 0.480084) (xy -2.227556 0.496862) (xy -2.232006 0.534355) (xy -2.235428 0.588206) + (xy -2.237819 0.654056) (xy -2.239177 0.727547) (xy -2.239499 0.80432) (xy -2.238781 0.880017) (xy -2.237021 0.95028) + (xy -2.234216 1.01075) (xy -2.230362 1.05707) (xy -2.225457 1.084881) (xy -2.2225 1.090573) (xy -2.206037 1.096314) + (xy -2.168551 1.105655) (xy -2.113775 1.117785) (xy -2.045445 1.131893) (xy -1.967294 1.14717) (xy -1.924716 1.155177) + (xy -1.843929 1.170279) (xy -1.771887 1.18396) (xy -1.712111 1.195533) (xy -1.668121 1.204313) (xy -1.643439 1.209613) + (xy -1.639377 1.210788) (xy -1.632511 1.224035) (xy -1.617998 1.255943) (xy -1.597771 1.301953) + (xy -1.573766 1.357508) (xy -1.547918 1.418047) (xy -1.52216 1.479014) (xy -1.498427 1.535849) (xy -1.478654 1.583994) + (xy -1.464776 1.61889) (xy -1.458726 1.635979) (xy -1.458614 1.636726) (xy -1.465472 1.650207) (xy -1.484698 1.68123) + (xy -1.514272 1.726711) (xy -1.552173 1.783568) (xy -1.59638 1.848717) (xy -1.622079 1.886138) (xy -1.668907 1.954753) + (xy -1.710499 2.017048) (xy -1.744825 2.069871) (xy -1.769857 2.110073) (xy -1.783565 2.1345) (xy -1.785544 2.139976) + (xy -1.777034 2.152722) (xy -1.753507 2.179937) (xy -1.717968 2.218572) (xy -1.673423 2.265577) + (xy -1.622877 2.317905) (xy -1.569336 2.372505) (xy -1.515805 2.42633) (xy -1.465289 2.47633) (xy -1.420794 2.519457) + (xy -1.385325 2.552661) (xy -1.361887 2.572894) (xy -1.354046 2.577722) (xy -1.34128 2.570933) (xy -1.310744 2.551858) + (xy -1.26541 2.522439) (xy -1.208244 2.484619) (xy -1.142216 2.440339) (xy -1.09241 2.406605) (xy -0.840764 2.235488) + (xy -0.631001 2.321959) (xy -0.421237 2.40843) (xy -0.364389 2.709982) (xy -0.30754 3.011534) (xy 0.320115 3.011534) + (xy 0.376964 2.709982) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill solid) + (layer "B.SilkS") + (uuid "c24a98fd-0edb-4754-95ef-908b7ad13c03") + ) + ) + (gr_rect + (start 76.5 45.5) + (end 107.5 70) + (stroke + (width 0.05) + (type default) + ) + (fill none) + (layer "Edge.Cuts") + (uuid "68051a08-c36d-4111-957c-47e8958cddf7") + ) + (gr_text "lipo charger/supply" + (at 99.5 60 0) + (layer "B.SilkS") + (uuid "3760e498-5e27-47ec-a602-14b2f8070f98") + (effects + (font + (size 1.1 1.1) + (thickness 0.2) + (bold yes) + ) + (justify left bottom mirror) + ) + ) + (gr_text "github.com/tvannoy/laser-photogate" + (at 106 69.5 0) + (layer "B.SilkS") + (uuid "3797c159-c443-4a9f-8854-edf3d209eaff") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom mirror) + ) + ) + (gr_text "License: CERN-OHL-S-2.0" + (at 102.5 65.25 0) + (layer "B.SilkS") + (uuid "e50ea97a-54cb-4854-a4a2-6efd1d28ed90") + (effects + (font + (size 1 1) + (thickness 0.153) + ) + (justify left bottom mirror) + ) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "68cb783c-73ee-4431-8dcd-ffec9c4b0d60") + (pts + (xy 107.5 45.5) (xy 76.5 45.5) + ) + (height -37.5) + (gr_text "31.0000 mm" + (at 92 81.85 0) + (layer "Dwgs.User") + (uuid "68cb783c-73ee-4431-8dcd-ffec9c4b0d60") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "a0565776-f1d5-4d15-9a35-770a154ea41c") + (pts + (xy 80 66.5) (xy 104 66.5) + ) + (height 12.75) + (gr_text "24.0000 mm" + (at 92 78.1 0) + (layer "Dwgs.User") + (uuid "a0565776-f1d5-4d15-9a35-770a154ea41c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "b9a66c02-d884-4dde-a881-da7911cba2d3") + (pts + (xy 107.5 45.5) (xy 107.5 70) + ) + (height -10.75) + (gr_text "24.5000 mm" + (at 117.1 57.75 90) + (layer "Dwgs.User") + (uuid "b9a66c02-d884-4dde-a881-da7911cba2d3") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (dimension + (type aligned) + (layer "Dwgs.User") + (uuid "ba7973e4-5267-4caa-8999-26ba06991921") + (pts + (xy 104 49) (xy 104 66.5) + ) + (height -11.5) + (gr_text "17.5000 mm" + (at 114.35 57.75 90) + (layer "Dwgs.User") + (uuid "ba7973e4-5267-4caa-8999-26ba06991921") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (format + (prefix "") + (suffix "") + (units 3) + (units_format 1) + (precision 4) + ) + (style + (thickness 0.1) + (arrow_length 1.27) + (text_position_mode 0) + (extension_height 0.58642) + (extension_offset 0.5) keep_text_aligned) + ) + (segment + (start 85.5 58.21) + (end 85.5 57.25) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "244fa4a6-d152-4cf5-896e-092da8390ffa") + ) + (segment + (start 81.175 58.925) + (end 81 58.75) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "285427d0-228d-4823-9d35-225c51a9cf02") + ) + (segment + (start 82.25 58.925) + (end 81.175 58.925) + (width 0.2) + (layer "F.Cu") + (net 1) + (uuid "df0a4c0c-bfa2-46ce-a7ea-12cb38057c3e") + ) + (via + (at 85.5 57.25) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "06d4bb77-8535-4e72-b883-68fa988911a3") + ) + (via + (at 98.5 63.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "4d6bb7b5-ac05-4014-8535-e4798d4d970f") + ) + (via + (at 98.5 61.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "5ffc329b-9342-43fa-954d-a6271134b17d") + ) + (via + (at 90.5 63) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "60c3bc43-4fd8-4987-896f-806caeec49ca") + ) + (via + (at 95.25 63) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "7e51a438-a750-4252-b520-30750651b762") + ) + (via + (at 97.25 63.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "8933860c-3f26-428c-b909-71bc932ccab9") + ) + (via + (at 98.5 62.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "9390361a-8c34-4129-9cc1-532857519cf5") + ) + (via + (at 90.5 62) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "aa8f0c97-65f7-4767-918e-1bb8c829ae84") + ) + (via + (at 97.25 62.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "ae85b18a-1f5b-4ad6-b39a-21381af03169") + ) + (via + (at 95.25 62) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "d3e4154c-9253-4f7f-8f65-80bed38bd179") + ) + (via + (at 81 58.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (net 1) + (uuid "ea778a86-7d04-4fc7-ab02-4868ad030699") + ) + (via + (at 97.25 61.5) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 1) + (uuid "ec7b915a-d4c6-4b32-977d-f29f89e17917") + ) + (segment + (start 88.5 61.0125) + (end 88.5 58.9125) + (width 0.5) + (layer "F.Cu") + (net 2) + (uuid "05dbec46-972b-4a47-8e7b-8d8600dcf61f") + ) + (via + (at 91.5 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "24138366-3399-4b11-940a-86fcbea00503") + ) + (via + (at 90.25 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "5e1a9524-da59-4868-8b7b-a1267911fe4d") + ) + (via + (at 91.5 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "80118890-b5d4-49c4-918f-2e550713095c") + ) + (via + (at 94 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "92eda7eb-c3da-46d3-886f-e08d22387848") + ) + (via + (at 90.25 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "a92f031b-0317-485a-a881-101086120bef") + ) + (via + (at 92.75 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "d67939de-281a-49ad-ac3f-31ada75d3194") + ) + (via + (at 94 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "eb14f13f-fe5e-4781-968a-7633f12eaa6a") + ) + (via + (at 92.75 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 2) + (uuid "ee56b670-b1c2-41c1-8f83-1ecc7be1c49a") + ) + (segment + (start 95.9575 55.4575) + (end 96.25 55.75) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "01892c52-46c2-4cae-9a46-194ff2dd1298") + ) + (segment + (start 95.9575 51.1) + (end 95.9575 55.4575) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "50f20d03-a92a-4fbf-9595-c1b0a3df4238") + ) + (segment + (start 98.5 58.2125) + (end 99.7125 58.2125) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "b6bbf694-8a4a-47dd-928b-2be720e1bcb7") + ) + (segment + (start 100.25 63.7) + (end 97.75 66.2) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "ef1c3889-b30d-4f0f-a417-11c6dcc108fd") + ) + (segment + (start 99.7125 58.2125) + (end 100.25 58.75) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "fb0f51bf-2ae1-4494-9767-e6478072aa5e") + ) + (segment + (start 100.25 58.75) + (end 100.25 63.7) + (width 0.5) + (layer "F.Cu") + (net 3) + (uuid "fe1735f4-882b-4e0a-86d3-3fd3930221b8") + ) + (via + (at 100 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "1217aa81-276d-42b3-bbf4-8d958365d90e") + ) + (via + (at 98.75 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "1687368b-1f38-42d8-8ec1-26f53f0a80a3") + ) + (via + (at 100 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "3d595dc6-496a-4ef6-be5c-9626606397cf") + ) + (via + (at 97.5 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "51ca33e1-6944-4fd0-aaa2-97ac1396de9c") + ) + (via + (at 96.25 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "8a2f0b30-2f3a-41be-836f-62564a0d752d") + ) + (via + (at 98.75 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "8e3c19c0-2c79-4016-8fb2-2e93024d2d56") + ) + (via + (at 96.25 56.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "c0c9e719-992a-4f48-b610-457655af32a9") + ) + (via + (at 97.5 55.75) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (free yes) + (net 3) + (uuid "cc0fd88d-b398-452d-be61-fdb95e71390e") + ) + (segment + (start 88.5 66.25) + (end 88.5 63.9875) + (width 0.2) + (layer "F.Cu") + (net 4) + (uuid "aad185c7-c2e6-4ed3-9f8c-27b4f864a3eb") + ) + (segment + (start 88.4975 56.11) + (end 88.5 56.1125) + (width 0.5) + (layer "F.Cu") + (net 5) + (uuid "105ba995-9466-48ee-ba9c-57085f33f6e2") + ) + (segment + (start 85.5 56.11) + (end 88.4975 56.11) + (width 0.5) + (layer "F.Cu") + (net 5) + (uuid "5fb1ded7-c868-4b9b-b793-9c29c0540f99") + ) + (segment + (start 82.565 56.11) + (end 82.35 56.325) + (width 0.5) + (layer "F.Cu") + (net 5) + (uuid "66480166-72d7-45e0-91a1-afc6b29d6a7c") + ) + (segment + (start 85.5 56.11) + (end 82.565 56.11) + (width 0.5) + (layer "F.Cu") + (net 5) + (uuid "74a93f4c-5aa8-4fc8-a85a-0ea334421d5c") + ) + (segment + (start 91.9575 50.6425) + (end 94.14 48.46) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "2518ec6b-b8cd-43ff-a943-e1874f335538") + ) + (segment + (start 94.14 48.46) + (end 97.12 48.46) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "54119b49-4613-4c85-bdf8-a0a8569fb49f") + ) + (segment + (start 91.9575 51.1) + (end 91.9575 50.6425) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "5da109f5-04d9-4306-8d35-2506dca8a006") + ) + (segment + (start 97.12 48.46) + (end 100.1 51.44) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "8433a52e-05f3-41fa-be23-ada5fa6935a1") + ) + (segment + (start 104.05 57) + (end 105.3 57) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "8fe8cc02-ce13-462b-9867-da90afc17107") + ) + (segment + (start 100.1 51.44) + (end 100.1 53.05) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "ce4e96a2-4f8a-4279-93cd-12f158e3f027") + ) + (segment + (start 100.1 53.05) + (end 104.05 57) + (width 0.5) + (layer "F.Cu") + (net 9) + (uuid "fe17f01f-7712-48a8-bea5-2249f135a305") + ) + (segment + (start 89.25 68.25) + (end 88.5 68.25) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "058e681a-b34b-4827-9a1e-899cf1186cbb") + ) + (segment + (start 96.1375 64.1125) + (end 96 64.25) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "145bfa0d-46a2-4ecd-95f1-b291757b9de6") + ) + (segment + (start 96.1375 60.45) + (end 96.1375 64.1125) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "9839de8e-fa6f-493b-83cb-872ffffd85ab") + ) + (segment + (start 96 64.25) + (end 93.25 64.25) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "c7a276e2-2b7d-4067-ba1b-aa0f24050298") + ) + (segment + (start 93.25 64.25) + (end 89.25 68.25) + (width 0.2) + (layer "F.Cu") + (net 10) + (uuid "fd78dd39-209e-43d7-a4ff-23f9600c248a") + ) + (segment + (start 93.75 62.25) + (end 93.8625 62.1375) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "3ff2fa88-2823-448c-9f7a-6cf5d46cec95") + ) + (segment + (start 93.75 62.5) + (end 93.75 62.25) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "43ae9fd8-7382-432c-aaa2-807454028a82") + ) + (segment + (start 93.8625 62.1375) + (end 93.8625 60.45) + (width 0.2) + (layer "F.Cu") + (net 11) + (uuid "68fb1a80-d071-48d7-8e41-51f9954bec88") + ) + (zone + (net 3) + (net_name "VBAT") + (layer "F.Cu") + (uuid "047e5ee3-9f66-4b4e-babc-6f9ff68a8934") + (name "VBAT") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.3) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 95.25 59) (xy 95.25 55.25) (xy 100.75 55.25) (xy 100.75 58.6) (xy 99.5 58.6) (xy 99.5 59) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 100.265677 55.269685) (xy 100.286319 55.286319) (xy 100.713681 55.713681) (xy 100.747166 55.775004) + (xy 100.75 55.801362) (xy 100.75 58.048638) (xy 100.730315 58.115677) (xy 100.713681 58.136319) + (xy 100.286319 58.563681) (xy 100.224996 58.597166) (xy 100.198638 58.6) (xy 99.7 58.6) (xy 99.699999 58.6) + (xy 99.696452 58.60147) (xy 99.626982 58.608938) (xy 99.564503 58.577662) (xy 99.528851 58.517573) + (xy 99.525 58.486908) (xy 99.525 58.4625) (xy 97.471409 58.4625) (xy 97.417996 58.491666) (xy 97.391638 58.4945) + (xy 97.223999 58.4945) (xy 97.15696 58.474815) (xy 97.111205 58.422011) (xy 97.099999 58.3705) (xy 97.099999 58.345803) + (xy 97.097148 58.315393) (xy 97.052346 58.187354) (xy 96.971792 58.078207) (xy 96.862645 57.997653) + (xy 96.762181 57.9625) (xy 97.475 57.9625) (xy 98.25 57.9625) (xy 98.25 57.325) (xy 98.75 57.325) + (xy 98.75 57.9625) (xy 99.525 57.9625) (xy 99.525 57.831946) (xy 99.514386 57.743556) (xy 99.45892 57.602904) + (xy 99.367564 57.482435) (xy 99.247095 57.391079) (xy 99.106443 57.335613) (xy 99.018054 57.325) + (xy 98.75 57.325) (xy 98.25 57.325) (xy 97.981946 57.325) (xy 97.893556 57.335613) (xy 97.752904 57.391079) + (xy 97.632435 57.482435) (xy 97.541079 57.602904) (xy 97.485613 57.743556) (xy 97.475 57.831946) + (xy 97.475 57.9625) (xy 96.762181 57.9625) (xy 96.734602 57.95285) (xy 96.704207 57.95) (xy 96.3875 57.95) + (xy 96.3875 58.5755) (xy 96.367815 58.642539) (xy 96.315011 58.688294) (xy 96.2635 58.6995) (xy 96.0115 58.6995) + (xy 95.944461 58.679815) (xy 95.898706 58.627011) (xy 95.8875 58.5755) (xy 95.8875 57.95) (xy 95.570804 57.95) + (xy 95.540393 57.952851) (xy 95.414954 57.996744) (xy 95.345175 58.000305) (xy 95.284548 57.965576) + (xy 95.252321 57.903582) (xy 95.25 57.879702) (xy 95.25 55.801362) (xy 95.269685 55.734323) (xy 95.286319 55.713681) + (xy 95.713681 55.286319) (xy 95.775004 55.252834) (xy 95.801362 55.25) (xy 100.198638 55.25) + ) + ) + ) + (zone + (net 2) + (net_name "VDD") + (layer "F.Cu") + (uuid "b4005ecb-4fa9-4dcd-b001-22d9da951eca") + (name "VDD") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.3) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 94.75 59) (xy 94.75 55.25) (xy 87.5 55.25) (xy 87.5 59.75) (xy 90 59.75) (xy 90 59) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 94.265677 55.269685) (xy 94.286319 55.286319) (xy 94.713681 55.713681) (xy 94.747166 55.775004) + (xy 94.75 55.801362) (xy 94.75 57.879702) (xy 94.730315 57.946741) (xy 94.677511 57.992496) (xy 94.608353 58.00244) + (xy 94.585046 57.996744) (xy 94.459602 57.95285) (xy 94.429207 57.95) (xy 94.1125 57.95) (xy 94.1125 58.470999) + (xy 94.092815 58.538038) (xy 94.040011 58.583793) (xy 93.9885 58.594999) (xy 93.7365 58.594999) + (xy 93.669461 58.575314) (xy 93.623706 58.52251) (xy 93.6125 58.470999) (xy 93.6125 57.95) (xy 93.295804 57.95) + (xy 93.265393 57.952851) (xy 93.137354 57.997653) (xy 93.028207 58.078207) (xy 92.99877 58.118094) + (xy 92.943122 58.160345) (xy 92.873466 58.165802) (xy 92.811916 58.132735) (xy 92.778016 58.071641) + (xy 92.775 58.04446) (xy 92.775 57.794446) (xy 92.764386 57.706056) (xy 92.70892 57.565404) (xy 92.617564 57.444935) + (xy 92.497095 57.353579) (xy 92.356443 57.298113) (xy 92.268054 57.2875) (xy 92 57.2875) (xy 92 58.301) + (xy 91.980315 58.368039) (xy 91.927511 58.413794) (xy 91.876 58.425) (xy 90.725 58.425) (xy 90.691819 58.458181) + (xy 90.630496 58.491666) (xy 90.604138 58.4945) (xy 90.55136 58.4945) (xy 90.497311 58.497397) (xy 90.49731 58.497397) + (xy 90.470977 58.500229) (xy 90.47095 58.500232) (xy 90.417554 58.508885) (xy 90.417552 58.508885) + (xy 90.282747 58.559166) (xy 90.221422 58.592651) (xy 90.10624 58.678876) (xy 90.106228 58.678886) + (xy 89.678892 59.106223) (xy 89.678855 59.106262) (xy 89.642728 59.14648) (xy 89.642718 59.146492) + (xy 89.626076 59.167143) (xy 89.594433 59.211025) (xy 89.594431 59.211029) (xy 89.586793 59.227754) + (xy 89.541037 59.280557) (xy 89.473997 59.30024) (xy 89.406958 59.280554) (xy 89.361205 59.227749) + (xy 89.35 59.17624) (xy 89.35 59.1625) (xy 88.374 59.1625) (xy 88.306961 59.142815) (xy 88.261206 59.090011) + (xy 88.25 59.0385) (xy 88.25 58.0625) (xy 88.75 58.0625) (xy 88.75 58.6625) (xy 89.35 58.6625) (xy 89.35 58.569446) + (xy 89.339386 58.481056) (xy 89.28392 58.340404) (xy 89.192564 58.219935) (xy 89.072095 58.128579) + (xy 88.931443 58.073113) (xy 88.843054 58.0625) (xy 88.75 58.0625) (xy 88.25 58.0625) (xy 88.156946 58.0625) + (xy 88.068556 58.073113) (xy 87.927904 58.128579) (xy 87.807435 58.219935) (xy 87.722804 58.331537) + (xy 87.666611 58.37306) (xy 87.59689 58.377611) (xy 87.535776 58.343746) (xy 87.502672 58.282216) + (xy 87.5 58.256611) (xy 87.5 57.925) (xy 90.725 57.925) (xy 91.5 57.925) (xy 91.5 57.2875) (xy 91.231946 57.2875) + (xy 91.143556 57.298113) (xy 91.002904 57.353579) (xy 90.882435 57.444935) (xy 90.791079 57.565404) + (xy 90.735613 57.706056) (xy 90.725 57.794446) (xy 90.725 57.925) (xy 87.5 57.925) (xy 87.5 57.07323) + (xy 87.519685 57.006191) (xy 87.572489 56.960436) (xy 87.641647 56.950492) (xy 87.705203 56.979517) + (xy 87.711681 56.985549) (xy 87.731344 57.005212) (xy 87.880666 57.097314) (xy 88.047203 57.152499) + (xy 88.149991 57.163) (xy 88.850008 57.162999) (xy 88.850016 57.162998) (xy 88.850019 57.162998) + (xy 88.906302 57.157248) (xy 88.952797 57.152499) (xy 89.119334 57.097314) (xy 89.268656 57.005212) + (xy 89.392712 56.881156) (xy 89.484814 56.731834) (xy 89.539999 56.565297) (xy 89.5505 56.462509) + (xy 89.550499 55.762492) (xy 89.539999 55.659703) (xy 89.484814 55.493166) (xy 89.48481 55.493159) + (xy 89.451464 55.439096) (xy 89.433024 55.371704) (xy 89.453947 55.30504) (xy 89.507589 55.260271) + (xy 89.557003 55.25) (xy 94.198638 55.25) + ) + ) + ) + (zone + (net 1) + (net_name "GND") + (layer "F.Cu") + (uuid "ecfcf253-ac78-4eb8-aa11-c135e5f491d3") + (name "GND") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.25) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.3) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 99.5 59) (xy 90 59) (xy 90 63.75) (xy 99.5 63.75) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.159729 59.005819) (xy 91.159969 59.004807) (xy 91.167511 59.006589) (xy 91.167517 59.006591) + (xy 91.227127 59.013) (xy 92.272872 59.012999) (xy 92.332483 59.006591) (xy 92.340034 59.004807) + (xy 92.340273 59.005818) (xy 92.372528 59) (xy 93.03276 59) (xy 93.099799 59.019685) (xy 93.105642 59.023679) + (xy 93.111653 59.028045) (xy 93.111658 59.02805) (xy 93.224694 59.085645) (xy 93.224698 59.085647) + (xy 93.318475 59.100499) (xy 93.318481 59.1005) (xy 94.406518 59.100499) (xy 94.500304 59.085646) + (xy 94.613342 59.02805) (xy 94.613346 59.028045) (xy 94.619358 59.023679) (xy 94.685165 59.000202) + (xy 94.69224 59) (xy 95.095954 59) (xy 95.162993 59.019685) (xy 95.208748 59.072489) (xy 95.218692 59.141647) + (xy 95.212996 59.164954) (xy 95.183238 59.249999) (xy 95.183238 59.25) (xy 97.091762 59.25) (xy 97.091761 59.249999) + (xy 97.062004 59.164954) (xy 97.058443 59.095175) (xy 97.093172 59.034548) (xy 97.155166 59.002321) + (xy 97.179046 59) (xy 97.776931 59) (xy 97.820264 59.007818) (xy 97.917517 59.044091) (xy 97.917516 59.044091) + (xy 97.924444 59.044835) (xy 97.977127 59.0505) (xy 98.999137 59.050499) (xy 99.066176 59.070183) + (xy 99.086818 59.086818) (xy 99.198576 59.198576) (xy 99.232061 59.259899) (xy 99.227077 59.329591) + (xy 99.185205 59.385524) (xy 99.119741 59.409941) (xy 99.096111 59.409373) (xy 99.018054 59.4) (xy 98.75 59.4) + (xy 98.75 61.175) (xy 99.018054 61.175) (xy 99.106443 61.164386) (xy 99.247097 61.108919) (xy 99.301074 61.067987) + (xy 99.366385 61.043163) (xy 99.434749 61.05759) (xy 99.484461 61.106688) (xy 99.5 61.16679) (xy 99.5 63.198638) + (xy 99.480315 63.265677) (xy 99.463681 63.286319) (xy 99.036319 63.713681) (xy 98.974996 63.747166) + (xy 98.948638 63.75) (xy 96.612 63.75) (xy 96.544961 63.730315) (xy 96.499206 63.677511) (xy 96.488 63.626) + (xy 96.488 61.124499) (xy 96.507685 61.05746) (xy 96.560489 61.011705) (xy 96.612 61.000499) (xy 96.681517 61.000499) + (xy 96.681518 61.000499) (xy 96.775304 60.985646) (xy 96.888342 60.92805) (xy 96.97805 60.838342) + (xy 97.035646 60.725304) (xy 97.035646 60.725302) (xy 97.035647 60.725301) (xy 97.050499 60.631524) + (xy 97.0505 60.631519) (xy 97.0505 60.5375) (xy 97.475 60.5375) (xy 97.475 60.668053) (xy 97.485613 60.756443) + (xy 97.541079 60.897095) (xy 97.632435 61.017564) (xy 97.752904 61.10892) (xy 97.893556 61.164386) + (xy 97.981946 61.175) (xy 98.25 61.175) (xy 98.25 60.5375) (xy 97.475 60.5375) (xy 97.0505 60.5375) + (xy 97.050499 60.268482) (xy 97.035646 60.174696) (xy 96.983192 60.07175) (xy 96.97676 60.0375) + (xy 97.475 60.0375) (xy 98.25 60.0375) (xy 98.25 59.4) (xy 97.981946 59.4) (xy 97.893556 59.410613) + (xy 97.752904 59.466079) (xy 97.632435 59.557435) (xy 97.541079 59.677904) (xy 97.485613 59.818556) + (xy 97.475 59.906946) (xy 97.475 60.0375) (xy 96.97676 60.0375) (xy 96.970297 60.003085) (xy 96.993908 59.941825) + (xy 97.052346 59.862644) (xy 97.091762 59.75) (xy 95.183238 59.75) (xy 95.222653 59.862645) (xy 95.281091 59.941824) + (xy 95.305062 60.007453) (xy 95.291806 60.071753) (xy 95.239352 60.174698) (xy 95.2245 60.268475) + (xy 95.2245 60.631517) (xy 95.234559 60.695025) (xy 95.239354 60.725304) (xy 95.29695 60.838342) + (xy 95.296952 60.838344) (xy 95.296954 60.838347) (xy 95.386652 60.928045) (xy 95.386654 60.928046) + (xy 95.386658 60.92805) (xy 95.488741 60.980064) (xy 95.499698 60.985647) (xy 95.593475 61.000499) + (xy 95.593481 61.0005) (xy 95.663 61.000499) (xy 95.730038 61.020183) (xy 95.775794 61.072986) (xy 95.787 61.124499) + (xy 95.787 63.626) (xy 95.767315 63.693039) (xy 95.714511 63.738794) (xy 95.663 63.75) (xy 90.551362 63.75) + (xy 90.484323 63.730315) (xy 90.463681 63.713681) (xy 90.036319 63.286319) (xy 90.002834 63.224996) + (xy 90 63.198638) (xy 90 62.75) (xy 90.85 62.75) (xy 90.85 62.993053) (xy 90.860613 63.081443) (xy 90.916079 63.222095) + (xy 91.007435 63.342564) (xy 91.127904 63.43392) (xy 91.268556 63.489386) (xy 91.356946 63.5) (xy 91.5 63.5) + (xy 91.5 62.75) (xy 92 62.75) (xy 92 63.5) (xy 92.143054 63.5) (xy 92.231443 63.489386) (xy 92.372095 63.43392) + (xy 92.492564 63.342564) (xy 92.58392 63.222095) (xy 92.639386 63.081443) (xy 92.65 62.993053) (xy 92.65 62.75) + (xy 92 62.75) (xy 91.5 62.75) (xy 90.85 62.75) (xy 90 62.75) (xy 90 62.25) (xy 90.85 62.25) (xy 91.5 62.25) + (xy 91.5 61.5) (xy 92 61.5) (xy 92 62.25) (xy 92.65 62.25) (xy 92.65 62.006946) (xy 92.639386 61.918556) + (xy 92.58392 61.777904) (xy 92.492564 61.657435) (xy 92.372095 61.566079) (xy 92.231443 61.510613) + (xy 92.143054 61.5) (xy 92 61.5) (xy 91.5 61.5) (xy 91.356946 61.5) (xy 91.268556 61.510613) (xy 91.127904 61.566079) + (xy 91.007435 61.657435) (xy 90.916079 61.777904) (xy 90.860613 61.918556) (xy 90.85 62.006946) + (xy 90.85 62.25) (xy 90 62.25) (xy 90 60.5) (xy 90.725 60.5) (xy 90.725 60.630553) (xy 90.735613 60.718943) + (xy 90.791079 60.859595) (xy 90.882435 60.980064) (xy 91.002904 61.07142) (xy 91.143556 61.126886) + (xy 91.231946 61.1375) (xy 91.5 61.1375) (xy 91.5 60.5) (xy 90.725 60.5) (xy 90 60.5) (xy 90 60) + (xy 90.725 60) (xy 91.5 60) (xy 91.5 59.3625) (xy 92 59.3625) (xy 92 61.1375) (xy 92.268054 61.1375) + (xy 92.356443 61.126886) (xy 92.497095 61.07142) (xy 92.617564 60.980064) (xy 92.70892 60.859594) + (xy 92.749282 60.757243) (xy 92.792187 60.702099) (xy 92.858095 60.678905) (xy 92.92608 60.695025) + (xy 92.974557 60.745341) (xy 92.975121 60.746437) (xy 93.021947 60.838338) (xy 93.021954 60.838347) + (xy 93.111652 60.928045) (xy 93.111654 60.928046) (xy 93.111658 60.92805) (xy 93.213741 60.980064) + (xy 93.224698 60.985647) (xy 93.318475 61.000499) (xy 93.318481 61.0005) (xy 93.388 61.000499) (xy 93.455038 61.020183) + (xy 93.500794 61.072986) (xy 93.512 61.124499) (xy 93.512 61.4255) (xy 93.492315 61.492539) (xy 93.439511 61.538294) + (xy 93.388003 61.5495) (xy 93.352131 61.5495) (xy 93.352123 61.549501) (xy 93.292516 61.555908) + (xy 93.157671 61.606202) (xy 93.157664 61.606206) (xy 93.042455 61.692452) (xy 93.042452 61.692455) + (xy 92.956206 61.807664) (xy 92.956202 61.807671) (xy 92.905908 61.942517) (xy 92.899501 62.002116) + (xy 92.899501 62.002123) (xy 92.8995 62.002135) (xy 92.8995 62.99787) (xy 92.899501 62.997876) (xy 92.905908 63.057483) + (xy 92.956202 63.192328) (xy 92.956206 63.192335) (xy 93.042452 63.307544) (xy 93.042455 63.307547) + (xy 93.157664 63.393793) (xy 93.157671 63.393797) (xy 93.292517 63.444091) (xy 93.292516 63.444091) + (xy 93.299444 63.444835) (xy 93.352127 63.4505) (xy 94.147872 63.450499) (xy 94.207483 63.444091) + (xy 94.342331 63.393796) (xy 94.457546 63.307546) (xy 94.543796 63.192331) (xy 94.594091 63.057483) + (xy 94.6005 62.997873) (xy 94.600499 62.002128) (xy 94.594091 61.942517) (xy 94.543796 61.807669) + (xy 94.543795 61.807668) (xy 94.543793 61.807664) (xy 94.457547 61.692455) (xy 94.457544 61.692452) + (xy 94.342334 61.606205) (xy 94.342332 61.606204) (xy 94.293665 61.588052) (xy 94.237732 61.54618) + (xy 94.213316 61.480716) (xy 94.213 61.471871) (xy 94.213 61.124499) (xy 94.232685 61.05746) (xy 94.285489 61.011705) + (xy 94.337 61.000499) (xy 94.406517 61.000499) (xy 94.406518 61.000499) (xy 94.500304 60.985646) + (xy 94.613342 60.92805) (xy 94.70305 60.838342) (xy 94.760646 60.725304) (xy 94.760646 60.725302) + (xy 94.760647 60.725301) (xy 94.775499 60.631524) (xy 94.7755 60.631519) (xy 94.775499 60.268482) + (xy 94.760646 60.174696) (xy 94.70305 60.061658) (xy 94.703046 60.061654) (xy 94.703045 60.061652) + (xy 94.613347 59.971954) (xy 94.613344 59.971952) (xy 94.613342 59.97195) (xy 94.536517 59.932805) + (xy 94.500301 59.914352) (xy 94.406524 59.8995) (xy 93.318482 59.8995) (xy 93.237519 59.912323) + (xy 93.224696 59.914354) (xy 93.111658 59.97195) (xy 93.111657 59.971951) (xy 93.111652 59.971954) + (xy 93.021954 60.061652) (xy 93.02195 60.061657) (xy 93.009484 60.086124) (xy 92.961509 60.136919) + (xy 92.893688 60.153713) (xy 92.827553 60.131175) (xy 92.784102 60.076459) (xy 92.775 60.029827) + (xy 92.775 59.869446) (xy 92.764386 59.781056) (xy 92.70892 59.640404) (xy 92.617564 59.519935) + (xy 92.497095 59.428579) (xy 92.356443 59.373113) (xy 92.268054 59.3625) (xy 92 59.3625) (xy 91.5 59.3625) + (xy 91.231946 59.3625) (xy 91.143556 59.373113) (xy 91.002904 59.428579) (xy 90.882435 59.519935) + (xy 90.791079 59.640404) (xy 90.735613 59.781056) (xy 90.725 59.869446) (xy 90.725 60) (xy 90 60) + (xy 90 59.551362) (xy 90.019685 59.484323) (xy 90.036319 59.463681) (xy 90.463681 59.036319) (xy 90.525004 59.002834) + (xy 90.551362 59) (xy 91.127475 59) + ) + ) + ) + (zone + (net 2) + (net_name "VDD") + (layer "B.Cu") + (uuid "1d901518-086e-4e93-9f06-2f0e878f2b63") + (name "VDD") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.3) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 94.75 55.25) (xy 94.75 59) (xy 89.5 59) (xy 89.5 55.25) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 94.265677 55.269685) (xy 94.286319 55.286319) (xy 94.713681 55.713681) (xy 94.747166 55.775004) + (xy 94.75 55.801362) (xy 94.75 58.448638) (xy 94.730315 58.515677) (xy 94.713681 58.536319) (xy 94.286319 58.963681) + (xy 94.224996 58.997166) (xy 94.198638 59) (xy 90.051362 59) (xy 89.984323 58.980315) (xy 89.963681 58.963681) + (xy 89.536319 58.536319) (xy 89.502834 58.474996) (xy 89.5 58.448638) (xy 89.5 55.801362) (xy 89.519685 55.734323) + (xy 89.536319 55.713681) (xy 89.963681 55.286319) (xy 90.025004 55.252834) (xy 90.051362 55.25) + (xy 94.198638 55.25) + ) + ) + ) + (zone + (net 3) + (net_name "VBAT") + (layer "B.Cu") + (uuid "2b3fc713-9a7d-48d3-b39e-902d2c6b5e58") + (name "VBAT") + (hatch edge 0.5) + (priority 1) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.3) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + ) + (polygon + (pts + (xy 95.25 55.25) (xy 100.75 55.25) (xy 100.75 59) (xy 95.25 59) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 100.265677 55.269685) (xy 100.286319 55.286319) (xy 100.713681 55.713681) (xy 100.747166 55.775004) + (xy 100.75 55.801362) (xy 100.75 58.448638) (xy 100.730315 58.515677) (xy 100.713681 58.536319) + (xy 100.286319 58.963681) (xy 100.224996 58.997166) (xy 100.198638 59) (xy 95.801362 59) (xy 95.734323 58.980315) + (xy 95.713681 58.963681) (xy 95.286319 58.536319) (xy 95.252834 58.474996) (xy 95.25 58.448638) + (xy 95.25 55.801362) (xy 95.269685 55.734323) (xy 95.286319 55.713681) (xy 95.713681 55.286319) + (xy 95.775004 55.252834) (xy 95.801362 55.25) (xy 100.198638 55.25) + ) + ) + ) + (zone + (net 1) + (net_name "GND") + (layer "B.Cu") + (uuid "365b0458-3439-4be8-a9f8-25f2ae060bb6") + (name "GND") + (hatch edge 0.5) + (connect_pads + (clearance 0.5) + ) + (min_thickness 0.25) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + (smoothing chamfer) + (radius 0.5) + (island_removal_mode 1) + (island_area_min 10) + ) + (polygon + (pts + (xy 107.5 70) (xy 76.5 70) (xy 76.5 45.5) (xy 107.5 45.5) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 84.688723 45.820185) (xy 84.734478 45.872989) (xy 84.744422 45.942147) (xy 84.725493 45.992321) + (xy 84.633326 46.133393) (xy 84.533436 46.361118) (xy 84.472392 46.602175) (xy 84.47239 46.602187) + (xy 84.451857 46.849994) (xy 84.451857 46.850005) (xy 84.47239 47.097812) (xy 84.472392 47.097824) + (xy 84.533436 47.338881) (xy 84.633326 47.566606) (xy 84.769333 47.774782) (xy 84.769336 47.774785) + (xy 84.937756 47.957738) (xy 85.133991 48.110474) (xy 85.35269 48.228828) (xy 85.587886 48.309571) + (xy 85.833165 48.3505) (xy 86.081835 48.3505) (xy 86.327114 48.309571) (xy 86.56231 48.228828) (xy 86.781009 48.110474) + (xy 86.977244 47.957738) (xy 87.145664 47.774785) (xy 87.281673 47.566607) (xy 87.381563 47.338881) + (xy 87.442608 47.097821) (xy 87.463143 46.85) (xy 87.442608 46.602179) (xy 87.381563 46.361119) + (xy 87.281673 46.133393) (xy 87.189507 45.992321) (xy 87.169319 45.925432) (xy 87.1885 45.858246) + (xy 87.240959 45.812096) (xy 87.293316 45.8005) (xy 96.621684 45.8005) (xy 96.688723 45.820185) + (xy 96.734478 45.872989) (xy 96.744422 45.942147) (xy 96.725493 45.992321) (xy 96.633326 46.133393) + (xy 96.533436 46.361118) (xy 96.472392 46.602175) (xy 96.47239 46.602187) (xy 96.451857 46.849994) + (xy 96.451857 46.850005) (xy 96.47239 47.097812) (xy 96.472392 47.097824) (xy 96.533436 47.338881) + (xy 96.633326 47.566606) (xy 96.769333 47.774782) (xy 96.769336 47.774785) (xy 96.937756 47.957738) + (xy 97.133991 48.110474) (xy 97.35269 48.228828) (xy 97.587886 48.309571) (xy 97.833165 48.3505) + (xy 98.081835 48.3505) (xy 98.327114 48.309571) (xy 98.56231 48.228828) (xy 98.781009 48.110474) + (xy 98.977244 47.957738) (xy 99.145664 47.774785) (xy 99.281673 47.566607) (xy 99.381563 47.338881) + (xy 99.442608 47.097821) (xy 99.463143 46.85) (xy 99.442608 46.602179) (xy 99.381563 46.361119) + (xy 99.281673 46.133393) (xy 99.189507 45.992321) (xy 99.169319 45.925432) (xy 99.1885 45.858246) + (xy 99.240959 45.812096) (xy 99.293316 45.8005) (xy 107.0755 45.8005) (xy 107.142539 45.820185) + (xy 107.188294 45.872989) (xy 107.1995 45.9245) (xy 107.1995 69.5755) (xy 107.179815 69.642539) + (xy 107.127011 69.688294) (xy 107.0755 69.6995) (xy 76.9245 69.6995) (xy 76.857461 69.679815) (xy 76.811706 69.627011) + (xy 76.8005 69.5755) (xy 76.8005 66.618004) (xy 78.1995 66.618004) (xy 78.199501 66.61802) (xy 78.230306 66.85201) + (xy 78.291394 67.079993) (xy 78.381714 67.298045) (xy 78.381719 67.298056) (xy 78.439102 67.397445) + (xy 78.499727 67.50245) (xy 78.499729 67.502453) (xy 78.49973 67.502454) (xy 78.643406 67.689697) + (xy 78.643412 67.689704) (xy 78.810295 67.856587) (xy 78.810301 67.856592) (xy 78.99755 68.000273) + (xy 79.108803 68.064505) (xy 79.201943 68.11828) (xy 79.201948 68.118282) (xy 79.201951 68.118284) + (xy 79.420007 68.208606) (xy 79.647986 68.269693) (xy 79.881989 68.3005) (xy 79.881996 68.3005) + (xy 80.118004 68.3005) (xy 80.118011 68.3005) (xy 80.352014 68.269693) (xy 80.579993 68.208606) + (xy 80.798049 68.118284) (xy 81.00245 68.000273) (xy 81.189699 67.856592) (xy 81.356592 67.689699) + (xy 81.500273 67.50245) (xy 81.618284 67.298049) (xy 81.708606 67.079993) (xy 81.769693 66.852014) + (xy 81.8005 66.618011) (xy 81.8005 66.45) (xy 94.65 66.45) (xy 95.46967 66.45) (xy 95.449925 66.469745) + (xy 95.400556 66.555255) (xy 95.375 66.65063) (xy 95.375 66.74937) (xy 95.400556 66.844745) (xy 95.449925 66.930255) + (xy 95.46967 66.95) (xy 94.650001 66.95) (xy 94.650001 67.374986) (xy 94.660494 67.477697) (xy 94.715641 67.644119) + (xy 94.715643 67.644124) (xy 94.807684 67.793345) (xy 94.931654 67.917315) (xy 95.080875 68.009356) + (xy 95.08088 68.009358) (xy 95.247302 68.064505) (xy 95.247309 68.064506) (xy 95.350019 68.074999) + (xy 95.499999 68.074999) (xy 95.5 68.074998) (xy 95.5 66.98033) (xy 95.519745 67.000075) (xy 95.605255 67.049444) + (xy 95.70063 67.075) (xy 95.79937 67.075) (xy 95.894745 67.049444) (xy 95.980255 67.000075) (xy 96 66.98033) + (xy 96 68.074999) (xy 96.149972 68.074999) (xy 96.149986 68.074998) (xy 96.252697 68.064505) (xy 96.419119 68.009358) + (xy 96.419124 68.009356) (xy 96.568345 67.917315) (xy 96.692315 67.793345) (xy 96.731945 67.729094) + (xy 96.783893 67.682368) (xy 96.852855 67.671145) (xy 96.916937 67.698988) (xy 96.925166 67.706508) + (xy 97.033072 67.814414) (xy 97.173212 67.916232) (xy 97.327555 67.994873) (xy 97.492299 68.048402) + (xy 97.663389 68.0755) (xy 97.66339 68.0755) (xy 97.83661 68.0755) (xy 97.836611 68.0755) (xy 98.007701 68.048402) + (xy 98.172445 67.994873) (xy 98.326788 67.916232) (xy 98.466928 67.814414) (xy 98.589414 67.691928) + (xy 98.691232 67.551788) (xy 98.769873 67.397445) (xy 98.823402 67.232701) (xy 98.8505 67.061611) + (xy 98.8505 66.618004) (xy 102.1995 66.618004) (xy 102.199501 66.61802) (xy 102.230306 66.85201) + (xy 102.291394 67.079993) (xy 102.381714 67.298045) (xy 102.381719 67.298056) (xy 102.439102 67.397445) + (xy 102.499727 67.50245) (xy 102.499729 67.502453) (xy 102.49973 67.502454) (xy 102.643406 67.689697) + (xy 102.643412 67.689704) (xy 102.810295 67.856587) (xy 102.810301 67.856592) (xy 102.99755 68.000273) + (xy 103.108803 68.064505) (xy 103.201943 68.11828) (xy 103.201948 68.118282) (xy 103.201951 68.118284) + (xy 103.420007 68.208606) (xy 103.647986 68.269693) (xy 103.881989 68.3005) (xy 103.881996 68.3005) + (xy 104.118004 68.3005) (xy 104.118011 68.3005) (xy 104.352014 68.269693) (xy 104.579993 68.208606) + (xy 104.798049 68.118284) (xy 105.00245 68.000273) (xy 105.189699 67.856592) (xy 105.356592 67.689699) + (xy 105.500273 67.50245) (xy 105.618284 67.298049) (xy 105.708606 67.079993) (xy 105.769693 66.852014) + (xy 105.8005 66.618011) (xy 105.8005 66.381989) (xy 105.769693 66.147986) (xy 105.708606 65.920007) + (xy 105.618284 65.701951) (xy 105.618282 65.701948) (xy 105.61828 65.701943) (xy 105.563264 65.606654) + (xy 105.500273 65.49755) (xy 105.429354 65.405127) (xy 105.356593 65.310302) (xy 105.356587 65.310295) + (xy 105.189704 65.143412) (xy 105.189697 65.143406) (xy 105.002454 64.99973) (xy 105.002453 64.999729) + (xy 105.00245 64.999727) (xy 104.920957 64.952677) (xy 104.798056 64.881719) (xy 104.798045 64.881714) + (xy 104.579993 64.791394) (xy 104.35201 64.730306) (xy 104.11802 64.699501) (xy 104.118017 64.6995) + (xy 104.118011 64.6995) (xy 103.881989 64.6995) (xy 103.881983 64.6995) (xy 103.881979 64.699501) + (xy 103.647989 64.730306) (xy 103.420006 64.791394) (xy 103.201954 64.881714) (xy 103.201943 64.881719) + (xy 102.997545 64.99973) (xy 102.810302 65.143406) (xy 102.810295 65.143412) (xy 102.643412 65.310295) + (xy 102.643406 65.310302) (xy 102.49973 65.497545) (xy 102.381719 65.701943) (xy 102.381714 65.701954) + (xy 102.291394 65.920006) (xy 102.230306 66.147989) (xy 102.199501 66.381979) (xy 102.1995 66.381995) + (xy 102.1995 66.618004) (xy 98.8505 66.618004) (xy 98.8505 66.338389) (xy 98.823402 66.167299) (xy 98.769873 66.002555) + (xy 98.691232 65.848212) (xy 98.589414 65.708072) (xy 98.466928 65.585586) (xy 98.326788 65.483768) + (xy 98.172445 65.405127) (xy 98.007701 65.351598) (xy 98.007699 65.351597) (xy 98.007698 65.351597) + (xy 97.876271 65.330781) (xy 97.836611 65.3245) (xy 97.663389 65.3245) (xy 97.623728 65.330781) + (xy 97.492302 65.351597) (xy 97.327552 65.405128) (xy 97.173211 65.483768) (xy 97.033073 65.585585) + (xy 96.925166 65.693492) (xy 96.863843 65.726976) (xy 96.794151 65.721992) (xy 96.738218 65.68012) + (xy 96.731946 65.670906) (xy 96.692317 65.606656) (xy 96.568345 65.482684) (xy 96.419124 65.390643) + (xy 96.419119 65.390641) (xy 96.252697 65.335494) (xy 96.25269 65.335493) (xy 96.149986 65.325) + (xy 96 65.325) (xy 96 66.41967) (xy 95.980255 66.399925) (xy 95.894745 66.350556) (xy 95.79937 66.325) + (xy 95.70063 66.325) (xy 95.605255 66.350556) (xy 95.519745 66.399925) (xy 95.5 66.41967) (xy 95.5 65.325) + (xy 95.350027 65.325) (xy 95.350012 65.325001) (xy 95.247302 65.335494) (xy 95.08088 65.390641) + (xy 95.080875 65.390643) (xy 94.931654 65.482684) (xy 94.807684 65.606654) (xy 94.715643 65.755875) + (xy 94.715641 65.75588) (xy 94.660494 65.922302) (xy 94.660493 65.922309) (xy 94.65 66.025013) (xy 94.65 66.45) + (xy 81.8005 66.45) (xy 81.8005 66.381989) (xy 81.769693 66.147986) (xy 81.708606 65.920007) (xy 81.618284 65.701951) + (xy 81.618282 65.701948) (xy 81.61828 65.701943) (xy 81.563264 65.606654) (xy 81.500273 65.49755) + (xy 81.429354 65.405127) (xy 81.356593 65.310302) (xy 81.356587 65.310295) (xy 81.189704 65.143412) + (xy 81.189697 65.143406) (xy 81.002454 64.99973) (xy 81.002453 64.999729) (xy 81.00245 64.999727) + (xy 80.920957 64.952677) (xy 80.798056 64.881719) (xy 80.798045 64.881714) (xy 80.579993 64.791394) + (xy 80.35201 64.730306) (xy 80.11802 64.699501) (xy 80.118017 64.6995) (xy 80.118011 64.6995) (xy 79.881989 64.6995) + (xy 79.881983 64.6995) (xy 79.881979 64.699501) (xy 79.647989 64.730306) (xy 79.420006 64.791394) + (xy 79.201954 64.881714) (xy 79.201943 64.881719) (xy 78.997545 64.99973) (xy 78.810302 65.143406) + (xy 78.810295 65.143412) (xy 78.643412 65.310295) (xy 78.643406 65.310302) (xy 78.49973 65.497545) + (xy 78.381719 65.701943) (xy 78.381714 65.701954) (xy 78.291394 65.920006) (xy 78.230306 66.147989) + (xy 78.199501 66.381979) (xy 78.1995 66.381995) (xy 78.1995 66.618004) (xy 76.8005 66.618004) (xy 76.8005 61.839169) + (xy 76.820185 61.77213) (xy 76.872989 61.726375) (xy 76.942147 61.716431) (xy 77.005703 61.745456) + (xy 77.042431 61.800851) (xy 77.07876 61.912659) (xy 77.155578 62.063423) (xy 77.255038 62.200316) + (xy 77.255038 62.200317) (xy 77.374682 62.319961) (xy 77.511576 62.419421) (xy 77.66234 62.496239) + (xy 77.823273 62.54853) (xy 77.990391 62.575) (xy 78.15 62.575) (xy 78.15 61.775) (xy 78.65 61.775) + (xy 78.65 62.575) (xy 78.809609 62.575) (xy 78.976726 62.54853) (xy 79.137659 62.496239) (xy 79.288423 62.419421) + (xy 79.425316 62.319961) (xy 79.425317 62.319961) (xy 79.544961 62.200317) (xy 79.544961 62.200316) + (xy 79.644421 62.063423) (xy 79.721239 61.912659) (xy 79.77353 61.751726) (xy 79.773804 61.75) (xy 78.840057 61.75) + (xy 78.880775 61.733134) (xy 78.958134 61.655775) (xy 79 61.554701) (xy 79 61.445299) (xy 78.958134 61.344225) + (xy 78.880775 61.266866) (xy 78.840057 61.25) (xy 79.773804 61.25) (xy 79.77353 61.248273) (xy 79.721239 61.08734) + (xy 79.644421 60.936576) (xy 79.544961 60.799683) (xy 79.544961 60.799682) (xy 79.425317 60.680038) + (xy 79.288423 60.580578) (xy 79.137659 60.50376) (xy 78.976726 60.451469) (xy 78.809609 60.425) + (xy 78.65 60.425) (xy 78.65 61.225) (xy 78.15 61.225) (xy 78.15 60.425) (xy 77.990391 60.425) (xy 77.823273 60.451469) + (xy 77.66234 60.50376) (xy 77.511576 60.580578) (xy 77.374683 60.680038) (xy 77.374682 60.680038) + (xy 77.255038 60.799682) (xy 77.255038 60.799683) (xy 77.155578 60.936576) (xy 77.07876 61.087338) + (xy 77.042431 61.199149) (xy 77.002993 61.256824) (xy 76.938634 61.284022) (xy 76.869788 61.272107) + (xy 76.818312 61.224863) (xy 76.8005 61.16083) (xy 76.8005 60.125) (xy 80.24454 60.125) (xy 80.264326 60.313256) + (xy 80.264327 60.313259) (xy 80.322818 60.493277) (xy 80.322819 60.493279) (xy 80.322821 60.493284) + (xy 80.417467 60.657216) (xy 80.463154 60.707956) (xy 80.544129 60.797888) (xy 80.691475 60.904941) + (xy 80.734141 60.960271) (xy 80.74012 61.029884) (xy 80.736522 61.043574) (xy 80.730165 61.06314) + (xy 80.730164 61.063144) (xy 80.724327 61.1) (xy 81.57859 61.1) (xy 81.528963 61.185956) (xy 81.5 61.294048) + (xy 81.5 61.405952) (xy 81.528963 61.514044) (xy 81.57859 61.6) (xy 80.724327 61.6) (xy 80.730164 61.636855) + (xy 80.730164 61.636858) (xy 80.789746 61.820234) (xy 80.789747 61.820237) (xy 80.877288 61.992043) + (xy 80.990616 62.148027) (xy 80.99062 62.148032) (xy 81.126967 62.284379) (xy 81.126972 62.284383) + (xy 81.282956 62.397711) (xy 81.454762 62.485252) (xy 81.454765 62.485253) (xy 81.638143 62.544835) + (xy 81.828591 62.575) (xy 81.95 62.575) (xy 81.95 61.775) (xy 82.45 61.775) (xy 82.45 62.575) (xy 82.571409 62.575) + (xy 82.761856 62.544835) (xy 82.945234 62.485253) (xy 82.945237 62.485252) (xy 83.117043 62.397711) + (xy 83.273027 62.284383) (xy 83.273032 62.284379) (xy 83.409379 62.148032) (xy 83.409383 62.148027) + (xy 83.522711 61.992043) (xy 83.610252 61.820237) (xy 83.610253 61.820234) (xy 83.669835 61.636858) + (xy 83.669835 61.636855) (xy 83.675673 61.6) (xy 82.82141 61.6) (xy 82.871037 61.514044) (xy 82.9 61.405952) + (xy 82.9 61.294048) (xy 82.871037 61.185956) (xy 82.82141 61.1) (xy 83.675673 61.1) (xy 83.669835 61.063144) + (xy 83.669835 61.063141) (xy 83.610253 60.879765) (xy 83.610252 60.879762) (xy 83.522711 60.707956) + (xy 83.409383 60.551972) (xy 83.409379 60.551967) (xy 83.273032 60.41562) (xy 83.273027 60.415616) + (xy 83.117043 60.302288) (xy 82.945237 60.214747) (xy 82.945234 60.214746) (xy 82.761856 60.155164) + (xy 82.571409 60.125) (xy 82.45 60.125) (xy 82.45 60.925) (xy 81.95 60.925) (xy 81.95 60.573584) + (xy 81.966612 60.511586) (xy 81.977179 60.493284) (xy 82.035674 60.313256) (xy 82.05546 60.125) + (xy 82.035674 59.936744) (xy 81.977179 59.756716) (xy 81.882533 59.592784) (xy 81.755871 59.452112) + (xy 81.740347 59.440833) (xy 81.602734 59.340851) (xy 81.602729 59.340848) (xy 81.429807 59.263857) + (xy 81.429802 59.263855) (xy 81.271721 59.230255) (xy 81.244646 59.2245) (xy 81.055354 59.2245) + (xy 81.028279 59.230255) (xy 80.870197 59.263855) (xy 80.870192 59.263857) (xy 80.69727 59.340848) + (xy 80.697265 59.340851) (xy 80.544129 59.452111) (xy 80.417466 59.592785) (xy 80.322821 59.756715) + (xy 80.322818 59.756722) (xy 80.264327 59.93674) (xy 80.264326 59.936744) (xy 80.24454 60.125) (xy 76.8005 60.125) + (xy 76.8005 58.44864) (xy 88.9945 58.44864) (xy 88.997397 58.502688) (xy 88.997397 58.502689) (xy 89.000229 58.529022) + (xy 89.000232 58.529049) (xy 89.008885 58.582445) (xy 89.008885 58.582447) (xy 89.012096 58.591055) + (xy 89.059168 58.717257) (xy 89.092653 58.77858) (xy 89.178877 58.893761) (xy 89.178881 58.893765) + (xy 89.178886 58.893771) (xy 89.429861 59.144745) (xy 89.606239 59.321123) (xy 89.606255 59.321137) + (xy 89.606262 59.321144) (xy 89.64648 59.357271) (xy 89.646492 59.357281) (xy 89.6465 59.357288) + (xy 89.667142 59.373922) (xy 89.711026 59.405567) (xy 89.841903 59.465338) (xy 89.908942 59.485023) + (xy 89.908946 59.485024) (xy 90.051362 59.5055) (xy 90.051365 59.5055) (xy 94.19864 59.5055) (xy 94.208786 59.504955) + (xy 94.252678 59.502603) (xy 94.252686 59.502602) (xy 94.252688 59.502602) (xy 94.252689 59.502602) + (xy 94.259682 59.501849) (xy 94.279036 59.499769) (xy 94.279046 59.499767) (xy 94.279049 59.499767) + (xy 94.288648 59.498211) (xy 94.332448 59.491114) (xy 94.467257 59.440832) (xy 94.52858 59.407347) + (xy 94.643761 59.321123) (xy 94.912319 59.052565) (xy 94.973642 59.01908) (xy 95.043333 59.024064) + (xy 95.087681 59.052565) (xy 95.356239 59.321123) (xy 95.356255 59.321137) (xy 95.356262 59.321144) + (xy 95.39648 59.357271) (xy 95.396492 59.357281) (xy 95.3965 59.357288) (xy 95.417142 59.373922) + (xy 95.461026 59.405567) (xy 95.591903 59.465338) (xy 95.658942 59.485023) (xy 95.658946 59.485024) + (xy 95.801362 59.5055) (xy 95.801365 59.5055) (xy 100.19864 59.5055) (xy 100.208786 59.504955) (xy 100.252678 59.502603) + (xy 100.252686 59.502602) (xy 100.252688 59.502602) (xy 100.252689 59.502602) (xy 100.259682 59.501849) + (xy 100.279036 59.499769) (xy 100.279046 59.499767) (xy 100.279049 59.499767) (xy 100.288648 59.498211) + (xy 100.332448 59.491114) (xy 100.467257 59.440832) (xy 100.52858 59.407347) (xy 100.643761 59.321123) + (xy 101.071123 58.893761) (xy 101.107288 58.8535) (xy 101.123922 58.832858) (xy 101.155567 58.788974) + (xy 101.215338 58.658097) (xy 101.235023 58.591058) (xy 101.235024 58.591054) (xy 101.2555 58.448638) + (xy 101.2555 57.400001) (xy 103.9245 57.400001) (xy 103.924501 57.400019) (xy 103.935 57.502796) + (xy 103.935001 57.502799) (xy 103.990185 57.669331) (xy 103.990186 57.669334) (xy 104.082288 57.818656) + (xy 104.206344 57.942712) (xy 104.270981 57.98258) (xy 104.317705 58.034526) (xy 104.328928 58.103489) + (xy 104.301085 58.167571) (xy 104.293566 58.175799) (xy 104.185964 58.283401) (xy 104.084195 58.423475) + (xy 104.005591 58.577744) (xy 103.952085 58.742415) (xy 103.950884 58.749999) (xy 103.950885 58.75) + (xy 105.01967 58.75) (xy 104.999925 58.769745) (xy 104.950556 58.855255) (xy 104.925 58.95063) (xy 104.925 59.04937) + (xy 104.950556 59.144745) (xy 104.999925 59.230255) (xy 105.01967 59.25) (xy 103.950885 59.25) (xy 103.952085 59.257584) + (xy 104.005591 59.422255) (xy 104.084195 59.576524) (xy 104.185967 59.716602) (xy 104.308397 59.839032) + (xy 104.448475 59.940804) (xy 104.602742 60.019408) (xy 104.767415 60.072914) (xy 104.938429 60.1) + (xy 105.05 60.1) (xy 105.05 59.28033) (xy 105.069745 59.300075) (xy 105.155255 59.349444) (xy 105.25063 59.375) + (xy 105.34937 59.375) (xy 105.444745 59.349444) (xy 105.530255 59.300075) (xy 105.55 59.28033) (xy 105.55 60.1) + (xy 105.661571 60.1) (xy 105.832584 60.072914) (xy 105.997257 60.019408) (xy 106.151524 59.940804) + (xy 106.291602 59.839032) (xy 106.414032 59.716602) (xy 106.515804 59.576524) (xy 106.594408 59.422255) + (xy 106.647914 59.257584) (xy 106.649115 59.25) (xy 105.58033 59.25) (xy 105.600075 59.230255) (xy 105.649444 59.144745) + (xy 105.675 59.04937) (xy 105.675 58.95063) (xy 105.649444 58.855255) (xy 105.600075 58.769745) + (xy 105.58033 58.75) (xy 106.649115 58.75) (xy 106.649115 58.749999) (xy 106.647914 58.742415) (xy 106.594408 58.577744) + (xy 106.515804 58.423475) (xy 106.414032 58.283397) (xy 106.306434 58.175799) (xy 106.272949 58.114476) + (xy 106.277933 58.044784) (xy 106.319805 57.988851) (xy 106.328995 57.982594) (xy 106.393656 57.942712) + (xy 106.517712 57.818656) (xy 106.609814 57.669334) (xy 106.664999 57.502797) (xy 106.6755 57.400009) + (xy 106.675499 56.599992) (xy 106.664999 56.497203) (xy 106.609814 56.330666) (xy 106.517712 56.181344) + (xy 106.393656 56.057288) (xy 106.244334 55.965186) (xy 106.077797 55.910001) (xy 106.077795 55.91) + (xy 105.97501 55.8995) (xy 104.624998 55.8995) (xy 104.624981 55.899501) (xy 104.522203 55.91) (xy 104.5222 55.910001) + (xy 104.355668 55.965185) (xy 104.355663 55.965187) (xy 104.206342 56.057289) (xy 104.082289 56.181342) + (xy 103.990187 56.330663) (xy 103.990186 56.330666) (xy 103.935001 56.497203) (xy 103.935001 56.497204) + (xy 103.935 56.497204) (xy 103.9245 56.599983) (xy 103.9245 57.400001) (xy 101.2555 57.400001) (xy 101.2555 55.801362) + (xy 101.252603 55.747322) (xy 101.249769 55.720964) (xy 101.241114 55.667552) (xy 101.190832 55.532743) + (xy 101.157347 55.47142) (xy 101.071123 55.356239) (xy 101.071118 55.356234) (xy 101.071113 55.356228) + (xy 100.643776 54.928892) (xy 100.64377 54.928886) (xy 100.643761 54.928877) (xy 100.643737 54.928855) + (xy 100.603519 54.892728) (xy 100.603507 54.892718) (xy 100.582856 54.876076) (xy 100.538974 54.844433) + (xy 100.4081 54.784663) (xy 100.341055 54.764976) (xy 100.283645 54.756722) (xy 100.198638 54.7445) + (xy 95.801362 54.7445) (xy 95.80136 54.7445) (xy 95.747311 54.747397) (xy 95.74731 54.747397) (xy 95.720977 54.750229) + (xy 95.72095 54.750232) (xy 95.667554 54.758885) (xy 95.667552 54.758885) (xy 95.532747 54.809166) + (xy 95.471422 54.842651) (xy 95.35624 54.928876) (xy 95.356228 54.928886) (xy 95.08768 55.197434) + (xy 95.026357 55.230919) (xy 94.956665 55.225935) (xy 94.912318 55.197434) (xy 94.643776 54.928892) + (xy 94.64377 54.928886) (xy 94.643761 54.928877) (xy 94.643737 54.928855) (xy 94.603519 54.892728) + (xy 94.603507 54.892718) (xy 94.582856 54.876076) (xy 94.538974 54.844433) (xy 94.4081 54.784663) + (xy 94.341055 54.764976) (xy 94.283645 54.756722) (xy 94.198638 54.7445) (xy 90.051362 54.7445) + (xy 90.05136 54.7445) (xy 89.997311 54.747397) (xy 89.99731 54.747397) (xy 89.970977 54.750229) + (xy 89.97095 54.750232) (xy 89.917554 54.758885) (xy 89.917552 54.758885) (xy 89.782747 54.809166) + (xy 89.721422 54.842651) (xy 89.60624 54.928876) (xy 89.606228 54.928886) (xy 89.178892 55.356223) + (xy 89.178855 55.356262) (xy 89.142728 55.39648) (xy 89.142718 55.396492) (xy 89.126076 55.417143) + (xy 89.094433 55.461025) (xy 89.034663 55.591899) (xy 89.014976 55.658944) (xy 89.013738 55.667554) + (xy 88.994501 55.801359) (xy 88.9945 55.801363) (xy 88.9945 58.44864) (xy 76.8005 58.44864) (xy 76.8005 55.125) + (xy 80.24454 55.125) (xy 80.264326 55.313256) (xy 80.264327 55.313259) (xy 80.322818 55.493277) + (xy 80.322821 55.493284) (xy 80.417467 55.657216) (xy 80.498589 55.747311) (xy 80.544129 55.797888) + (xy 80.697265 55.909148) (xy 80.69727 55.909151) (xy 80.870192 55.986142) (xy 80.870197 55.986144) + (xy 81.055354 56.0255) (xy 81.055355 56.0255) (xy 81.244644 56.0255) (xy 81.244646 56.0255) (xy 81.429803 55.986144) + (xy 81.60273 55.909151) (xy 81.755871 55.797888) (xy 81.882533 55.657216) (xy 81.977179 55.493284) + (xy 82.035674 55.313256) (xy 82.05546 55.125) (xy 82.035674 54.936744) (xy 81.977179 54.756716) + (xy 81.977178 54.756714) (xy 81.966613 54.738414) (xy 81.95 54.676414) (xy 81.95 54.325) (xy 82.45 54.325) + (xy 82.45 55.125) (xy 82.571409 55.125) (xy 82.761856 55.094835) (xy 82.945234 55.035253) (xy 82.945237 55.035252) + (xy 83.117043 54.947711) (xy 83.273027 54.834383) (xy 83.273032 54.834379) (xy 83.409379 54.698032) + (xy 83.409383 54.698027) (xy 83.522711 54.542043) (xy 83.610252 54.370237) (xy 83.610253 54.370234) + (xy 83.669835 54.186858) (xy 83.669835 54.186855) (xy 83.675673 54.15) (xy 82.82141 54.15) (xy 82.871037 54.064044) + (xy 82.9 53.955952) (xy 82.9 53.844048) (xy 82.871037 53.735956) (xy 82.82141 53.65) (xy 83.675673 53.65) + (xy 83.669835 53.613144) (xy 83.669835 53.613141) (xy 83.610253 53.429765) (xy 83.610252 53.429762) + (xy 83.522711 53.257956) (xy 83.409383 53.101972) (xy 83.409379 53.101967) (xy 83.273032 52.96562) + (xy 83.273027 52.965616) (xy 83.117043 52.852288) (xy 83.112562 52.850005) (xy 84.451857 52.850005) + (xy 84.47239 53.097812) (xy 84.472392 53.097824) (xy 84.533436 53.338881) (xy 84.633326 53.566606) + (xy 84.769333 53.774782) (xy 84.769336 53.774785) (xy 84.937756 53.957738) (xy 85.133991 54.110474) + (xy 85.133993 54.110475) (xy 85.344692 54.2245) (xy 85.35269 54.228828) (xy 85.587886 54.309571) + (xy 85.833165 54.3505) (xy 86.081835 54.3505) (xy 86.327114 54.309571) (xy 86.56231 54.228828) (xy 86.781009 54.110474) + (xy 86.977244 53.957738) (xy 87.145664 53.774785) (xy 87.281673 53.566607) (xy 87.381563 53.338881) + (xy 87.442608 53.097821) (xy 87.446597 53.049682) (xy 87.463143 52.850005) (xy 87.463143 52.849994) + (xy 87.442609 52.602187) (xy 87.442606 52.60217) (xy 87.428618 52.546935) (xy 87.431242 52.477115) + (xy 87.471197 52.419797) (xy 87.535798 52.393179) (xy 87.580916 52.396718) (xy 87.722173 52.434567) + (xy 87.722184 52.434569) (xy 87.957498 52.455157) (xy 87.957502 52.455157) (xy 88.192815 52.434569) + (xy 88.192826 52.434567) (xy 88.420983 52.373433) (xy 88.420992 52.373429) (xy 88.635078 52.2736) + (xy 88.635082 52.273598) (xy 88.718873 52.214926) (xy 88.718873 52.214925) (xy 88.046085 51.542138) + (xy 88.131194 51.519333) (xy 88.233806 51.46009) (xy 88.31759 51.376306) (xy 88.376833 51.273694) + (xy 88.399638 51.188585) (xy 89.072425 51.861373) (xy 89.072426 51.861373) (xy 89.131098 51.777582) + (xy 89.1311 51.777578) (xy 89.230929 51.563492) (xy 89.230933 51.563483) (xy 89.292067 51.335326) + (xy 89.292069 51.335315) (xy 89.312657 51.100001) (xy 89.312657 51.1) (xy 90.601841 51.1) (xy 90.622436 51.335403) + (xy 90.622438 51.335413) (xy 90.683594 51.563655) (xy 90.683596 51.563659) (xy 90.683597 51.563663) + (xy 90.766879 51.742261) (xy 90.783465 51.77783) (xy 90.783467 51.777834) (xy 90.841962 51.861373) + (xy 90.919005 51.971401) (xy 91.086099 52.138495) (xy 91.182884 52.206265) (xy 91.279665 52.274032) + (xy 91.279667 52.274033) (xy 91.27967 52.274035) (xy 91.493837 52.373903) (xy 91.722092 52.435063) + (xy 91.910418 52.451539) (xy 91.957499 52.455659) (xy 91.9575 52.455659) (xy 91.957501 52.455659) + (xy 91.996734 52.452226) (xy 92.192908 52.435063) (xy 92.421163 52.373903) (xy 92.63533 52.274035) + (xy 92.828901 52.138495) (xy 92.995995 51.971401) (xy 93.131535 51.77783) (xy 93.231403 51.563663) + (xy 93.292563 51.335408) (xy 93.313159 51.1) (xy 94.601841 51.1) (xy 94.622436 51.335403) (xy 94.622438 51.335413) + (xy 94.683594 51.563655) (xy 94.683596 51.563659) (xy 94.683597 51.563663) (xy 94.766879 51.742261) + (xy 94.783465 51.77783) (xy 94.783467 51.777834) (xy 94.841962 51.861373) (xy 94.919005 51.971401) + (xy 95.086099 52.138495) (xy 95.182884 52.206265) (xy 95.279665 52.274032) (xy 95.279667 52.274033) + (xy 95.27967 52.274035) (xy 95.493837 52.373903) (xy 95.722092 52.435063) (xy 95.910418 52.451539) + (xy 95.957499 52.455659) (xy 95.9575 52.455659) (xy 95.957501 52.455659) (xy 95.996734 52.452226) + (xy 96.192908 52.435063) (xy 96.333943 52.397273) (xy 96.403791 52.398936) (xy 96.461654 52.438098) + (xy 96.489158 52.502327) (xy 96.486241 52.547487) (xy 96.472393 52.602171) (xy 96.47239 52.602187) + (xy 96.451857 52.849994) (xy 96.451857 52.850005) (xy 96.47239 53.097812) (xy 96.472392 53.097824) + (xy 96.533436 53.338881) (xy 96.633326 53.566606) (xy 96.769333 53.774782) (xy 96.769336 53.774785) + (xy 96.937756 53.957738) (xy 97.133991 54.110474) (xy 97.133993 54.110475) (xy 97.344692 54.2245) + (xy 97.35269 54.228828) (xy 97.587886 54.309571) (xy 97.833165 54.3505) (xy 98.081835 54.3505) (xy 98.327114 54.309571) + (xy 98.56231 54.228828) (xy 98.781009 54.110474) (xy 98.977244 53.957738) (xy 99.145664 53.774785) + (xy 99.281673 53.566607) (xy 99.381563 53.338881) (xy 99.442608 53.097821) (xy 99.446597 53.049682) + (xy 99.463143 52.850005) (xy 99.463143 52.849994) (xy 99.442609 52.602187) (xy 99.442607 52.602175) + (xy 99.381563 52.361118) (xy 99.281673 52.133393) (xy 99.145666 51.925217) (xy 99.086893 51.861373) + (xy 98.977244 51.742262) (xy 98.781009 51.589526) (xy 98.781007 51.589525) (xy 98.781006 51.589524) + (xy 98.562311 51.471172) (xy 98.562302 51.471169) (xy 98.327116 51.390429) (xy 98.081835 51.3495) + (xy 97.833165 51.3495) (xy 97.587883 51.390429) (xy 97.458871 51.434719) (xy 97.389072 51.437869) + (xy 97.328651 51.402783) (xy 97.29679 51.3406) (xy 97.29508 51.306635) (xy 97.313159 51.1) (xy 97.292563 50.864592) + (xy 97.231403 50.636337) (xy 97.131535 50.422171) (xy 97.085617 50.356592) (xy 96.995994 50.228597) + (xy 96.828902 50.061506) (xy 96.828895 50.061501) (xy 96.635334 49.925967) (xy 96.63533 49.925965) + (xy 96.635328 49.925964) (xy 96.421163 49.826097) (xy 96.421159 49.826096) (xy 96.421155 49.826094) + (xy 96.192913 49.764938) (xy 96.192903 49.764936) (xy 95.957501 49.744341) (xy 95.957499 49.744341) + (xy 95.722096 49.764936) (xy 95.722086 49.764938) (xy 95.493844 49.826094) (xy 95.493835 49.826098) + (xy 95.279671 49.925964) (xy 95.279669 49.925965) (xy 95.086097 50.061505) (xy 94.919005 50.228597) + (xy 94.783465 50.422169) (xy 94.783464 50.422171) (xy 94.683598 50.636335) (xy 94.683594 50.636344) + (xy 94.622438 50.864586) (xy 94.622436 50.864596) (xy 94.601841 51.099999) (xy 94.601841 51.1) (xy 93.313159 51.1) + (xy 93.292563 50.864592) (xy 93.231403 50.636337) (xy 93.131535 50.422171) (xy 93.085617 50.356592) + (xy 92.995994 50.228597) (xy 92.828902 50.061506) (xy 92.828895 50.061501) (xy 92.635334 49.925967) + (xy 92.63533 49.925965) (xy 92.635328 49.925964) (xy 92.421163 49.826097) (xy 92.421159 49.826096) + (xy 92.421155 49.826094) (xy 92.192913 49.764938) (xy 92.192903 49.764936) (xy 91.957501 49.744341) + (xy 91.957499 49.744341) (xy 91.722096 49.764936) (xy 91.722086 49.764938) (xy 91.493844 49.826094) + (xy 91.493835 49.826098) (xy 91.279671 49.925964) (xy 91.279669 49.925965) (xy 91.086097 50.061505) + (xy 90.919005 50.228597) (xy 90.783465 50.422169) (xy 90.783464 50.422171) (xy 90.683598 50.636335) + (xy 90.683594 50.636344) (xy 90.622438 50.864586) (xy 90.622436 50.864596) (xy 90.601841 51.099999) + (xy 90.601841 51.1) (xy 89.312657 51.1) (xy 89.312657 51.099998) (xy 89.292069 50.864684) (xy 89.292067 50.864673) + (xy 89.230933 50.636516) (xy 89.230929 50.636507) (xy 89.1311 50.422423) (xy 89.131099 50.422421) + (xy 89.072425 50.338626) (xy 89.072425 50.338625) (xy 88.399637 51.011413) (xy 88.376833 50.926306) + (xy 88.31759 50.823694) (xy 88.233806 50.73991) (xy 88.131194 50.680667) (xy 88.046085 50.657862) + (xy 88.718873 49.985073) (xy 88.718873 49.985072) (xy 88.635083 49.926402) (xy 88.635079 49.9264) + (xy 88.420992 49.82657) (xy 88.420983 49.826566) (xy 88.192826 49.765432) (xy 88.192815 49.76543) + (xy 87.957502 49.744843) (xy 87.957498 49.744843) (xy 87.722184 49.76543) (xy 87.722173 49.765432) + (xy 87.494016 49.826566) (xy 87.494007 49.82657) (xy 87.279919 49.926401) (xy 87.196125 49.985072) + (xy 87.868914 50.657861) (xy 87.783806 50.680667) (xy 87.681194 50.73991) (xy 87.59741 50.823694) + (xy 87.538167 50.926306) (xy 87.515361 51.011414) (xy 86.842572 50.338625) (xy 86.783901 50.422419) + (xy 86.68407 50.636507) (xy 86.684066 50.636516) (xy 86.622932 50.864673) (xy 86.62293 50.864684) + (xy 86.602343 51.099998) (xy 86.602343 51.100002) (xy 86.620436 51.306809) (xy 86.606669 51.375309) + (xy 86.558054 51.425492) (xy 86.490025 51.441425) (xy 86.456645 51.434897) (xy 86.327116 51.390429) + (xy 86.081835 51.3495) (xy 85.833165 51.3495) (xy 85.587883 51.390429) (xy 85.352697 51.471169) + (xy 85.352688 51.471172) (xy 85.133993 51.589524) (xy 84.937757 51.742261) (xy 84.769333 51.925217) + (xy 84.633326 52.133393) (xy 84.533436 52.361118) (xy 84.472392 52.602175) (xy 84.47239 52.602187) + (xy 84.451857 52.849994) (xy 84.451857 52.850005) (xy 83.112562 52.850005) (xy 82.945237 52.764747) + (xy 82.945234 52.764746) (xy 82.761856 52.705164) (xy 82.571409 52.675) (xy 82.45 52.675) (xy 82.45 53.475) + (xy 81.95 53.475) (xy 81.95 52.675) (xy 81.828591 52.675) (xy 81.638143 52.705164) (xy 81.454765 52.764746) + (xy 81.454762 52.764747) (xy 81.282956 52.852288) (xy 81.126972 52.965616) (xy 81.126967 52.96562) + (xy 80.99062 53.101967) (xy 80.990616 53.101972) (xy 80.877288 53.257956) (xy 80.789747 53.429762) + (xy 80.789746 53.429765) (xy 80.730164 53.613141) (xy 80.730164 53.613144) (xy 80.724327 53.65) + (xy 81.57859 53.65) (xy 81.528963 53.735956) (xy 81.5 53.844048) (xy 81.5 53.955952) (xy 81.528963 54.064044) + (xy 81.57859 54.15) (xy 80.724327 54.15) (xy 80.730164 54.186855) (xy 80.730163 54.186855) (xy 80.736522 54.206424) + (xy 80.738516 54.276265) (xy 80.702434 54.336097) (xy 80.691476 54.345058) (xy 80.544127 54.452113) + (xy 80.417466 54.592785) (xy 80.322821 54.756715) (xy 80.322818 54.756722) (xy 80.266889 54.928855) + (xy 80.264326 54.936744) (xy 80.24454 55.125) (xy 76.8005 55.125) (xy 76.8005 54.089169) (xy 76.820185 54.02213) + (xy 76.872989 53.976375) (xy 76.942147 53.966431) (xy 77.005703 53.995456) (xy 77.042431 54.050851) + (xy 77.07876 54.162659) (xy 77.155578 54.313423) (xy 77.255038 54.450316) (xy 77.255038 54.450317) + (xy 77.374682 54.569961) (xy 77.511576 54.669421) (xy 77.66234 54.746239) (xy 77.823273 54.79853) + (xy 77.990391 54.825) (xy 78.15 54.825) (xy 78.15 54.025) (xy 78.65 54.025) (xy 78.65 54.825) (xy 78.809609 54.825) + (xy 78.976726 54.79853) (xy 79.137659 54.746239) (xy 79.288423 54.669421) (xy 79.425316 54.569961) + (xy 79.425317 54.569961) (xy 79.544961 54.450317) (xy 79.544961 54.450316) (xy 79.644421 54.313423) + (xy 79.721239 54.162659) (xy 79.77353 54.001726) (xy 79.773804 54) (xy 78.840057 54) (xy 78.880775 53.983134) + (xy 78.958134 53.905775) (xy 79 53.804701) (xy 79 53.695299) (xy 78.958134 53.594225) (xy 78.880775 53.516866) + (xy 78.840057 53.5) (xy 79.773804 53.5) (xy 79.77353 53.498273) (xy 79.721239 53.33734) (xy 79.644421 53.186576) + (xy 79.544961 53.049683) (xy 79.544961 53.049682) (xy 79.425317 52.930038) (xy 79.288423 52.830578) + (xy 79.137659 52.75376) (xy 78.976726 52.701469) (xy 78.809609 52.675) (xy 78.65 52.675) (xy 78.65 53.475) + (xy 78.15 53.475) (xy 78.15 52.675) (xy 77.990391 52.675) (xy 77.823273 52.701469) (xy 77.66234 52.75376) + (xy 77.511576 52.830578) (xy 77.374683 52.930038) (xy 77.374682 52.930038) (xy 77.255038 53.049682) + (xy 77.255038 53.049683) (xy 77.155578 53.186576) (xy 77.07876 53.337338) (xy 77.042431 53.449149) + (xy 77.002993 53.506824) (xy 76.938634 53.534022) (xy 76.869788 53.522107) (xy 76.818312 53.474863) + (xy 76.8005 53.41083) (xy 76.8005 49.118004) (xy 78.1995 49.118004) (xy 78.199501 49.11802) (xy 78.230306 49.35201) + (xy 78.291394 49.579993) (xy 78.381714 49.798045) (xy 78.381719 49.798056) (xy 78.452677 49.920957) + (xy 78.499727 50.00245) (xy 78.499729 50.002453) (xy 78.49973 50.002454) (xy 78.643406 50.189697) + (xy 78.643412 50.189704) (xy 78.810295 50.356587) (xy 78.810302 50.356593) (xy 78.896091 50.422421) + (xy 78.99755 50.500273) (xy 79.128918 50.576118) (xy 79.201943 50.61828) (xy 79.201948 50.618282) + (xy 79.201951 50.618284) (xy 79.420007 50.708606) (xy 79.647986 50.769693) (xy 79.881989 50.8005) + (xy 79.881996 50.8005) (xy 80.118004 50.8005) (xy 80.118011 50.8005) (xy 80.352014 50.769693) (xy 80.579993 50.708606) + (xy 80.798049 50.618284) (xy 81.00245 50.500273) (xy 81.189699 50.356592) (xy 81.356592 50.189699) + (xy 81.500273 50.00245) (xy 81.618284 49.798049) (xy 81.708606 49.579993) (xy 81.769693 49.352014) + (xy 81.8005 49.118011) (xy 81.8005 49.118004) (xy 102.1995 49.118004) (xy 102.199501 49.11802) (xy 102.230306 49.35201) + (xy 102.291394 49.579993) (xy 102.381714 49.798045) (xy 102.381719 49.798056) (xy 102.452677 49.920957) + (xy 102.499727 50.00245) (xy 102.499729 50.002453) (xy 102.49973 50.002454) (xy 102.643406 50.189697) + (xy 102.643412 50.189704) (xy 102.810295 50.356587) (xy 102.810302 50.356593) (xy 102.896091 50.422421) + (xy 102.99755 50.500273) (xy 103.128918 50.576118) (xy 103.201943 50.61828) (xy 103.201948 50.618282) + (xy 103.201951 50.618284) (xy 103.420007 50.708606) (xy 103.647986 50.769693) (xy 103.881989 50.8005) + (xy 103.881996 50.8005) (xy 104.118004 50.8005) (xy 104.118011 50.8005) (xy 104.352014 50.769693) + (xy 104.579993 50.708606) (xy 104.798049 50.618284) (xy 105.00245 50.500273) (xy 105.189699 50.356592) + (xy 105.356592 50.189699) (xy 105.500273 50.00245) (xy 105.618284 49.798049) (xy 105.708606 49.579993) + (xy 105.769693 49.352014) (xy 105.8005 49.118011) (xy 105.8005 48.881989) (xy 105.769693 48.647986) + (xy 105.708606 48.420007) (xy 105.618284 48.201951) (xy 105.618282 48.201948) (xy 105.61828 48.201943) + (xy 105.56547 48.110474) (xy 105.500273 47.99755) (xy 105.356592 47.810301) (xy 105.356587 47.810295) + (xy 105.189704 47.643412) (xy 105.189697 47.643406) (xy 105.002454 47.49973) (xy 105.002453 47.499729) + (xy 105.00245 47.499727) (xy 104.920957 47.452677) (xy 104.798056 47.381719) (xy 104.798045 47.381714) + (xy 104.579993 47.291394) (xy 104.35201 47.230306) (xy 104.11802 47.199501) (xy 104.118017 47.1995) + (xy 104.118011 47.1995) (xy 103.881989 47.1995) (xy 103.881983 47.1995) (xy 103.881979 47.199501) + (xy 103.647989 47.230306) (xy 103.420006 47.291394) (xy 103.201954 47.381714) (xy 103.201943 47.381719) + (xy 102.997545 47.49973) (xy 102.810302 47.643406) (xy 102.810295 47.643412) (xy 102.643412 47.810295) + (xy 102.643406 47.810302) (xy 102.49973 47.997545) (xy 102.381719 48.201943) (xy 102.381714 48.201954) + (xy 102.291394 48.420006) (xy 102.230306 48.647989) (xy 102.199501 48.881979) (xy 102.1995 48.881995) + (xy 102.1995 49.118004) (xy 81.8005 49.118004) (xy 81.8005 48.881989) (xy 81.769693 48.647986) (xy 81.708606 48.420007) + (xy 81.618284 48.201951) (xy 81.618282 48.201948) (xy 81.61828 48.201943) (xy 81.56547 48.110474) + (xy 81.500273 47.99755) (xy 81.356592 47.810301) (xy 81.356587 47.810295) (xy 81.189704 47.643412) + (xy 81.189697 47.643406) (xy 81.002454 47.49973) (xy 81.002453 47.499729) (xy 81.00245 47.499727) + (xy 80.920957 47.452677) (xy 80.798056 47.381719) (xy 80.798045 47.381714) (xy 80.579993 47.291394) + (xy 80.35201 47.230306) (xy 80.11802 47.199501) (xy 80.118017 47.1995) (xy 80.118011 47.1995) (xy 79.881989 47.1995) + (xy 79.881983 47.1995) (xy 79.881979 47.199501) (xy 79.647989 47.230306) (xy 79.420006 47.291394) + (xy 79.201954 47.381714) (xy 79.201943 47.381719) (xy 78.997545 47.49973) (xy 78.810302 47.643406) + (xy 78.810295 47.643412) (xy 78.643412 47.810295) (xy 78.643406 47.810302) (xy 78.49973 47.997545) + (xy 78.381719 48.201943) (xy 78.381714 48.201954) (xy 78.291394 48.420006) (xy 78.230306 48.647989) + (xy 78.199501 48.881979) (xy 78.1995 48.881995) (xy 78.1995 49.118004) (xy 76.8005 49.118004) (xy 76.8005 45.9245) + (xy 76.820185 45.857461) (xy 76.872989 45.811706) (xy 76.9245 45.8005) (xy 84.621684 45.8005) + ) + ) + ) +) \ No newline at end of file diff --git a/pcb/lipo-charger/lipo-charger.kicad_pro b/pcb/lipo-charger/lipo-charger.kicad_pro new file mode 100644 index 0000000..9b43dec --- /dev/null +++ b/pcb/lipo-charger/lipo-charger.kicad_pro @@ -0,0 +1,773 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.153, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.153, + "silk_text_upright": true, + "zones": { + "min_clearance": 0.25 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.127, + "min_connection": 0.127, + "min_copper_edge_clearance": 0.3, + "min_hole_clearance": 0.2, + "min_hole_to_hole": 0.5, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 1, + "min_silk_clearance": 0.0, + "min_text_height": 1.0, + "min_text_thickness": 0.153, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.127, + "min_via_annular_width": 0.13, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.005, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0 + ], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": true + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "error", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "lipo-charger.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.127, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Power", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.5, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.127, + "diff_pair_gap": 0.127, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2088, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "USB Data", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2088, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [ + { + "netclass": "Power", + "pattern": "VBUS" + }, + { + "netclass": "Power", + "pattern": "VDD" + }, + { + "netclass": "Power", + "pattern": "VBAT" + }, + { + "netclass": "Power", + "pattern": "+3V3" + }, + { + "netclass": "USB Data", + "pattern": "/USB_D+" + }, + { + "netclass": "USB Data", + "pattern": "/USB_D-" + } + ] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "production/", + "pos_files": "", + "specctra_dsn": "", + "step": "lipo-charger.step", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [ + { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + }, + { + "group_by": false, + "label": "#", + "name": "${ITEM_NUMBER}", + "show": false + }, + { + "group_by": false, + "label": "Part Number", + "name": "Part Number", + "show": true + }, + { + "group_by": false, + "label": "Description", + "name": "Description", + "show": false + }, + { + "group_by": true, + "label": "JLC Part Number", + "name": "JLC Part Number", + "show": false + }, + { + "group_by": false, + "label": "JLC", + "name": "JLC", + "show": false + }, + { + "group_by": false, + "label": "JLCSC", + "name": "JLCSC", + "show": false + } + ], + "filter_string": "", + "group_symbols": true, + "name": "JLC Assembly", + "sort_asc": true, + "sort_field": "Reference" + } + ], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": true + }, + { + "group_by": false, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + }, + { + "group_by": false, + "label": "#", + "name": "${ITEM_NUMBER}", + "show": false + }, + { + "group_by": false, + "label": "Part Number", + "name": "Part Number", + "show": true + }, + { + "group_by": false, + "label": "Description", + "name": "Description", + "show": false + }, + { + "group_by": true, + "label": "JLCSC Part #", + "name": "JLCSC Part #", + "show": true + }, + { + "group_by": false, + "label": "JLC", + "name": "JLC", + "show": true + }, + { + "group_by": false, + "label": "JLCSC", + "name": "JLCSC", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "name": "", + "sort_asc": true, + "sort_field": "Reference" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": true, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "e0dd9d5e-2634-4a4a-9cde-6536405eb310", + "Root" + ] + ], + "text_variables": {} +} diff --git a/pcb/lipo-charger/lipo-charger.kicad_sch b/pcb/lipo-charger/lipo-charger.kicad_sch new file mode 100644 index 0000000..1dbe006 --- /dev/null +++ b/pcb/lipo-charger/lipo-charger.kicad_sch @@ -0,0 +1,5295 @@ +(kicad_sch + (version 20231120) + (generator "eeschema") + (generator_version "8.0") + (uuid "e0dd9d5e-2634-4a4a-9cde-6536405eb310") + (paper "USLetter") + (title_block + (title "lipo charger/supply") + (date "2024-03-30") + (rev "1") + (company "Trevor Vannoy") + (comment 2 "Circuit that can be used to power a separate circuit from a lipo,\\nas well as charge the lipo") + ) + (lib_symbols + (symbol "Battery_Management:MCP73831-2-OT" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at -7.62 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 1.27 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 1.27 -6.35 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 0 -18.288 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "battery charger lithium" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "SOT?23*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "MCP73831-2-OT_0_1" + (rectangle + (start -7.62 5.08) + (end 7.62 -5.08) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + ) + (symbol "MCP73831-2-OT_1_1" + (pin tri_state line + (at 10.16 -2.54 180) + (length 2.54) + (name "STAT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -7.62 90) + (length 2.54) + (name "V_{SS}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 2.54 180) + (length 2.54) + (name "V_{BAT}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 7.62 270) + (length 2.54) + (name "V_{DD}" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -10.16 -2.54 0) + (length 2.54) + (name "PROG" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector:USB_B_Micro" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at -5.08 11.43 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "USB_B_Micro" + (at -5.08 8.89 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 3.81 -1.27 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector USB micro" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "USB*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "USB_B_Micro_0_1" + (rectangle + (start -5.08 -7.62) + (end 5.08 7.62) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (circle + (center -3.81 2.159) + (radius 0.635) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (circle + (center -0.635 3.429) + (radius 0.381) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start -0.127 -7.62) + (end 0.127 -6.858) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 2.159) (xy 0.635 2.159) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.175 2.159) (xy -2.54 2.159) (xy -1.27 3.429) (xy -0.635 3.429) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 2.159) (xy -1.905 2.159) (xy -1.27 0.889) (xy 0 0.889) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.635 2.794) (xy 0.635 1.524) (xy 1.905 2.159) (xy 0.635 2.794) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.318 5.588) (xy -1.778 5.588) (xy -2.032 4.826) (xy -4.064 4.826) (xy -4.318 5.588) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type outline) + ) + ) + (polyline + (pts + (xy -4.699 5.842) (xy -4.699 5.588) (xy -4.445 4.826) (xy -4.445 4.572) (xy -1.651 4.572) (xy -1.651 4.826) + (xy -1.397 5.588) (xy -1.397 5.842) (xy -4.699 5.842) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 0.254 1.27) + (end -0.508 0.508) + (stroke + (width 0.254) + (type default) + ) + (fill + (type outline) + ) + ) + (rectangle + (start 5.08 -5.207) + (end 4.318 -4.953) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -2.667) + (end 4.318 -2.413) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 -0.127) + (end 4.318 0.127) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start 5.08 4.953) + (end 4.318 5.207) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line + (at 7.62 5.08 180) + (length 2.54) + (name "VBUS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -2.54 180) + (length 2.54) + (name "D-" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 0 180) + (length 2.54) + (name "D+" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -5.08 180) + (length 2.54) + (name "ID" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 0 -10.16 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -10.16 90) + (length 2.54) + (name "Shield" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x02" + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_01x02" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "connector" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "Conn_01x02_1_1" + (rectangle + (start -1.27 -2.413) + (end 0 -2.667) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 0.127) + (end 0 -0.127) + (stroke + (width 0.1524) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.27 1.27) + (end 1.27 -3.81) + (stroke + (width 0.254) + (type default) + ) + (fill + (type background) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 3.81) + (name "Pin_1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -2.54 0) + (length 3.81) + (name "Pin_2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:C_Small" + (pin_numbers hide) + (pin_names + (offset 0.254) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.254 1.778 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C_Small" + (at 0.254 -2.032 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "capacitor cap" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) (xy 1.524 -0.508) + ) + (stroke + (width 0.3302) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.524 0.508) (xy 1.524 0.508) + ) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_Small_1_1" + (pin passive line + (at 0 2.54 270) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:D_Schottky" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "D_Schottky" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "diode Schottky" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "D_Schottky_0_1" + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 1.27) (xy 1.27 -1.27) (xy -1.27 0) (xy 1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 0.635) (xy -1.905 1.27) (xy -1.27 1.27) (xy -1.27 -1.27) (xy -0.635 -1.27) (xy -0.635 -0.635) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "D_Schottky_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:LED" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "LED" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "LED diode" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) (xy -1.27 1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.27 0) (xy 1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.27 -1.27) (xy 1.27 1.27) (xy -1.27 0) (xy 1.27 -1.27) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -3.048 -0.762) (xy -4.572 -2.286) (xy -3.81 -2.286) (xy -4.572 -2.286) (xy -4.572 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.778 -0.762) (xy -3.302 -2.286) (xy -2.54 -2.286) (xy -3.302 -2.286) (xy -3.302 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "LED_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "K" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Device:R_Small_US" + (pin_numbers hide) + (pin_names + (offset 0.254) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 0.762 0.508 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "R_Small_US" + (at 0.762 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "r resistor" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "R_Small_US_1_1" + (polyline + (pts + (xy 0 0) (xy 1.016 -0.381) (xy 0 -0.762) (xy -1.016 -1.143) (xy 0 -1.524) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 1.524) (xy 1.016 1.143) (xy 0 0.762) (xy -1.016 0.381) (xy 0 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at 0 2.54 270) + (length 1.016) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 1.016) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Diode:SD05_SOD323" + (pin_numbers hide) + (pin_names + (offset 1.016) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "D" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SD05_SOD323" + (at 0 -2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.littelfuse.com/~/media/electronics/datasheets/tvs_diode_arrays/littelfuse_tvs_diode_array_sd_c_datasheet.pdf.pdf" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "transient voltage suppressor thyrector transil" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_fp_filters" "D?SOD?323*" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SD05_SOD323_0_1" + (polyline + (pts + (xy 1.27 0) (xy -1.27 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -1.27) (xy 0 0) (xy -2.54 1.27) (xy -2.54 -1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0.508 1.27) (xy 0 1.27) (xy 0 -1.27) (xy -0.508 -1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 2.54 1.27) (xy 2.54 -1.27) (xy 0 0) (xy 2.54 1.27) + ) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "SD05_SOD323_1_1" + (pin passive line + (at -3.81 0 0) + (length 2.54) + (name "A1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 2.54) + (name "A2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "Switch:SW_SPDT" + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "SW" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "SW_SPDT" + (at 0 -5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 -7.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "switch single-pole double-throw spdt ON-ON" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "SW_SPDT_0_1" + (circle + (center -2.032 0) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.651 0.254) (xy 1.651 2.286) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 -2.54) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 2.032 2.54) + (radius 0.4572) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "SW_SPDT_1_1" + (rectangle + (start -3.175 3.81) + (end 3.175 -3.81) + (stroke + (width 0) + (type default) + ) + (fill + (type background) + ) + ) + (pin passive line + (at 5.08 2.54 180) + (length 2.54) + (name "A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 0 0) + (length 2.54) + (name "B" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -2.54 180) + (length 2.54) + (name "C" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:GND" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:PWR_FLAG" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#FLG" + (at 0 1.905 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "PWR_FLAG" + (at 0 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Special symbol for telling ERC where power comes from" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "flag power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "PWR_FLAG_0_0" + (pin power_out line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (symbol "PWR_FLAG_0_1" + (polyline + (pts + (xy 0 0) (xy 0 1.27) (xy -1.016 1.905) (xy 0 2.54) (xy 1.016 1.905) (xy 0 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + ) + (symbol "power:VAA" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VAA" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VAA\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VAA_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VAA_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VBUS" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VBUS_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VBUS_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VCC" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VCC" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VCC_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VCC_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + (symbol "power:VDD" + (power) + (pin_numbers hide) + (pin_names + (offset 0) hide) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (symbol "VDD_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "VDD_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + ) + ) + (junction + (at 90.17 143.51) + (diameter 0) + (color 0 0 0 0) + (uuid "08111827-0e11-4ed8-b9aa-b8e08719d6da") + ) + (junction + (at 127 72.39) + (diameter 0) + (color 0 0 0 0) + (uuid "630891f4-0037-46a3-8b31-7f827fca1fc1") + ) + (junction + (at 104.14 127) + (diameter 0) + (color 0 0 0 0) + (uuid "b4420daa-e434-45ca-b1a9-f08679906f0d") + ) + (junction + (at 160.02 134.62) + (diameter 0) + (color 0 0 0 0) + (uuid "d87091f9-0931-4cbd-b7f6-34323ad4b393") + ) + (junction + (at 132.08 127) + (diameter 0) + (color 0 0 0 0) + (uuid "f0fc9141-d63a-4120-8f48-324d50ae244e") + ) + (junction + (at 149.86 78.74) + (diameter 0) + (color 0 0 0 0) + (uuid "f4b44a90-d044-4c08-9b5a-7adcb015c809") + ) + (no_connect + (at 99.06 137.16) + (uuid "7a99d419-0f81-4735-8bc8-9507d42e5064") + ) + (no_connect + (at 99.06 134.62) + (uuid "bba6bda4-c599-4160-8b43-fdec0419ee31") + ) + (no_connect + (at 99.06 132.08) + (uuid "e348535c-808f-4f57-9921-2afc4d9db7a1") + ) + (wire + (pts + (xy 104.14 127) (xy 113.03 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "02e6fde4-c0c0-40e7-be78-3ff0ed9bb61e") + ) + (wire + (pts + (xy 149.86 78.74) (xy 157.48 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "212c2123-77c7-4a3d-a875-0f5dbcde1989") + ) + (wire + (pts + (xy 105.41 83.82) (xy 109.22 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "21667acc-164d-4b3d-bd59-e24ae9b26f57") + ) + (wire + (pts + (xy 137.16 78.74) (xy 149.86 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "325e2e37-67de-4d1f-a665-f9c9681146ee") + ) + (wire + (pts + (xy 115.57 72.39) (xy 119.38 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "35be41bd-be16-4da8-b98c-5593a8167fe9") + ) + (wire + (pts + (xy 88.9 143.51) (xy 88.9 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "395b3f9b-5e8f-45c5-a2a1-89a50158a79a") + ) + (wire + (pts + (xy 104.14 127) (xy 104.14 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "40589a5c-f9c7-4f33-ac65-21b6bbb498e4") + ) + (wire + (pts + (xy 115.57 73.66) (xy 115.57 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "46e55646-9de7-425e-a0a8-b477c766c56e") + ) + (wire + (pts + (xy 177.8 78.74) (xy 177.8 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5135d3a8-9c64-4d4e-b4be-af9f9e942f4b") + ) + (wire + (pts + (xy 181.61 142.24) (xy 181.61 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "55f6303e-2656-4514-99fd-63b2854e0613") + ) + (wire + (pts + (xy 124.46 72.39) (xy 127 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "593d0fbd-3406-41bd-a62d-8baffdffbc7f") + ) + (wire + (pts + (xy 137.16 83.82) (xy 140.97 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "62ff6367-d2ac-456d-9dfc-a4c5a0bb90e9") + ) + (wire + (pts + (xy 160.02 127) (xy 160.02 134.62) + ) + (stroke + (width 0) + (type default) + ) + (uuid "63bb0723-20f7-425b-9843-4dc940b0daa4") + ) + (wire + (pts + (xy 140.97 92.71) (xy 140.97 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6dce3121-f8be-46a5-a9d7-9d5ee153baa9") + ) + (wire + (pts + (xy 93.98 78.74) (xy 93.98 81.28) + ) + (stroke + (width 0) + (type default) + ) + (uuid "760f9a1e-0a60-4c67-9032-9d0e0cc2a330") + ) + (wire + (pts + (xy 132.08 127) (xy 139.7 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7744e193-3e9a-45df-96db-7d9a77641af6") + ) + (wire + (pts + (xy 165.1 134.62) (xy 160.02 134.62) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7a670868-5d65-4d86-b08f-b89c90c5ad25") + ) + (wire + (pts + (xy 102.87 92.71) (xy 140.97 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7e93ca49-ff3d-47ca-9ab0-1c5f0dd5be3c") + ) + (wire + (pts + (xy 175.26 132.08) (xy 181.61 132.08) + ) + (stroke + (width 0) + (type default) + ) + (uuid "84df638d-76f6-45eb-9aa7-df7f9a63309a") + ) + (wire + (pts + (xy 177.8 90.17) (xy 177.8 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "92d66e57-2f26-4f2a-8b01-6b773df8e6c4") + ) + (wire + (pts + (xy 90.17 143.51) (xy 91.44 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "93d5ce00-f189-4c22-be67-cf4550f97a1a") + ) + (wire + (pts + (xy 120.65 127) (xy 132.08 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "981f5ac0-2996-4947-bfcf-bd9df3fa56a9") + ) + (wire + (pts + (xy 181.61 127) (xy 181.61 132.08) + ) + (stroke + (width 0) + (type default) + ) + (uuid "99af40e8-a3e6-4460-ad6a-1a54f4b890a4") + ) + (wire + (pts + (xy 132.08 123.19) (xy 132.08 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9c69e3c1-2e23-4bd1-ba50-862e3084f838") + ) + (wire + (pts + (xy 139.7 127) (xy 139.7 123.19) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9f1c601e-46c8-4dc3-84e0-488a46b0c0b5") + ) + (wire + (pts + (xy 149.86 81.28) (xy 149.86 78.74) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b01f58bb-0f28-42e1-8f33-5611cdb7f0b4") + ) + (wire + (pts + (xy 99.06 127) (xy 104.14 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b8350025-a431-4142-897e-76a0659856d0") + ) + (wire + (pts + (xy 93.98 92.71) (xy 93.98 88.9) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bebdb416-8ea7-4b95-a902-44b7d8c1f6e4") + ) + (wire + (pts + (xy 160.02 142.24) (xy 160.02 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bfd10b25-ffd1-4665-8510-06114d7164c2") + ) + (wire + (pts + (xy 104.14 123.19) (xy 104.14 127) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c1d3c5f5-3797-469c-8560-16bab043b147") + ) + (wire + (pts + (xy 127 72.39) (xy 127 73.66) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cddf5dab-4d1f-496e-991f-ce40565185fb") + ) + (wire + (pts + (xy 91.44 143.51) (xy 91.44 142.24) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d520e50b-709a-44a5-b891-2bfdf80a37fe") + ) + (wire + (pts + (xy 90.17 143.51) (xy 88.9 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d56d5701-4a1b-4b68-94de-e06b97d00dcd") + ) + (wire + (pts + (xy 149.86 86.36) (xy 149.86 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dbbf403f-a737-480a-8f2e-761d9cef7e85") + ) + (wire + (pts + (xy 181.61 137.16) (xy 175.26 137.16) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e1ca4a46-a7b1-4854-bb3e-82a238f82861") + ) + (wire + (pts + (xy 97.79 92.71) (xy 93.98 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e807a860-1c69-482a-9762-fe3335e87ade") + ) + (wire + (pts + (xy 105.41 85.09) (xy 105.41 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e86c5a85-f0c0-4ad6-aca5-d527f9fe1e13") + ) + (wire + (pts + (xy 127 71.12) (xy 127 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ed58c706-dc98-4ab5-b748-dc35cfe122dc") + ) + (wire + (pts + (xy 114.3 83.82) (xy 116.84 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f0c9d19a-0a3e-4bbd-8751-7ef4ed90c52e") + ) + (text_box "Load enable" + (exclude_from_sim no) + (at 148.59 107.95 0) + (size 40.64 43.18) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "05904bd4-86ba-4bb1-812a-dd77f9d09837") + ) + (text_box "USB connector" + (exclude_from_sim no) + (at 82.55 107.95 0) + (size 64.77 43.18) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (bold yes) + ) + (justify left top) + ) + (uuid "72b258bd-80ae-4c1a-b2a2-16906de6e91b") + ) + (text_box "LIPO charger" + (exclude_from_sim no) + (at 82.55 48.26 0) + (size 106.68 58.42) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + (effects + (font + (size 1.27 1.27) + (thickness 0.254) + (bold yes) + ) + (justify left top) + ) + (uuid "97860e22-565d-4386-bf6a-a13f5fb839dc") + ) + (text "VDD from USB is\n~4.8V through 4.7V" + (exclude_from_sim no) + (at 141.224 131.826 0) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + (uuid "446d6877-de85-4b11-80b1-7faebf6769b8") + ) + (text "Based off of Adafruit QT Py Lipo BFF" + (exclude_from_sim no) + (at 128.524 50.546 0) + (effects + (font + (size 1.27 1.27) + ) + (href "https://learn.adafruit.com/adafruit-qt-py-charger-bff") + ) + (uuid "9e1feb67-9f5e-49f2-be90-b855bd64b972") + ) + (text "Charge current settings:\n\nI_CHARGE = 1000 V / RPROG \n\nRPROG = 2k -> 500 mA\nRPROG = 5k -> 200 mA\nRPROG = 10k -> 100 mA\nRPROG = 20k -> 50 mA" + (exclude_from_sim no) + (at 151.892 58.928 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "a2228b56-0bba-4c41-a072-37730c98c649") + ) + (text "Diode prevents battery power\nfrom flowing into USB port" + (exclude_from_sim no) + (at 128.778 110.998 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "ab2c28fb-7783-477c-a5b5-74b95ed8f841") + ) + (text "Used for charging battery" + (exclude_from_sim no) + (at 96.012 112.268 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "c1e1a686-1282-4fe5-b309-5fd62138cd76") + ) + (text "LED biasing:\nAssume Vf = 2V, design for ~7 mA.\n" + (exclude_from_sim no) + (at 106.426 103.632 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "ccb23fb9-df2e-4989-a618-7012f30fcd80") + ) + (text "Switch to provide power\nto the output load" + (exclude_from_sim no) + (at 173.736 116.332 0) + (effects + (font + (size 1.27 1.27) + ) + ) + (uuid "d223ca97-790a-41c4-b862-11f8e2038820") + ) + (symbol + (lib_id "power:GND") + (at 149.86 92.71 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "03123858-d90a-47ba-b5d8-f1af9eb7e6a8") + (property "Reference" "#PWR09" + (at 149.86 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 149.86 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 149.86 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 149.86 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 149.86 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5aaa086b-19e7-4143-952a-ecc52e667449") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR09") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:PWR_FLAG") + (at 132.08 123.19 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "034ad891-48c9-429e-94a3-fe1e61d49943") + (property "Reference" "#FLG01" + (at 132.08 121.285 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "PWR_FLAG" + (at 132.08 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 132.08 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 132.08 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Special symbol for telling ERC where power comes from" + (at 132.08 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "ee417819-2927-459e-98b4-732bc797ae3e") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#FLG01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VBUS") + (at 104.14 123.19 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "045e87c4-2845-48bd-8e58-e343d5f323c8") + (property "Reference" "#PWR016" + (at 104.14 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBUS" + (at 104.14 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 104.14 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 104.14 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VBUS\"" + (at 104.14 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3a4f52a0-809b-42ba-b51e-c53070c9910b") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR016") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 139.7 123.19 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "08f7e697-c60c-4243-aca6-a79fe64090ab") + (property "Reference" "#PWR08" + (at 139.7 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 139.7 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 139.7 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 139.7 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 139.7 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d04872bd-7f6c-4191-bfa8-c7af97fa1314") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR08") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:D_Schottky") + (at 116.84 127 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "095f950d-cb3a-46b7-8f67-48d091ca3cf3") + (property "Reference" "D3" + (at 117.1575 120.65 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "NRVB120VLSF" + (at 117.1575 123.19 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-123F" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.onsemi.com/pdf/datasheet/mbr120vlsft1-d.pdf" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Schottky diode" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "SM5817PL-TP" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOD-123FL" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C669022" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C669022" + (at 116.84 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "04eea9e2-216d-491b-8662-84f6f7f0e8b2") + ) + (pin "1" + (uuid "3f1f4535-51ea-4c35-bf3f-cf86385f3bdf") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "D3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 105.41 85.09 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "0b8b1323-dd1e-43d4-b339-1de3aa44fdbc") + (property "Reference" "#PWR04" + (at 105.41 91.44 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 105.41 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 105.41 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 105.41 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 105.41 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "bc255faa-3a0f-4bb0-9d8d-aacc2c34aae8") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR04") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 177.8 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "126002d8-3e99-4a19-96e4-2c54aec5db4e") + (property "Reference" "#PWR014" + (at 177.8 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 177.8 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 177.8 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 177.8 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 177.8 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "7a914187-88fb-4367-8211-ffb6e3c7038d") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR014") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Diode:SD05_SOD323") + (at 104.14 140.97 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "17899dc8-f08e-482c-9df2-5f770e30f4aa") + (property "Reference" "D2" + (at 104.648 136.906 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "SD05_SOD323" + (at 101.346 135.128 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Diode_SMD:D_SOD-323" + (at 99.06 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/SD05C.pdf" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "5V, 450W Discrete Bidirectional TVS Diode, SOD-323" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "Leiditech SD05" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOD-323" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C490614" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C490614" + (at 104.14 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3695091f-ae8d-487b-a1be-9ee168fa6c7b") + ) + (pin "2" + (uuid "c019d899-4c27-42e2-9c08-960b29de0957") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "D2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 111.76 83.82 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "308a712b-52b9-4481-b8b2-6a25ef2b8dcd") + (property "Reference" "R2" + (at 111.506 86.614 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "20k" + (at 111.76 88.9 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-0720KL" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C4328" + (at 111.76 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "91ede30b-7604-4e89-9345-05a213985184") + ) + (pin "1" + (uuid "db90ddf3-2b61-4eb4-b534-ce0322ef5734") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "R2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 157.48 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "34b883d4-e063-484b-9158-cbb4badc1d94") + (property "Reference" "#PWR012" + (at 157.48 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 157.48 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 157.48 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 157.48 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 157.48 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "6962ea27-16dc-4605-894f-87bdd9e0e4cf") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 127 71.12 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "4e3b209e-bdab-4271-b155-4ef4cca0b609") + (property "Reference" "#PWR06" + (at 127 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 127 66.04 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 127 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 127 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 127 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b71dc8bc-e980-48e8-bfcd-2f4b6f6f4e9f") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR06") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VCC") + (at 181.61 127 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6f891f88-486e-4f06-981b-28eaa92720f5") + (property "Reference" "#PWR010" + (at 181.61 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VBAT" + (at 181.61 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 181.61 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 181.61 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VCC\"" + (at 181.61 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "b5c2e8a8-ea61-455d-9f22-5f3d2fcad0e2") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR010") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 90.17 143.51 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "88e9016d-b48b-4123-8b92-e027a0ea911c") + (property "Reference" "#PWR01" + (at 90.17 149.86 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 90.17 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 90.17 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 90.17 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 90.17 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "591410e9-911d-4e91-b5f4-b0e5e5d542e2") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 115.57 73.66 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "8cac6a90-293f-433f-a70c-a90a465cbee5") + (property "Reference" "#PWR05" + (at 115.57 80.01 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 115.57 77.47 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 115.57 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 115.57 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 115.57 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "9dcfe00a-ec55-4ed8-b990-218d174c5454") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR05") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 149.86 83.82 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "97223afb-a9ca-4ace-be26-7846a88336fe") + (property "Reference" "C2" + (at 152.4 82.5562 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "4.7uF" + (at 152.4 85.0962 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB475" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1779" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C1779" + (at 149.86 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "d4238733-2771-4c4e-9c9b-2e2e2d15611c") + ) + (pin "2" + (uuid "cc8e6665-0c78-4093-ac60-fb36cb6626aa") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "C2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector_Generic:Conn_01x02") + (at 154.94 134.62 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9c73ed74-4fec-4c40-8bc4-81f10504b7e3") + (property "Reference" "J3" + (at 154.94 143.51 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "OUTPUT" + (at 154.94 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C5251182" + (at 154.94 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8e854115-9310-4973-8bd8-3096ac65daa6") + ) + (pin "2" + (uuid "efd89c3c-cf25-43eb-af06-008e8768dc4a") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Switch:SW_SPDT") + (at 170.18 134.62 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "9fb571ca-8b4a-47c6-b955-674bd791619e") + (property "Reference" "SW1" + (at 170.18 128.016 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "BATTERY_EN" + (at 170.18 125.476 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Button_Switch_THT:SW_E-Switch_EG1224_SPDT_Angled" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://sten-eswitch-13110800-production.s3.amazonaws.com/system/asset/product_line/data_sheet/119/EG.pdf" + (at 170.18 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Switch, single pole double throw" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "EG1224" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C273395" + (at 170.18 134.62 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "b5a0b727-9836-4bb6-88ca-2c665681ab44") + ) + (pin "3" + (uuid "2825b52b-6f80-488d-b1ae-50c63bc97d7c") + ) + (pin "1" + (uuid "4dd51da7-2cc4-43d0-af01-24d7bc02e5c9") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "SW1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:USB_B_Micro") + (at 91.44 132.08 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a0694061-3a9a-4b7d-948a-37859d5e3ccb") + (property "Reference" "J1" + (at 91.44 119.38 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "USB_B_Micro" + (at 91.44 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Wuerth_629105150521" + (at 95.25 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.we-online.com/katalog/datasheet/629105150521.pdf" + (at 95.25 133.35 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "USB Micro Type B connector" + (at 91.44 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "629105150521" + (at 91.44 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 91.44 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "" + (at 91.44 132.08 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "8b25d91d-a14e-4a2f-8e29-909dcb8c9a70") + ) + (pin "4" + (uuid "a2da086d-e4e6-43a4-b2ca-ffa7eeb59393") + ) + (pin "6" + (uuid "3c230759-2685-4ccf-8d19-9063336e0bdf") + ) + (pin "2" + (uuid "e0e2be4b-a1d0-4f81-9cbd-ab6ce9110954") + ) + (pin "3" + (uuid "f6e77a16-5099-435f-8750-9952cb472176") + ) + (pin "5" + (uuid "1b7c0b8e-45a4-4202-9541-240699f745af") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small_US") + (at 100.33 92.71 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "a122bd03-db0a-4340-acef-b50b408bf3b9") + (property "Reference" "R1" + (at 100.33 95.25 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "390" + (at 100.33 97.79 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Resistor, small US symbol" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "RC0805FR-07390RL" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C17655" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C17655" + (at 100.33 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "9ce4247e-2971-4760-9d04-4f0e8a2fcb00") + ) + (pin "1" + (uuid "ee642907-07a2-416e-9f0b-f0682b7736d0") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VAA") + (at 160.02 127 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a7d2aedd-3161-49ce-a966-7e8c662c3cda") + (property "Reference" "#PWR017" + (at 160.02 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VOUT" + (at 160.02 121.92 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 160.02 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 160.02 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VAA\"" + (at 160.02 127 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "422c9231-afab-4bf5-a140-ac365f5a446b") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR017") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 160.02 142.24 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a93bd1ce-153d-4fd7-b183-abe51ba8dba1") + (property "Reference" "#PWR013" + (at 160.02 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 160.02 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 160.02 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 160.02 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 160.02 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "f3accd32-d59c-4f49-b407-3772d5aa44ae") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR013") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 104.14 144.78 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "afff3469-2570-453f-b207-7d5d2f945b7d") + (property "Reference" "#PWR03" + (at 104.14 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 104.902 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 104.14 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 104.14 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 104.14 144.78 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "10e50b7f-3407-48e9-bbbf-dbfeb4b3da74") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR03") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:LED") + (at 93.98 85.09 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "b139f7a0-c1bc-40d9-8a56-5454725af7c3") + (property "Reference" "D1" + (at 89.662 85.09 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Value" "CHARGE" + (at 84.582 87.884 90) + (effects + (font + (size 1.27 1.27) + ) + (justify right) + ) + ) + (property "Footprint" "LED_SMD:LED_1206_3216Metric_Pad1.42x1.75mm_HandSolder" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://mm.digikey.com/Volume0/opasdata/d220001/medias/docus/2715/B1591UY--20C000112U1930.pdf" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Light emitting diode" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B1591UY--20C000112U1930" + (at 93.98 85.09 90) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "1206" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C434438" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C434438" + (at 93.98 85.09 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c7a92294-9dcd-4d80-b835-837180fbc203") + ) + (pin "2" + (uuid "d45f143d-1271-4314-bc3d-d47267c5ba21") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "D1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 121.92 72.39 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c1ef979d-91af-487c-9e01-f69707f16626") + (property "Reference" "C1" + (at 121.9136 66.04 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "4.7uF" + (at 121.9136 68.58 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "~" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "CC0805KKX7R6BB475" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "0805" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C1779" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C1779" + (at 121.92 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "5eb29215-05bc-49c4-8327-bad92df83282") + ) + (pin "2" + (uuid "863abd88-f2d6-43f7-96b3-72af08bb9fbf") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 127 88.9 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "ca52c637-4542-42aa-873b-c2f0263112d3") + (property "Reference" "#PWR07" + (at 127 95.25 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 130.556 90.424 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 127 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 127 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 127 88.9 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "e6e2aa70-ce2e-438b-8d1d-d5c9fc746f42") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR07") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 181.61 142.24 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "daaa6f41-a3c1-4256-91c2-fc9700074071") + (property "Reference" "#PWR011" + (at 181.61 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 181.61 147.32 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 181.61 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 181.61 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 181.61 142.24 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "4c930929-670c-4589-ac0d-336f0e05c53e") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR011") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector_Generic:Conn_01x02") + (at 172.72 90.17 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "effc075d-11ba-4cc3-be0e-7e1286ca85f9") + (property "Reference" "J2" + (at 172.72 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "BATTERY" + (at 172.72 83.82 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_JST:JST_PH_B2B-PH-K_1x02_P2.00mm_Vertical" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "https://www.jst-mfg.com/product/pdf/eng/eXH.pdf" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "B2B-PH-K-S" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C5251182" + (at 172.72 90.17 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "c2642176-06fc-4872-a6a9-c2ca59332afc") + ) + (pin "2" + (uuid "28f9c6c7-99ca-411f-8538-ff24d4f718b9") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "J2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Battery_Management:MCP73831-2-OT") + (at 127 81.28 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f03745c3-cabf-4fcb-8356-a383a822c00a") + (property "Reference" "U1" + (at 129.1941 71.12 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "MCP73831-2-OT" + (at 129.1941 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" + (at 128.27 87.63 0) + (effects + (font + (size 1.27 1.27) + (italic yes) + ) + (justify left) + (hide yes) + ) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/20001984g.pdf" + (at 127 99.568 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Single cell, Li-Ion/Li-Po charge management controller, 4.20V, Tri-State Status Output, in SOT23-5 package" + (at 127 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Part Number" "MCP73831T-2ACI/OT" + (at 127 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLC" "SOT-23-5" + (at 127 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC" "C424093" + (at 127 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "JLCSC Part #" "C424093" + (at 127 81.28 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "2" + (uuid "58943fb5-7cc3-49ef-a3ad-2e54b21450d5") + ) + (pin "5" + (uuid "77e26edc-6388-4104-b9f7-dbb14ea91e64") + ) + (pin "4" + (uuid "3b10629e-ba66-4e76-8d24-951c48211730") + ) + (pin "3" + (uuid "fc3fa5e0-4b62-4d4c-9530-f6681ea22e47") + ) + (pin "1" + (uuid "3b32068f-09aa-466d-ab1c-2952a55191f3") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 177.8 92.71 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f0904e88-9c94-4fb0-90e5-c63e901145ef") + (property "Reference" "#PWR015" + (at 177.8 99.06 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "GND" + (at 177.8 97.79 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 177.8 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 177.8 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 177.8 92.71 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "92bcc17e-c919-4f01-84e1-48f18111e499") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR015") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:VDD") + (at 93.98 78.74 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f9ba576c-830b-4db2-a3af-545eb90059f5") + (property "Reference" "#PWR02" + (at 93.98 82.55 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Value" "VDD" + (at 93.98 73.66 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 93.98 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Datasheet" "" + (at 93.98 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (property "Description" "Power symbol creates a global label with name \"VDD\"" + (at 93.98 78.74 0) + (effects + (font + (size 1.27 1.27) + ) + (hide yes) + ) + ) + (pin "1" + (uuid "3fa556b9-a9fe-48ae-99da-3732daaf8db3") + ) + (instances + (project "lipo-charger" + (path "/e0dd9d5e-2634-4a4a-9cde-6536405eb310" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) +) \ No newline at end of file