{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"nextpnr","owner":"SymbiFlow","isFork":true,"description":"nextpnr portable FPGA place and route tool","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":12,"starsCount":20,"forksCount":231,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-03T07:50:45.715Z"}},{"type":"Public","name":"vtr-verilog-to-routing","owner":"SymbiFlow","isFork":true,"description":"SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research","topicNames":["fpga","verilog","symbiflow","place-and-route"],"topicsNotShown":0,"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":2,"issueCount":21,"starsCount":36,"forksCount":371,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-29T22:18:49.487Z"}},{"type":"Public","name":"yosys","owner":"SymbiFlow","isFork":true,"description":"SymbiFlow WIP changes for Yosys Open SYnthesis Suite","topicNames":["symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":4,"issueCount":18,"starsCount":36,"forksCount":838,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-19T00:20:36.176Z"}},{"type":"Public","name":"sphinxcontrib-session","owner":"SymbiFlow","isFork":false,"description":"Sphinx extension for displaying console and other sessions.","topicNames":["python","tutorial","sphinx","sphinx-documentation","sphinx-extension"],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":0,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-17T18:07:23.596Z"}},{"type":"Public","name":"SymbiYosys","owner":"SymbiFlow","isFork":true,"description":"SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":0,"starsCount":7,"forksCount":72,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-11T23:37:52.457Z"}},{"type":"Public","name":"sphinxcontrib-hdl-diagrams","owner":"SymbiFlow","isFork":false,"description":"Sphinx Extension which generates various types of diagrams from Verilog code.","topicNames":["documentation","fpga","sphinx","documentation-tool","rtl","verilog","diagrams","hdl","yosys","sphinx-extension"],"topicsNotShown":1,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":2,"issueCount":10,"starsCount":50,"forksCount":17,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-09-25T20:57:32.036Z"}},{"type":"Public","name":"sigrok-cli","owner":"SymbiFlow","isFork":true,"description":"Read-only mirror of the official repo at git://sigrok.org/sigrok-cli. Pull requests welcome. Please file bugreports at sigrok.org/bugzilla.","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":34,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-30T11:39:52.539Z"}},{"type":"Public","name":"edalize","owner":"SymbiFlow","isFork":true,"description":"An abstraction library for interfacing EDA tools","topicNames":["toolchain","fpga","eda","buildtools","symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":4,"issueCount":1,"starsCount":6,"forksCount":179,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-01-19T20:36:49.572Z"}},{"type":"Public","name":"symbiflow-tools-data-manager","owner":"SymbiFlow","isFork":false,"description":"Python based package manager to get packages and artifacts of symbiflow projects","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":2,"issueCount":1,"starsCount":2,"forksCount":3,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-18T09:10:31.684Z"}},{"type":"Public","name":".github","owner":"SymbiFlow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":null,"pullRequestCount":0,"issueCount":4,"starsCount":0,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-25T23:42:46.827Z"}},{"type":"Public","name":"symbiflow.github.io","owner":"SymbiFlow","isFork":false,"description":"Published SymbiFlow Website","topicNames":["symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":3,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-07T16:23:41.959Z"}},{"type":"Public","name":"symbiflow-website","owner":"SymbiFlow","isFork":false,"description":"SymbiFlow Website Source","topicNames":["fpga","xilinx","lattice"],"topicsNotShown":0,"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":10,"starsCount":10,"forksCount":9,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-07T15:13:11.217Z"}},{"type":"Public","name":"symbiflow-common-config","owner":"SymbiFlow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":null,"pullRequestCount":1,"issueCount":2,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-06T11:08:24.993Z"}},{"type":"Public","name":"conda-packages","owner":"SymbiFlow","isFork":true,"description":"Conda build recipes for the toolchains needed by LiteX / MiSoC firmware","topicNames":["symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":5,"issueCount":18,"starsCount":2,"forksCount":26,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-02-25T17:31:47.870Z"}},{"type":"Public","name":"prjuray-tools","owner":"SymbiFlow","isFork":false,"description":"","topicNames":["tools","fpga","xilinx","vivado","xilinx-fpga","bitstream","ultrascale","symbiflow","ultrascale-plus"],"topicsNotShown":0,"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":2,"starsCount":1,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-01-20T09:26:07.325Z"}},{"type":"Public","name":"vtr-verilog-to-routing-kokoro","owner":"SymbiFlow","isFork":false,"description":"Kokoro Configuration to run against SymbiFlow/vtr-verilog-to-routing repository.","topicNames":["automation","ci","symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":5,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-10-04T16:41:36.154Z"}},{"type":"Public","name":"ibex-yosys-build","owner":"SymbiFlow","isFork":false,"description":"Testing Ibex build using Yosys and open source toolchains.","topicNames":["riscv","risc-v","ibex","yosys"],"topicsNotShown":0,"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":5,"issueCount":1,"starsCount":11,"forksCount":4,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-10-02T03:02:19.137Z"}},{"type":"Public","name":"sphinxcontrib-markdown-symlinks","owner":"SymbiFlow","isFork":false,"description":"Python library to solve markdown cross-reference links when building sphinx documentation","topicNames":["markdown","sphinx-extension","python","sphinx-documentation"],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":7,"starsCount":3,"forksCount":3,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-21T15:17:47.910Z"}},{"type":"Public","name":"utd-sv","owner":"SymbiFlow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-07T11:46:22.195Z"}},{"type":"Public","name":"axi-vip","owner":"SymbiFlow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":1,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-06-07T11:44:23.923Z"}},{"type":"Public","name":"sphinx-verilog-domain","owner":"SymbiFlow","isFork":false,"description":"Sphinx domain to allow integration of Verilog / SystemVerilog documentation into Sphinx.","topicNames":["sphinx","rtl","verilog","systemverilog","hdl","verilog-library","sphinx-extension","sphinx-domain"],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":7,"starsCount":21,"forksCount":7,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-03-01T19:21:24.462Z"}},{"type":"Public","name":"RapidWright","owner":"SymbiFlow","isFork":true,"description":"Build Customized FPGA Implementations for Vivado","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Java","color":"#b07219"},"pullRequestCount":0,"issueCount":2,"starsCount":0,"forksCount":101,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-01-29T17:49:32.257Z"}},{"type":"Public","name":"symbiflow-arch-pkgs","owner":"SymbiFlow","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":1,"starsCount":2,"forksCount":2,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-12-29T04:34:28.449Z"}},{"type":"Public","name":"uxsdcxx","owner":"SymbiFlow","isFork":false,"description":"generate C++ reader/writer from XSD schema","topicNames":["xml","xml-schema","xml-serialization","symbiflow","xsd","pugixml","xsd-schema"],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":17,"starsCount":5,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-12-05T17:44:16.559Z"}},{"type":"Public","name":"nix-symbiflow","owner":"SymbiFlow","isFork":true,"description":"Nix packages for SymbiFlow projects and dependencies","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Nix","color":"#7e7eff"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-11-11T19:15:45.792Z"}},{"type":"Public","name":"sphinx_symbiflow_theme-old","owner":"SymbiFlow","isFork":true,"description":"Material Design Html Theme for Sphinx customized for SymbiFlow and related hardware projects.","topicNames":["documentation","material-design","sphinx","sphinx-theme","symbiflow"],"topicsNotShown":0,"primaryLanguage":{"name":"CSS","color":"#563d7c"},"pullRequestCount":0,"issueCount":8,"starsCount":1,"forksCount":38,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-10-30T16:27:19.439Z"}},{"type":"Public","name":"FPGA-Tool-Performance-Visualization-Library","owner":"SymbiFlow","isFork":true,"description":"FTPVL is a library for simplifying the data collection and visualization process for Symbiflow development. ","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":3,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-10-30T09:42:42.879Z"}},{"type":"Public template","name":"awesome-rtl-docs-template","owner":"SymbiFlow","isFork":false,"description":"Template repository which shows how to set up awesome documentation for your Verilog / SystemVerilog / Migen / nMigen project using Sphinx and extensions","topicNames":[],"topicsNotShown":0,"primaryLanguage":null,"pullRequestCount":0,"issueCount":1,"starsCount":3,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-09-18T22:13:49.178Z"}},{"type":"Public","name":"XilinxUnisimLibrary","owner":"SymbiFlow","isFork":true,"description":"Apache 2.0 licensed copy of the Xilinx Unisim library.","topicNames":["simulation","xilinx","vivado","icarus-verilog","icarus","verilator"],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":4,"starsCount":9,"forksCount":20,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-07-22T17:45:56.266Z"}},{"type":"Public","name":"capnproto-java","owner":"SymbiFlow","isFork":true,"description":"Cap'n Proto in pure Java","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Java","color":"#b07219"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":85,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-07-15T00:58:11.995Z"}}],"repositoryCount":38,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"mirror","text":"Mirrors"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}