diff --git a/.bazelrc b/.bazelrc index 389c44e68d82a..76310b5da41fb 100644 --- a/.bazelrc +++ b/.bazelrc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/ISSUE_TEMPLATE/chip-level-test.yml b/.github/ISSUE_TEMPLATE/chip-level-test.yml index 9583a3cfb70a4..9c802696b4c05 100644 --- a/.github/ISSUE_TEMPLATE/chip-level-test.yml +++ b/.github/ISSUE_TEMPLATE/chip-level-test.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/ISSUE_TEMPLATE/darjeeling-issue.yml b/.github/ISSUE_TEMPLATE/darjeeling-issue.yml index 6cbe88560c3be..a9bdd31677315 100644 --- a/.github/ISSUE_TEMPLATE/darjeeling-issue.yml +++ b/.github/ISSUE_TEMPLATE/darjeeling-issue.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/ISSUE_TEMPLATE/general-issue.yml b/.github/ISSUE_TEMPLATE/general-issue.yml index e9a776c305c17..47466ca7c141f 100644 --- a/.github/ISSUE_TEMPLATE/general-issue.yml +++ b/.github/ISSUE_TEMPLATE/general-issue.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/ISSUE_TEMPLATE/test-triage.yml b/.github/ISSUE_TEMPLATE/test-triage.yml index 2c70c318efddf..855b4058eac61 100644 --- a/.github/ISSUE_TEMPLATE/test-triage.yml +++ b/.github/ISSUE_TEMPLATE/test-triage.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/dependabot.yml b/.github/dependabot.yml index 5a511908993b9..84b794f0f9bfb 100644 --- a/.github/dependabot.yml +++ b/.github/dependabot.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/workflows/cherrypick.yml b/.github/workflows/cherrypick.yml index 9c31d89714560..6744c767f89aa 100644 --- a/.github/workflows/cherrypick.yml +++ b/.github/workflows/cherrypick.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.github/workflows/pr_change_check.yml b/.github/workflows/pr_change_check.yml index deebc766911a1..1a1d572fd943e 100644 --- a/.github/workflows/pr_change_check.yml +++ b/.github/workflows/pr_change_check.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: 'Check for and block unauthorized changes' diff --git a/.github/workflows/pr_lint.yml b/.github/workflows/pr_lint.yml index 1997a521f81f4..c741a38dea235 100644 --- a/.github/workflows/pr_lint.yml +++ b/.github/workflows/pr_lint.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/.svlint.toml b/.svlint.toml index 4a116ff10342b..7cb4fa5e32c02 100644 --- a/.svlint.toml +++ b/.svlint.toml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/.svls.toml b/.svls.toml index c3e3c84625be5..dbe5ccf640a73 100644 --- a/.svls.toml +++ b/.svls.toml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/BUILD.bazel b/BUILD.bazel index 53dfad1412944..c51a52b8ea3d9 100644 --- a/BUILD.bazel +++ b/BUILD.bazel @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/NOTICE b/NOTICE index 50a9400c8fdf2..d132563d9c9c1 100644 --- a/NOTICE +++ b/NOTICE @@ -1,5 +1,5 @@ The OpenTitan Project -Copyright 2024 lowRISC contributors. +Copyright 2024 lowRISC contributors (OpenTitan project). This product includes hardware and/or software developed as part of the OpenTitan(R) project (https://www.opentitan.org, diff --git a/WORKSPACE b/WORKSPACE index dcd66608ff2a4..38da63b4c3195 100644 --- a/WORKSPACE +++ b/WORKSPACE @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/apt-requirements.txt b/apt-requirements.txt index a2d52a53ed461..d2ff3184fd80f 100644 --- a/apt-requirements.txt +++ b/apt-requirements.txt @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/azure-pipelines.yml b/azure-pipelines.yml index 340f0781b2dbe..ddbc1cf5450ae 100644 --- a/azure-pipelines.yml +++ b/azure-pipelines.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/bazelisk.sh b/bazelisk.sh index c483b3e7669bc..963e8bcb12596 100755 --- a/bazelisk.sh +++ b/bazelisk.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/book.toml b/book.toml index 9686615bc8e3f..51f3b41f10470 100644 --- a/book.toml +++ b/book.toml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/check_tool_requirements.core b/check_tool_requirements.core index d2dd6b2855278..e74b53845d0f4 100644 --- a/check_tool_requirements.core +++ b/check_tool_requirements.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tool:check_tool_requirements:0.1" diff --git a/ci/.bazelrc b/ci/.bazelrc index b77c07b554d97..6a129db3197fa 100644 --- a/ci/.bazelrc +++ b/ci/.bazelrc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/BUILD b/ci/BUILD index f9ef716abb1c7..37d96bc15c3b7 100644 --- a/ci/BUILD +++ b/ci/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/azp-private.yml b/ci/azp-private.yml index 518dd649128be..2a567d8f0ed0d 100644 --- a/ci/azp-private.yml +++ b/ci/azp-private.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/ci/azure-pipelines-nightly.yml b/ci/azure-pipelines-nightly.yml index d39fa573fdeb3..61c2a14f7b445 100644 --- a/ci/azure-pipelines-nightly.yml +++ b/ci/azure-pipelines-nightly.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/ci/bazelisk.sh b/ci/bazelisk.sh index 756f2d19a1615..cdd6bd16080cf 100755 --- a/ci/bazelisk.sh +++ b/ci/bazelisk.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/checkout-template.yml b/ci/checkout-template.yml index 6a5fd9ec1fe03..ef99fe35ffdfb 100644 --- a/ci/checkout-template.yml +++ b/ci/checkout-template.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/download-artifacts-template.yml b/ci/download-artifacts-template.yml index abd0d499537df..cc191846e77d6 100644 --- a/ci/download-artifacts-template.yml +++ b/ci/download-artifacts-template.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/fpga-template.yml b/ci/fpga-template.yml index 83d3af4683f1c..9258f8b38c578 100644 --- a/ci/fpga-template.yml +++ b/ci/fpga-template.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/gcp-upload-bitstream-template.yml b/ci/gcp-upload-bitstream-template.yml index afe5197dada63..a44e0864aca57 100644 --- a/ci/gcp-upload-bitstream-template.yml +++ b/ci/gcp-upload-bitstream-template.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/install-package-dependencies.sh b/ci/install-package-dependencies.sh index 7506a4aacda9d..fc9ee7cf14bf7 100755 --- a/ci/install-package-dependencies.sh +++ b/ci/install-package-dependencies.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/install-package-dependencies.yml b/ci/install-package-dependencies.yml index 6bff4f27d007c..a920e5abfe05f 100644 --- a/ci/install-package-dependencies.yml +++ b/ci/install-package-dependencies.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/jobs/quick-lint.sh b/ci/jobs/quick-lint.sh index 99ec5de2e62bc..7527dbf70c999 100755 --- a/ci/jobs/quick-lint.sh +++ b/ci/jobs/quick-lint.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/jobs/slow-lint.sh b/ci/jobs/slow-lint.sh index 35f2bf8e1cb5f..1bd22e686cc9f 100755 --- a/ci/jobs/slow-lint.sh +++ b/ci/jobs/slow-lint.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/load-bazel-cache-write-creds.yml b/ci/load-bazel-cache-write-creds.yml index e413b287e285c..105ca60cfd0cf 100644 --- a/ci/load-bazel-cache-write-creds.yml +++ b/ci/load-bazel-cache-write-creds.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/publish-bazel-test-results.yml b/ci/publish-bazel-test-results.yml index d65165afaf362..73d7392a169a7 100644 --- a/ci/publish-bazel-test-results.yml +++ b/ci/publish-bazel-test-results.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/build-bitstream-vivado.sh b/ci/scripts/build-bitstream-vivado.sh index 5f296d49b91f8..badf8223e844d 100755 --- a/ci/scripts/build-bitstream-vivado.sh +++ b/ci/scripts/build-bitstream-vivado.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/build-chip-verilator.sh b/ci/scripts/build-chip-verilator.sh index 1d6d374b2b880..80e17abdcde6b 100755 --- a/ci/scripts/build-chip-verilator.sh +++ b/ci/scripts/build-chip-verilator.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/build-docs.sh b/ci/scripts/build-docs.sh index 0516cc6398c89..cefa003b1746d 100755 --- a/ci/scripts/build-docs.sh +++ b/ci/scripts/build-docs.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-ascii.sh b/ci/scripts/check-ascii.sh index dae158a80e90d..82245956ab23b 100755 --- a/ci/scripts/check-ascii.sh +++ b/ci/scripts/check-ascii.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-bazel-banned-rules.sh b/ci/scripts/check-bazel-banned-rules.sh index 7ec3da8733910..010c0547c89b3 100755 --- a/ci/scripts/check-bazel-banned-rules.sh +++ b/ci/scripts/check-bazel-banned-rules.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-bazel-tags.sh b/ci/scripts/check-bazel-tags.sh index 9a4df57fdd780..f0da134bdbd91 100755 --- a/ci/scripts/check-bazel-tags.sh +++ b/ci/scripts/check-bazel-tags.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-cmdgen.sh b/ci/scripts/check-cmdgen.sh index d58f6308c714b..5010e26d85d9d 100755 --- a/ci/scripts/check-cmdgen.sh +++ b/ci/scripts/check-cmdgen.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-generated.sh b/ci/scripts/check-generated.sh index af34374658361..0c69d367b2a53 100755 --- a/ci/scripts/check-generated.sh +++ b/ci/scripts/check-generated.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-licence-headers.sh b/ci/scripts/check-licence-headers.sh index 533120ed89e1d..2f892e75be818 100755 --- a/ci/scripts/check-licence-headers.sh +++ b/ci/scripts/check-licence-headers.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-links.sh b/ci/scripts/check-links.sh index 670b9909eafc8..e41250f97781a 100755 --- a/ci/scripts/check-links.sh +++ b/ci/scripts/check-links.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-module-ids.sh b/ci/scripts/check-module-ids.sh index 370d04e8bff32..961ce2081be08 100755 --- a/ci/scripts/check-module-ids.sh +++ b/ci/scripts/check-module-ids.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-no-bazelrc-site.sh b/ci/scripts/check-no-bazelrc-site.sh index 1d9363085b6ad..17bb5d5945105 100755 --- a/ci/scripts/check-no-bazelrc-site.sh +++ b/ci/scripts/check-no-bazelrc-site.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-pr-changes-allowed.py b/ci/scripts/check-pr-changes-allowed.py index 56ef465f76475..c662d85650568 100755 --- a/ci/scripts/check-pr-changes-allowed.py +++ b/ci/scripts/check-pr-changes-allowed.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check-vendoring.sh b/ci/scripts/check-vendoring.sh index b288899c6b6bf..560f5c650452a 100755 --- a/ci/scripts/check-vendoring.sh +++ b/ci/scripts/check-vendoring.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/check_bazel_target_names.py b/ci/scripts/check_bazel_target_names.py index 3412f01ec03f2..01ec7176fb593 100755 --- a/ci/scripts/check_bazel_target_names.py +++ b/ci/scripts/check_bazel_target_names.py @@ -1,6 +1,6 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 """Checks for Bazel targets that contain banned characters. diff --git a/ci/scripts/check_bazel_test_suites.py b/ci/scripts/check_bazel_test_suites.py index fe5e8141a9e3e..608327ba27a2e 100755 --- a/ci/scripts/check_bazel_test_suites.py +++ b/ci/scripts/check_bazel_test_suites.py @@ -1,6 +1,6 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 """Checks for test_suite targets that are either empty or not tagged "manual". diff --git a/ci/scripts/choose_vcs_parallel_builds.sh b/ci/scripts/choose_vcs_parallel_builds.sh index 096ab671c0f81..854834ed87085 100755 --- a/ci/scripts/choose_vcs_parallel_builds.sh +++ b/ci/scripts/choose_vcs_parallel_builds.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/clang-format.sh b/ci/scripts/clang-format.sh index f23f3fb7d7858..40c048ff1a656 100755 --- a/ci/scripts/clang-format.sh +++ b/ci/scripts/clang-format.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/exec-check.sh b/ci/scripts/exec-check.sh index d68b2b0170044..f491b3e692de2 100755 --- a/ci/scripts/exec-check.sh +++ b/ci/scripts/exec-check.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/get-bitstream-fragment-dir.bzl b/ci/scripts/get-bitstream-fragment-dir.bzl index 7cf4c40c9fe3a..f91749d05c87c 100644 --- a/ci/scripts/get-bitstream-fragment-dir.bzl +++ b/ci/scripts/get-bitstream-fragment-dir.bzl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/get-bitstream-fragment-files-relative.bzl b/ci/scripts/get-bitstream-fragment-files-relative.bzl index d036746dda050..6c25c79c055e7 100644 --- a/ci/scripts/get-bitstream-fragment-files-relative.bzl +++ b/ci/scripts/get-bitstream-fragment-files-relative.bzl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/get-bitstream-strategy.sh b/ci/scripts/get-bitstream-strategy.sh index f71e480c67d76..5ac3a10ddb2e2 100755 --- a/ci/scripts/get-bitstream-strategy.sh +++ b/ci/scripts/get-bitstream-strategy.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/get-build-type.sh b/ci/scripts/get-build-type.sh index 368c8bc1072e7..7bf288862e6a9 100755 --- a/ci/scripts/get-build-type.sh +++ b/ci/scripts/get-build-type.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/include-guard.sh b/ci/scripts/include-guard.sh index ffc6076bc50af..3724173687aca 100755 --- a/ci/scripts/include-guard.sh +++ b/ci/scripts/include-guard.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/lib/BUILD b/ci/scripts/lib/BUILD index 4086ee5c98d57..bb54677677d9c 100644 --- a/ci/scripts/lib/BUILD +++ b/ci/scripts/lib/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/lib/bazel_query.py b/ci/scripts/lib/bazel_query.py index ca9f944ca0a8d..6d0bc711ab8d0 100644 --- a/ci/scripts/lib/bazel_query.py +++ b/ci/scripts/lib/bazel_query.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/lib/bazel_query_test.py b/ci/scripts/lib/bazel_query_test.py index af98637293b1f..8ae855599002e 100644 --- a/ci/scripts/lib/bazel_query_test.py +++ b/ci/scripts/lib/bazel_query_test.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/lint-commits.sh b/ci/scripts/lint-commits.sh index 720abc4b85011..49d80a66b439b 100755 --- a/ci/scripts/lint-commits.sh +++ b/ci/scripts/lint-commits.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/make_distribution.sh b/ci/scripts/make_distribution.sh index a9a098bf34812..da0dcc98df7df 100755 --- a/ci/scripts/make_distribution.sh +++ b/ci/scripts/make_distribution.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/mypy.sh b/ci/scripts/mypy.sh index be388761dc215..718f86436ead6 100755 --- a/ci/scripts/mypy.sh +++ b/ci/scripts/mypy.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/python-lint.sh b/ci/scripts/python-lint.sh index 73cea029d2bbf..a69cff6dda054 100755 --- a/ci/scripts/python-lint.sh +++ b/ci/scripts/python-lint.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/run-english-breakfast-verilator-tests.sh b/ci/scripts/run-english-breakfast-verilator-tests.sh index 708fd02d944ac..ab6c6dfaf4fd5 100755 --- a/ci/scripts/run-english-breakfast-verilator-tests.sh +++ b/ci/scripts/run-english-breakfast-verilator-tests.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/run-fpga-tests.sh b/ci/scripts/run-fpga-tests.sh index 4d235e1b150c2..0ec7e7afcb88c 100755 --- a/ci/scripts/run-fpga-tests.sh +++ b/ci/scripts/run-fpga-tests.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/run-verilator-tests.sh b/ci/scripts/run-verilator-tests.sh index f0840931e8c8a..5c0386df0509d 100755 --- a/ci/scripts/run-verilator-tests.sh +++ b/ci/scripts/run-verilator-tests.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/rust-format.sh b/ci/scripts/rust-format.sh index bffd0ff7f97b3..106eb6d0511c3 100755 --- a/ci/scripts/rust-format.sh +++ b/ci/scripts/rust-format.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/show-env.sh b/ci/scripts/show-env.sh index 012473c16e369..377357aa7f3d6 100755 --- a/ci/scripts/show-env.sh +++ b/ci/scripts/show-env.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/target-location.sh b/ci/scripts/target-location.sh index 562ba28ef113e..c8bd9b65cb2c5 100755 --- a/ci/scripts/target-location.sh +++ b/ci/scripts/target-location.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/test-airgapped-build.sh b/ci/scripts/test-airgapped-build.sh index 4ad853ce88926..99451f95498b9 100755 --- a/ci/scripts/test-airgapped-build.sh +++ b/ci/scripts/test-airgapped-build.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/test-empty-bitstream-cache.sh b/ci/scripts/test-empty-bitstream-cache.sh index efac6a385ef6d..56021fd8fe654 100755 --- a/ci/scripts/test-empty-bitstream-cache.sh +++ b/ci/scripts/test-empty-bitstream-cache.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/verible-format.sh b/ci/scripts/verible-format.sh index c2a15d7d5363a..c7dde5418669b 100755 --- a/ci/scripts/verible-format.sh +++ b/ci/scripts/verible-format.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/verible-lint.sh b/ci/scripts/verible-lint.sh index ac748c51f231d..c322e63d367f3 100755 --- a/ci/scripts/verible-lint.sh +++ b/ci/scripts/verible-lint.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/scripts/whitespace.sh b/ci/scripts/whitespace.sh index e4d9434eef483..6d9f0867bb316 100755 --- a/ci/scripts/whitespace.sh +++ b/ci/scripts/whitespace.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/ci/upload-artifacts-template.yml b/ci/upload-artifacts-template.yml index 573d985a3f7f9..e42e6c7f130ab 100644 --- a/ci/upload-artifacts-template.yml +++ b/ci/upload-artifacts-template.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/doc/contributing/detailed_contribution_guide/README.md b/doc/contributing/detailed_contribution_guide/README.md index bcf9c81525190..8835584d88bf5 100644 --- a/doc/contributing/detailed_contribution_guide/README.md +++ b/doc/contributing/detailed_contribution_guide/README.md @@ -124,7 +124,7 @@ Further information can be found in [Getting Started with a Design](../hw/design The main license used by OpenTitan is the Apache License, Version 2.0, marked by the following license header in all source files: - // Copyright lowRISC contributors. + // Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/doc/contributing/hw/comportability/README.md b/doc/contributing/hw/comportability/README.md index ade12c697ad06..f050711aceb96 100644 --- a/doc/contributing/hw/comportability/README.md +++ b/doc/contributing/hw/comportability/README.md @@ -49,7 +49,7 @@ If the file is not covered by a SPDX license then the "Licensed under" line is r All files that use the default copyright and license should therefore include the following header (change the comment character as appropriate): ``` -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ``` diff --git a/doc/contributing/hw/vendor.md b/doc/contributing/hw/vendor.md index ba2943798911c..f121465ee00ff 100644 --- a/doc/contributing/hw/vendor.md +++ b/doc/contributing/hw/vendor.md @@ -258,7 +258,7 @@ Vendoring external code is done by creating a vendor description file, and then 4. Create the vendor description file in `hw/vendor/_.vendor.hjson` with the following contents (adjust as needed): ``` - // Copyright lowRISC contributors. + // Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/doc/contributing/style_guides/hjson_usage_style.md b/doc/contributing/style_guides/hjson_usage_style.md index 58820964db853..3c5d2dec1440f 100644 --- a/doc/contributing/style_guides/hjson_usage_style.md +++ b/doc/contributing/style_guides/hjson_usage_style.md @@ -74,7 +74,7 @@ Anything enclosed should have two space indentation. In most cases, before the opening `{` the file should start with a comment containing the copyright and license details and the SPDX-License-Identifier. ```hjson {.good} -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/BUILD b/hw/BUILD index 533c053581c10..c6b06081767c7 100644 --- a/hw/BUILD +++ b/hw/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/Makefile b/hw/Makefile index ad66a7128a045..816c58a0d0690 100644 --- a/hw/Makefile +++ b/hw/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/bitstream/BUILD b/hw/bitstream/BUILD index b8519cf9ebfa6..3237323c40dbb 100644 --- a/hw/bitstream/BUILD +++ b/hw/bitstream/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/bitstream/cw340/BUILD b/hw/bitstream/cw340/BUILD index b5044aa82702c..8d25e9e88508e 100644 --- a/hw/bitstream/cw340/BUILD +++ b/hw/bitstream/cw340/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/bitstream/hyperdebug/BUILD b/hw/bitstream/hyperdebug/BUILD index e58c068e33f86..8cd0ba625bfd4 100644 --- a/hw/bitstream/hyperdebug/BUILD +++ b/hw/bitstream/hyperdebug/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/bitstream/universal/BUILD b/hw/bitstream/universal/BUILD index 5d3fa3ef0d1ab..8e73aade0069f 100644 --- a/hw/bitstream/universal/BUILD +++ b/hw/bitstream/universal/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/bitstream/vivado/BUILD b/hw/bitstream/vivado/BUILD index 33bab5cdc489b..398fcd5e542da 100644 --- a/hw/bitstream/vivado/BUILD +++ b/hw/bitstream/vivado/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/cdc/tools/dvsim/cdc.mk b/hw/cdc/tools/dvsim/cdc.mk index 6421bb90cb4f4..fc361daf992d2 100644 --- a/hw/cdc/tools/dvsim/cdc.mk +++ b/hw/cdc/tools/dvsim/cdc.mk @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/cdc/tools/dvsim/common_cdc_cfg.hjson b/hw/cdc/tools/dvsim/common_cdc_cfg.hjson index 979af6d5d9bae..49c255a9b4c1f 100644 --- a/hw/cdc/tools/dvsim/common_cdc_cfg.hjson +++ b/hw/cdc/tools/dvsim/common_cdc_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/cdc/tools/dvsim/verixcdc.hjson b/hw/cdc/tools/dvsim/verixcdc.hjson index 9bb22669149bb..4634bcbebfa98 100644 --- a/hw/cdc/tools/dvsim/verixcdc.hjson +++ b/hw/cdc/tools/dvsim/verixcdc.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/cdc/tools/verixcdc/run-cdc.tcl b/hw/cdc/tools/verixcdc/run-cdc.tcl index 2c485841fd9db..bd5a54474128b 100644 --- a/hw/cdc/tools/verixcdc/run-cdc.tcl +++ b/hw/cdc/tools/verixcdc/run-cdc.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/data/common_project_cfg.hjson b/hw/data/common_project_cfg.hjson index 002768e759980..f1cb1907029ae 100644 --- a/hw/data/common_project_cfg.hjson +++ b/hw/data/common_project_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/dpi/common/tcp_server/tcp_server.c b/hw/dv/dpi/common/tcp_server/tcp_server.c index 304bdf894740e..4d42665a6b23c 100644 --- a/hw/dv/dpi/common/tcp_server/tcp_server.c +++ b/hw/dv/dpi/common/tcp_server/tcp_server.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/common/tcp_server/tcp_server.core b/hw/dv/dpi/common/tcp_server/tcp_server.core index ecb8b9a39f002..3d7118ee9c727 100644 --- a/hw/dv/dpi/common/tcp_server/tcp_server.core +++ b/hw/dv/dpi/common/tcp_server/tcp_server.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi:tcp_server:0.1" diff --git a/hw/dv/dpi/common/tcp_server/tcp_server.h b/hw/dv/dpi/common/tcp_server/tcp_server.h index 5226322f90aa7..408815a2f5e83 100644 --- a/hw/dv/dpi/common/tcp_server/tcp_server.h +++ b/hw/dv/dpi/common/tcp_server/tcp_server.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/dmidpi/dmidpi.c b/hw/dv/dpi/dmidpi/dmidpi.c index c44bbaca3fcbc..bce78f08cb9eb 100644 --- a/hw/dv/dpi/dmidpi/dmidpi.c +++ b/hw/dv/dpi/dmidpi/dmidpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/dmidpi/dmidpi.core b/hw/dv/dpi/dmidpi/dmidpi.core index e3aecd94d0d38..860982d876007 100644 --- a/hw/dv/dpi/dmidpi/dmidpi.core +++ b/hw/dv/dpi/dmidpi/dmidpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:dmidpi:0.1" diff --git a/hw/dv/dpi/dmidpi/dmidpi.h b/hw/dv/dpi/dmidpi/dmidpi.h index 50acb7d1ea520..64d9c765e49fe 100644 --- a/hw/dv/dpi/dmidpi/dmidpi.h +++ b/hw/dv/dpi/dmidpi/dmidpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/dmidpi/dmidpi.sv b/hw/dv/dpi/dmidpi/dmidpi.sv index cf79fef778821..856a4b292b269 100644 --- a/hw/dv/dpi/dmidpi/dmidpi.sv +++ b/hw/dv/dpi/dmidpi/dmidpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/dmidpi/dmidpi_sv.core b/hw/dv/dpi/dmidpi/dmidpi_sv.core index 14b44388b3edc..d767253266b73 100644 --- a/hw/dv/dpi/dmidpi/dmidpi_sv.core +++ b/hw/dv/dpi/dmidpi/dmidpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:dmidpi:0.1" diff --git a/hw/dv/dpi/dpi_sim_cfg.hjson b/hw/dv/dpi/dpi_sim_cfg.hjson index 44a783337f37c..4a2a4e1aa187a 100644 --- a/hw/dv/dpi/dpi_sim_cfg.hjson +++ b/hw/dv/dpi/dpi_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/dpi/gpiodpi/gpiodpi.c b/hw/dv/dpi/gpiodpi/gpiodpi.c index 10ed01510b44d..30816e10ef24a 100644 --- a/hw/dv/dpi/gpiodpi/gpiodpi.c +++ b/hw/dv/dpi/gpiodpi/gpiodpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/gpiodpi/gpiodpi.core b/hw/dv/dpi/gpiodpi/gpiodpi.core index f81071572b66d..97bbcdb3b27ee 100644 --- a/hw/dv/dpi/gpiodpi/gpiodpi.core +++ b/hw/dv/dpi/gpiodpi/gpiodpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:gpiodpi:0.1" diff --git a/hw/dv/dpi/gpiodpi/gpiodpi.h b/hw/dv/dpi/gpiodpi/gpiodpi.h index f25ea90f76204..90830f1dc6d85 100644 --- a/hw/dv/dpi/gpiodpi/gpiodpi.h +++ b/hw/dv/dpi/gpiodpi/gpiodpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/gpiodpi/gpiodpi.sv b/hw/dv/dpi/gpiodpi/gpiodpi.sv index 399339ebadded..d5cd525c5a5c2 100644 --- a/hw/dv/dpi/gpiodpi/gpiodpi.sv +++ b/hw/dv/dpi/gpiodpi/gpiodpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/gpiodpi/gpiodpi_sv.core b/hw/dv/dpi/gpiodpi/gpiodpi_sv.core index 7fe11a2a2884c..8051de38b33d3 100644 --- a/hw/dv/dpi/gpiodpi/gpiodpi_sv.core +++ b/hw/dv/dpi/gpiodpi/gpiodpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:gpiodpi:0.1" diff --git a/hw/dv/dpi/jtagdpi/jtagdpi.c b/hw/dv/dpi/jtagdpi/jtagdpi.c index 7ebfaa585dd94..0b00e41e8718f 100644 --- a/hw/dv/dpi/jtagdpi/jtagdpi.c +++ b/hw/dv/dpi/jtagdpi/jtagdpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/jtagdpi/jtagdpi.core b/hw/dv/dpi/jtagdpi/jtagdpi.core index e795def8301bf..714764fa4ccbc 100644 --- a/hw/dv/dpi/jtagdpi/jtagdpi.core +++ b/hw/dv/dpi/jtagdpi/jtagdpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:jtagdpi:0.1" diff --git a/hw/dv/dpi/jtagdpi/jtagdpi.h b/hw/dv/dpi/jtagdpi/jtagdpi.h index 9ecb2a1044e6d..4297709166d24 100644 --- a/hw/dv/dpi/jtagdpi/jtagdpi.h +++ b/hw/dv/dpi/jtagdpi/jtagdpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/jtagdpi/jtagdpi.sv b/hw/dv/dpi/jtagdpi/jtagdpi.sv index e69d719b5d6c1..e3501f632d90f 100644 --- a/hw/dv/dpi/jtagdpi/jtagdpi.sv +++ b/hw/dv/dpi/jtagdpi/jtagdpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/jtagdpi/jtagdpi_sv.core b/hw/dv/dpi/jtagdpi/jtagdpi_sv.core index 61b49dffbcf35..dc32b4868c388 100644 --- a/hw/dv/dpi/jtagdpi/jtagdpi_sv.core +++ b/hw/dv/dpi/jtagdpi/jtagdpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:jtagdpi:0.1" diff --git a/hw/dv/dpi/spidpi/monitor_spi.c b/hw/dv/dpi/spidpi/monitor_spi.c index 9a63fb7237950..812b9c64778a0 100644 --- a/hw/dv/dpi/spidpi/monitor_spi.c +++ b/hw/dv/dpi/spidpi/monitor_spi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/spidpi/spidpi.c b/hw/dv/dpi/spidpi/spidpi.c index ed9be823ae375..d2c4199c1dd85 100644 --- a/hw/dv/dpi/spidpi/spidpi.c +++ b/hw/dv/dpi/spidpi/spidpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/spidpi/spidpi.core b/hw/dv/dpi/spidpi/spidpi.core index 9a3dd3d956d02..761062f89bee6 100644 --- a/hw/dv/dpi/spidpi/spidpi.core +++ b/hw/dv/dpi/spidpi/spidpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:spidpi:0.1" diff --git a/hw/dv/dpi/spidpi/spidpi.h b/hw/dv/dpi/spidpi/spidpi.h index 2515a9c7641b0..ce5e1077ea503 100644 --- a/hw/dv/dpi/spidpi/spidpi.h +++ b/hw/dv/dpi/spidpi/spidpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/spidpi/spidpi.sv b/hw/dv/dpi/spidpi/spidpi.sv index 8cc5146ece41f..a0b54793cc652 100644 --- a/hw/dv/dpi/spidpi/spidpi.sv +++ b/hw/dv/dpi/spidpi/spidpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/spidpi/spidpi_sv.core b/hw/dv/dpi/spidpi/spidpi_sv.core index 465dbe2157bd9..3a69dfb1a51b9 100644 --- a/hw/dv/dpi/spidpi/spidpi_sv.core +++ b/hw/dv/dpi/spidpi/spidpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:spidpi:0.1" diff --git a/hw/dv/dpi/uartdpi/uartdpi.c b/hw/dv/dpi/uartdpi/uartdpi.c index 6e8fa3f2bbbda..1c9ebf328c93c 100644 --- a/hw/dv/dpi/uartdpi/uartdpi.c +++ b/hw/dv/dpi/uartdpi/uartdpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/uartdpi/uartdpi.core b/hw/dv/dpi/uartdpi/uartdpi.core index b11fd3c2a350b..b17d31bcd5430 100644 --- a/hw/dv/dpi/uartdpi/uartdpi.core +++ b/hw/dv/dpi/uartdpi/uartdpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:uartdpi:0.1" diff --git a/hw/dv/dpi/uartdpi/uartdpi.h b/hw/dv/dpi/uartdpi/uartdpi.h index e7b4b6106de83..6383407890fdb 100644 --- a/hw/dv/dpi/uartdpi/uartdpi.h +++ b/hw/dv/dpi/uartdpi/uartdpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/uartdpi/uartdpi.sv b/hw/dv/dpi/uartdpi/uartdpi.sv index 3018e657fc64b..d476c51944587 100644 --- a/hw/dv/dpi/uartdpi/uartdpi.sv +++ b/hw/dv/dpi/uartdpi/uartdpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/uartdpi/uartdpi_sv.core b/hw/dv/dpi/uartdpi/uartdpi_sv.core index 9e33cb29c8186..59a00d839ab44 100644 --- a/hw/dv/dpi/uartdpi/uartdpi_sv.core +++ b/hw/dv/dpi/uartdpi/uartdpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:uartdpi:0.1" diff --git a/hw/dv/dpi/usbdpi/test_crc.c b/hw/dv/dpi/usbdpi/test_crc.c index 70e7526f0df52..0ebed9c5a9704 100644 --- a/hw/dv/dpi/usbdpi/test_crc.c +++ b/hw/dv/dpi/usbdpi/test_crc.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_monitor.c b/hw/dv/dpi/usbdpi/usb_monitor.c index aea1c77244913..d6d40f36cb66c 100644 --- a/hw/dv/dpi/usbdpi/usb_monitor.c +++ b/hw/dv/dpi/usbdpi/usb_monitor.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_monitor.h b/hw/dv/dpi/usbdpi/usb_monitor.h index ca33acf674b2e..a93de8bd6dba9 100644 --- a/hw/dv/dpi/usbdpi/usb_monitor.h +++ b/hw/dv/dpi/usbdpi/usb_monitor.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_transfer.c b/hw/dv/dpi/usbdpi/usb_transfer.c index 4efb2f8d0cba6..4dc6cedf20857 100644 --- a/hw/dv/dpi/usbdpi/usb_transfer.c +++ b/hw/dv/dpi/usbdpi/usb_transfer.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_transfer.h b/hw/dv/dpi/usbdpi/usb_transfer.h index 9ce091f946775..89cbc3a6874b2 100644 --- a/hw/dv/dpi/usbdpi/usb_transfer.h +++ b/hw/dv/dpi/usbdpi/usb_transfer.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_utils.c b/hw/dv/dpi/usbdpi/usb_utils.c index 96dc49e48b38f..7754b9956110a 100644 --- a/hw/dv/dpi/usbdpi/usb_utils.c +++ b/hw/dv/dpi/usbdpi/usb_utils.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usb_utils.h b/hw/dv/dpi/usbdpi/usb_utils.h index 9e0f402b52aa6..71f0419f1fdaf 100644 --- a/hw/dv/dpi/usbdpi/usb_utils.h +++ b/hw/dv/dpi/usbdpi/usb_utils.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi.c b/hw/dv/dpi/usbdpi/usbdpi.c index f49106e76eb38..b07aed015587d 100644 --- a/hw/dv/dpi/usbdpi/usbdpi.c +++ b/hw/dv/dpi/usbdpi/usbdpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi.core b/hw/dv/dpi/usbdpi/usbdpi.core index 9f44f75463da5..30b8f820d65e6 100644 --- a/hw/dv/dpi/usbdpi/usbdpi.core +++ b/hw/dv/dpi/usbdpi/usbdpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_c:usbdpi:0.1" diff --git a/hw/dv/dpi/usbdpi/usbdpi.h b/hw/dv/dpi/usbdpi/usbdpi.h index 0b94587336bd5..e18b1a3805885 100644 --- a/hw/dv/dpi/usbdpi/usbdpi.h +++ b/hw/dv/dpi/usbdpi/usbdpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi.sv b/hw/dv/dpi/usbdpi/usbdpi.sv index 76559581d5019..332645a477c9b 100644 --- a/hw/dv/dpi/usbdpi/usbdpi.sv +++ b/hw/dv/dpi/usbdpi/usbdpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi_stream.c b/hw/dv/dpi/usbdpi/usbdpi_stream.c index a556b73aa7828..1bc94f3559846 100644 --- a/hw/dv/dpi/usbdpi/usbdpi_stream.c +++ b/hw/dv/dpi/usbdpi/usbdpi_stream.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi_stream.h b/hw/dv/dpi/usbdpi/usbdpi_stream.h index 4c0e2fb105aec..9a1250aabc997 100644 --- a/hw/dv/dpi/usbdpi/usbdpi_stream.h +++ b/hw/dv/dpi/usbdpi/usbdpi_stream.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi_sv.core b/hw/dv/dpi/usbdpi/usbdpi_sv.core index d5dce11b22ad3..368fca88f1ee5 100644 --- a/hw/dv/dpi/usbdpi/usbdpi_sv.core +++ b/hw/dv/dpi/usbdpi/usbdpi_sv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_dpi_sv:usbdpi:0.1" diff --git a/hw/dv/dpi/usbdpi/usbdpi_test.c b/hw/dv/dpi/usbdpi/usbdpi_test.c index 8984291ec3833..e18e637a0ac43 100644 --- a/hw/dv/dpi/usbdpi/usbdpi_test.c +++ b/hw/dv/dpi/usbdpi/usbdpi_test.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/dpi/usbdpi/usbdpi_test.h b/hw/dv/dpi/usbdpi/usbdpi_test.h index dde84e823fe54..8ae3d35dad133 100644 --- a/hw/dv/dpi/usbdpi/usbdpi_test.h +++ b/hw/dv/dpi/usbdpi/usbdpi_test.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_agent.core b/hw/dv/sv/alert_esc_agent/alert_esc_agent.core index dfd7564f7ca6e..d2865c9430f6b 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_agent.core +++ b/hw/dv/sv/alert_esc_agent/alert_esc_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:alert_esc_agent" diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_agent.sv b/hw/dv/sv/alert_esc_agent/alert_esc_agent.sv index a510e82bf5a9e..7394d8497cda1 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_agent.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_agent_cfg.sv b/hw/dv/sv/alert_esc_agent/alert_esc_agent_cfg.sv index aa0b6e3b03f51..9f3242d8db8f6 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_agent_cfg.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_agent_cov.sv b/hw/dv/sv/alert_esc_agent/alert_esc_agent_cov.sv index cb32c7c5c842d..492342a410360 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_agent_cov.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_agent_pkg.sv b/hw/dv/sv/alert_esc_agent/alert_esc_agent_pkg.sv index c84143360ae06..c97df2d0dce0e 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_agent_pkg.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_base_driver.sv b/hw/dv/sv/alert_esc_agent/alert_esc_base_driver.sv index 69ec1113a0510..ab19c252684e9 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_base_driver.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_base_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_base_monitor.sv b/hw/dv/sv/alert_esc_agent/alert_esc_base_monitor.sv index 4e082aa27369c..f4eb8d02314cb 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_base_monitor.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_base_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_if.sv b/hw/dv/sv/alert_esc_agent/alert_esc_if.sv index db659db883ec7..c5a08ce0052a9 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_if.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_probe_if.sv b/hw/dv/sv/alert_esc_agent/alert_esc_probe_if.sv index 6bf01aff548ed..7acdcf9fc55cb 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_probe_if.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_probe_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_seq_item.sv b/hw/dv/sv/alert_esc_agent/alert_esc_seq_item.sv index 1e4e80b0edd67..43fc111193703 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_seq_item.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_seq_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_esc_sequencer.sv b/hw/dv/sv/alert_esc_agent/alert_esc_sequencer.sv index bdcb8de71cc52..fca4cc82e9431 100644 --- a/hw/dv/sv/alert_esc_agent/alert_esc_sequencer.sv +++ b/hw/dv/sv/alert_esc_agent/alert_esc_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/alert_monitor.sv b/hw/dv/sv/alert_esc_agent/alert_monitor.sv index 51e1b1afee112..c62fae749884a 100644 --- a/hw/dv/sv/alert_esc_agent/alert_monitor.sv +++ b/hw/dv/sv/alert_esc_agent/alert_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_receiver_driver.sv b/hw/dv/sv/alert_esc_agent/alert_receiver_driver.sv index 8cbaf22795d0f..3c4e7210838ac 100644 --- a/hw/dv/sv/alert_esc_agent/alert_receiver_driver.sv +++ b/hw/dv/sv/alert_esc_agent/alert_receiver_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/alert_sender_driver.sv b/hw/dv/sv/alert_esc_agent/alert_sender_driver.sv index 3fb0e8926bf94..5d8900af9c170 100644 --- a/hw/dv/sv/alert_esc_agent/alert_sender_driver.sv +++ b/hw/dv/sv/alert_esc_agent/alert_sender_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/data/alert_agent_additional_testplan.hjson b/hw/dv/sv/alert_esc_agent/data/alert_agent_additional_testplan.hjson index d6fc8945e2587..6cfa7ddfd801a 100644 --- a/hw/dv/sv/alert_esc_agent/data/alert_agent_additional_testplan.hjson +++ b/hw/dv/sv/alert_esc_agent/data/alert_agent_additional_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/data/alert_agent_basic_testplan.hjson b/hw/dv/sv/alert_esc_agent/data/alert_agent_basic_testplan.hjson index 957116b3a9002..102073fa75ebe 100644 --- a/hw/dv/sv/alert_esc_agent/data/alert_agent_basic_testplan.hjson +++ b/hw/dv/sv/alert_esc_agent/data/alert_agent_basic_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/data/esc_agent_additional_testplan.hjson b/hw/dv/sv/alert_esc_agent/data/esc_agent_additional_testplan.hjson index 0d183e5c0d56f..5f78e100eeab3 100644 --- a/hw/dv/sv/alert_esc_agent/data/esc_agent_additional_testplan.hjson +++ b/hw/dv/sv/alert_esc_agent/data/esc_agent_additional_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/data/esc_agent_basic_testplan.hjson b/hw/dv/sv/alert_esc_agent/data/esc_agent_basic_testplan.hjson index 90d4176057d7b..a1463ad20d5c7 100644 --- a/hw/dv/sv/alert_esc_agent/data/esc_agent_basic_testplan.hjson +++ b/hw/dv/sv/alert_esc_agent/data/esc_agent_basic_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/esc_monitor.sv b/hw/dv/sv/alert_esc_agent/esc_monitor.sv index 9358a88994e9d..867a29b2630ab 100644 --- a/hw/dv/sv/alert_esc_agent/esc_monitor.sv +++ b/hw/dv/sv/alert_esc_agent/esc_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/esc_receiver_driver.sv b/hw/dv/sv/alert_esc_agent/esc_receiver_driver.sv index ae17258cb4211..d67af6d94d069 100644 --- a/hw/dv/sv/alert_esc_agent/esc_receiver_driver.sv +++ b/hw/dv/sv/alert_esc_agent/esc_receiver_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/esc_sender_driver.sv b/hw/dv/sv/alert_esc_agent/esc_sender_driver.sv index c904d559e754a..9dca6c6b1acd4 100644 --- a/hw/dv/sv/alert_esc_agent/esc_sender_driver.sv +++ b/hw/dv/sv/alert_esc_agent/esc_sender_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_alert_rsp_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_alert_rsp_seq.sv index 5886f70cc7079..5f039cdf37256 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_alert_rsp_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_alert_rsp_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_base_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_base_seq.sv index 36f0e86123612..04bf91a5f1460 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_base_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_seq.sv index d3e7fac281a4e..a5734045afdaa 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_receiver_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_base_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_base_seq.sv index a2ed78f6ae824..485d71e83ad10 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_base_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_ping_rsp_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_ping_rsp_seq.sv index b107a05c0c092..d4f062af461cd 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_ping_rsp_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_ping_rsp_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_seq.sv index a53f5c88f5e5b..4a826a7953b67 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/alert_sender_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_base_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_base_seq.sv index 9ffcc38877d23..9ebc90c022ba7 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_base_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_esc_rsp_seq.sv b/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_esc_rsp_seq.sv index f6a6a5fe7ac57..cbdd6ad75107c 100644 --- a/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_esc_rsp_seq.sv +++ b/hw/dv/sv/alert_esc_agent/seq_lib/esc_receiver_esc_rsp_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/bus_params_pkg/bus_params_pkg.core b/hw/dv/sv/bus_params_pkg/bus_params_pkg.core index 3cc9fb29fae60..efa8e5a1d6db4 100644 --- a/hw/dv/sv/bus_params_pkg/bus_params_pkg.core +++ b/hw/dv/sv/bus_params_pkg/bus_params_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:opentitan:bus_params_pkg" diff --git a/hw/dv/sv/bus_params_pkg/bus_params_pkg.sv b/hw/dv/sv/bus_params_pkg/bus_params_pkg.sv index 75c60f5973457..d4376af4de408 100644 --- a/hw/dv/sv/bus_params_pkg/bus_params_pkg.sv +++ b/hw/dv/sv/bus_params_pkg/bus_params_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_env.sv b/hw/dv/sv/cip_lib/cip_base_env.sv index 50f0c5701915c..09b7ce0d186dd 100644 --- a/hw/dv/sv/cip_lib/cip_base_env.sv +++ b/hw/dv/sv/cip_lib/cip_base_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_env_cfg.sv b/hw/dv/sv/cip_lib/cip_base_env_cfg.sv index d88ac6044648e..a388fdfeaf58b 100644 --- a/hw/dv/sv/cip_lib/cip_base_env_cfg.sv +++ b/hw/dv/sv/cip_lib/cip_base_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_env_cov.sv b/hw/dv/sv/cip_lib/cip_base_env_cov.sv index 89efcd41e83db..76471b347f00d 100644 --- a/hw/dv/sv/cip_lib/cip_base_env_cov.sv +++ b/hw/dv/sv/cip_lib/cip_base_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_pkg.sv b/hw/dv/sv/cip_lib/cip_base_pkg.sv index 91a0f357fd25d..998a3ccb421b8 100644 --- a/hw/dv/sv/cip_lib/cip_base_pkg.sv +++ b/hw/dv/sv/cip_lib/cip_base_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_scoreboard.sv b/hw/dv/sv/cip_lib/cip_base_scoreboard.sv index 93eb66d699446..3a94148cc4592 100644 --- a/hw/dv/sv/cip_lib/cip_base_scoreboard.sv +++ b/hw/dv/sv/cip_lib/cip_base_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_test.sv b/hw/dv/sv/cip_lib/cip_base_test.sv index 2643e7693af74..0c01c40ba5964 100644 --- a/hw/dv/sv/cip_lib/cip_base_test.sv +++ b/hw/dv/sv/cip_lib/cip_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_base_virtual_sequencer.sv b/hw/dv/sv/cip_lib/cip_base_virtual_sequencer.sv index 99d896e0758e8..1f0c458238be5 100644 --- a/hw/dv/sv/cip_lib/cip_base_virtual_sequencer.sv +++ b/hw/dv/sv/cip_lib/cip_base_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_lc_tx_cov_if.sv b/hw/dv/sv/cip_lib/cip_lc_tx_cov_if.sv index fb8d67e2060a0..68368026a2756 100644 --- a/hw/dv/sv/cip_lib/cip_lc_tx_cov_if.sv +++ b/hw/dv/sv/cip_lib/cip_lc_tx_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_lib.core b/hw/dv/sv/cip_lib/cip_lib.core index 815bab4aa4279..ce645cb31d8bd 100644 --- a/hw/dv/sv/cip_lib/cip_lib.core +++ b/hw/dv/sv/cip_lib/cip_lib.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:cip_lib" diff --git a/hw/dv/sv/cip_lib/cip_macros.svh b/hw/dv/sv/cip_lib/cip_macros.svh index 0bf2ae13e0827..0fe13a1482f27 100644 --- a/hw/dv/sv/cip_lib/cip_macros.svh +++ b/hw/dv/sv/cip_lib/cip_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_mubi_cov_if.sv b/hw/dv/sv/cip_lib/cip_mubi_cov_if.sv index 752f3c4eec13a..b2e4154ed6e9e 100644 --- a/hw/dv/sv/cip_lib/cip_mubi_cov_if.sv +++ b/hw/dv/sv/cip_lib/cip_mubi_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/cip_mubi_cov_wrapper.sv b/hw/dv/sv/cip_lib/cip_mubi_cov_wrapper.sv index 87b5befdc9c4d..5b0e44cd1f68b 100644 --- a/hw/dv/sv/cip_lib/cip_mubi_cov_wrapper.sv +++ b/hw/dv/sv/cip_lib/cip_mubi_cov_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq.sv b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq.sv index cb5633689517f..adfe2db4e16cd 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq.sv +++ b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__sec_cm_fi.svh b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__sec_cm_fi.svh index 9dabd223580e9..11dcb579b1c24 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__sec_cm_fi.svh +++ b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__sec_cm_fi.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__shadow_reg_errors.svh b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__shadow_reg_errors.svh index 16a52a4f63c00..e1e55644f0f4c 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__shadow_reg_errors.svh +++ b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__shadow_reg_errors.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__tl_errors.svh b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__tl_errors.svh index 8a475b2fd41cb..3a6a121864046 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__tl_errors.svh +++ b/hw/dv/sv/cip_lib/seq_lib/cip_base_vseq__tl_errors.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_seq_list.sv b/hw/dv/sv/cip_lib/seq_lib/cip_seq_list.sv index 94d476f690d8a..e942104475bc5 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_seq_list.sv +++ b/hw/dv/sv/cip_lib/seq_lib/cip_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_tl_device_seq.sv b/hw/dv/sv/cip_lib/seq_lib/cip_tl_device_seq.sv index e1658eeb50f89..dfeb3ba9129c8 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_tl_device_seq.sv +++ b/hw/dv/sv/cip_lib/seq_lib/cip_tl_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_tl_host_single_seq.sv b/hw/dv/sv/cip_lib/seq_lib/cip_tl_host_single_seq.sv index 6576c2cde310f..a482f3e81ca9f 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_tl_host_single_seq.sv +++ b/hw/dv/sv/cip_lib/seq_lib/cip_tl_host_single_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/cip_lib/seq_lib/cip_tl_seq_item.sv b/hw/dv/sv/cip_lib/seq_lib/cip_tl_seq_item.sv index 9fd175b9f17dc..267444afc5081 100644 --- a/hw/dv/sv/cip_lib/seq_lib/cip_tl_seq_item.sv +++ b/hw/dv/sv/cip_lib/seq_lib/cip_tl_seq_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/clk_if.sv b/hw/dv/sv/common_ifs/clk_if.sv index 49030ec475c76..1ec154bb460de 100644 --- a/hw/dv/sv/common_ifs/clk_if.sv +++ b/hw/dv/sv/common_ifs/clk_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/clk_rst_if.sv b/hw/dv/sv/common_ifs/clk_rst_if.sv index 03a2e7e69a7d0..2f5c3b4082648 100644 --- a/hw/dv/sv/common_ifs/clk_rst_if.sv +++ b/hw/dv/sv/common_ifs/clk_rst_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/common_ifs.core b/hw/dv/sv/common_ifs/common_ifs.core index efa3872f83f9c..e6467984cb972 100644 --- a/hw/dv/sv/common_ifs/common_ifs.core +++ b/hw/dv/sv/common_ifs/common_ifs.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:common_ifs" diff --git a/hw/dv/sv/common_ifs/common_ifs_pkg.sv b/hw/dv/sv/common_ifs/common_ifs_pkg.sv index 4a1fd9a0a8fbd..d240966567336 100644 --- a/hw/dv/sv/common_ifs/common_ifs_pkg.sv +++ b/hw/dv/sv/common_ifs/common_ifs_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.core b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.core index 3e2b9c5cc699d..981934f878048 100644 --- a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.core +++ b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_subsys_fifo_exception_if" diff --git a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.sv b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.sv index ab3636a0894da..41cdd15b74ed7 100644 --- a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.sv +++ b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_pkg.sv b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_pkg.sv index 9ca3e6d0de811..700e42979e270 100644 --- a/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_pkg.sv +++ b/hw/dv/sv/common_ifs/entropy_subsys_fifo_exception_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/pins_if.sv b/hw/dv/sv/common_ifs/pins_if.sv index 23168809784d3..bf90c272f6b0c 100644 --- a/hw/dv/sv/common_ifs/pins_if.sv +++ b/hw/dv/sv/common_ifs/pins_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/common_ifs/pins_ifs.core b/hw/dv/sv/common_ifs/pins_ifs.core index f528e12d4b7c5..4663365818f29 100644 --- a/hw/dv/sv/common_ifs/pins_ifs.core +++ b/hw/dv/sv/common_ifs/pins_ifs.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pins_if" diff --git a/hw/dv/sv/common_ifs/rst_shadowed_if.core b/hw/dv/sv/common_ifs/rst_shadowed_if.core index 082921dd8d0d5..8cbbcaf158e02 100644 --- a/hw/dv/sv/common_ifs/rst_shadowed_if.core +++ b/hw/dv/sv/common_ifs/rst_shadowed_if.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rst_shadowed_if" diff --git a/hw/dv/sv/common_ifs/rst_shadowed_if.sv b/hw/dv/sv/common_ifs/rst_shadowed_if.sv index 233f36862a8d4..3a7e02efcff5f 100644 --- a/hw/dv/sv/common_ifs/rst_shadowed_if.sv +++ b/hw/dv/sv/common_ifs/rst_shadowed_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csr_utils/csr_seq_lib.sv b/hw/dv/sv/csr_utils/csr_seq_lib.sv index 075ab6d7cd00f..2e07842bae6db 100644 --- a/hw/dv/sv/csr_utils/csr_seq_lib.sv +++ b/hw/dv/sv/csr_utils/csr_seq_lib.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csr_utils/csr_utils.core b/hw/dv/sv/csr_utils/csr_utils.core index 0b2cbf410126f..256e57a35416d 100644 --- a/hw/dv/sv/csr_utils/csr_utils.core +++ b/hw/dv/sv/csr_utils/csr_utils.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csr_utils" diff --git a/hw/dv/sv/csr_utils/csr_utils_pkg.sv b/hw/dv/sv/csr_utils/csr_utils_pkg.sv index fddf6d750b60c..94ce5d15c8f66 100644 --- a/hw/dv/sv/csr_utils/csr_utils_pkg.sv +++ b/hw/dv/sv/csr_utils/csr_utils_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_agent.core b/hw/dv/sv/csrng_agent/csrng_agent.core index 718ca49f47a3d..92d283603d99e 100644 --- a/hw/dv/sv/csrng_agent/csrng_agent.core +++ b/hw/dv/sv/csrng_agent/csrng_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_agent:0.1" diff --git a/hw/dv/sv/csrng_agent/csrng_agent.sv b/hw/dv/sv/csrng_agent/csrng_agent.sv index eee4f07c57e91..8e8cf1fbe63dd 100644 --- a/hw/dv/sv/csrng_agent/csrng_agent.sv +++ b/hw/dv/sv/csrng_agent/csrng_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_agent_cfg.sv b/hw/dv/sv/csrng_agent/csrng_agent_cfg.sv index a7a7e0bb9f317..cec899c1cd266 100644 --- a/hw/dv/sv/csrng_agent/csrng_agent_cfg.sv +++ b/hw/dv/sv/csrng_agent/csrng_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_agent_cov.sv b/hw/dv/sv/csrng_agent/csrng_agent_cov.sv index 2a5721060fe5a..f3db7c4db8f5f 100644 --- a/hw/dv/sv/csrng_agent/csrng_agent_cov.sv +++ b/hw/dv/sv/csrng_agent/csrng_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_agent_pkg.sv b/hw/dv/sv/csrng_agent/csrng_agent_pkg.sv index c0822a986afc3..9c5e4fbf158ea 100644 --- a/hw/dv/sv/csrng_agent/csrng_agent_pkg.sv +++ b/hw/dv/sv/csrng_agent/csrng_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_device_driver.sv b/hw/dv/sv/csrng_agent/csrng_device_driver.sv index ee13f0a4a3e57..8d3acefc68141 100644 --- a/hw/dv/sv/csrng_agent/csrng_device_driver.sv +++ b/hw/dv/sv/csrng_agent/csrng_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_driver.sv b/hw/dv/sv/csrng_agent/csrng_driver.sv index 1a731e023a05b..9a45076ca98af 100644 --- a/hw/dv/sv/csrng_agent/csrng_driver.sv +++ b/hw/dv/sv/csrng_agent/csrng_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_host_driver.sv b/hw/dv/sv/csrng_agent/csrng_host_driver.sv index 15a3e2775def8..3cf1286751a64 100644 --- a/hw/dv/sv/csrng_agent/csrng_host_driver.sv +++ b/hw/dv/sv/csrng_agent/csrng_host_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_if.sv b/hw/dv/sv/csrng_agent/csrng_if.sv index 926c289ec1e1a..fe6646a08af22 100644 --- a/hw/dv/sv/csrng_agent/csrng_if.sv +++ b/hw/dv/sv/csrng_agent/csrng_if.sv @@ -1,7 +1,7 @@ // -*- Mode: Verilog -*- // Filename : csrng_if.sv // Description : -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_item.sv b/hw/dv/sv/csrng_agent/csrng_item.sv index ee39f786483b7..488fb2694e7d9 100644 --- a/hw/dv/sv/csrng_agent/csrng_item.sv +++ b/hw/dv/sv/csrng_agent/csrng_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_monitor.sv b/hw/dv/sv/csrng_agent/csrng_monitor.sv index c69644035070e..6822eae6c84bb 100644 --- a/hw/dv/sv/csrng_agent/csrng_monitor.sv +++ b/hw/dv/sv/csrng_agent/csrng_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/csrng_sequencer.sv b/hw/dv/sv/csrng_agent/csrng_sequencer.sv index fa13dfe4fd47d..6ea503b269ceb 100644 --- a/hw/dv/sv/csrng_agent/csrng_sequencer.sv +++ b/hw/dv/sv/csrng_agent/csrng_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/seq_lib/csrng_base_seq.sv b/hw/dv/sv/csrng_agent/seq_lib/csrng_base_seq.sv index ef4347cee6088..d550e52dc114a 100644 --- a/hw/dv/sv/csrng_agent/seq_lib/csrng_base_seq.sv +++ b/hw/dv/sv/csrng_agent/seq_lib/csrng_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/seq_lib/csrng_device_seq.sv b/hw/dv/sv/csrng_agent/seq_lib/csrng_device_seq.sv index a9c8e20d75125..10209e21543d9 100644 --- a/hw/dv/sv/csrng_agent/seq_lib/csrng_device_seq.sv +++ b/hw/dv/sv/csrng_agent/seq_lib/csrng_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/seq_lib/csrng_host_seq.sv b/hw/dv/sv/csrng_agent/seq_lib/csrng_host_seq.sv index c5795d803726a..d12bc9c248f05 100644 --- a/hw/dv/sv/csrng_agent/seq_lib/csrng_host_seq.sv +++ b/hw/dv/sv/csrng_agent/seq_lib/csrng_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/csrng_agent/seq_lib/csrng_seq_list.sv b/hw/dv/sv/csrng_agent/seq_lib/csrng_seq_list.sv index b71c06cc08864..bf531eed91079 100644 --- a/hw/dv/sv/csrng_agent/seq_lib/csrng_seq_list.sv +++ b/hw/dv/sv/csrng_agent/seq_lib/csrng_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_base_reg/csr_excl_item.sv b/hw/dv/sv/dv_base_reg/csr_excl_item.sv index b577d3bcbc431..fa6f57774c05d 100644 --- a/hw/dv/sv/dv_base_reg/csr_excl_item.sv +++ b/hw/dv/sv/dv_base_reg/csr_excl_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_base_reg/dv_base_lockable_field_cov.sv b/hw/dv/sv/dv_base_reg/dv_base_lockable_field_cov.sv index 65f852e4072cb..62e8c9d0a4c71 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_lockable_field_cov.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_lockable_field_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_mem.sv b/hw/dv/sv/dv_base_reg/dv_base_mem.sv index b8cd99bdf2e30..631c457bd719d 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_mem.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_mem.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_mubi_cov.sv b/hw/dv/sv/dv_base_reg/dv_base_mubi_cov.sv index a274fce1c3f59..2cbe9fe55d505 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_mubi_cov.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_mubi_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg.core b/hw/dv/sv/dv_base_reg/dv_base_reg.core index d961118ca60e8..cbae5d75c5f90 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg.core +++ b/hw/dv/sv/dv_base_reg/dv_base_reg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_base_reg" diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg.sv b/hw/dv/sv/dv_base_reg/dv_base_reg.sv index df56b27b7a99f..f25f6abd1b8a4 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_reg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg_block.sv b/hw/dv/sv/dv_base_reg/dv_base_reg_block.sv index 84d7dc1327bdf..74c3f733fc4db 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg_block.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_reg_block.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg_field.sv b/hw/dv/sv/dv_base_reg/dv_base_reg_field.sv index 6624be00c283c..f20f832e03bca 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg_field.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_reg_field.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg_map.sv b/hw/dv/sv/dv_base_reg/dv_base_reg_map.sv index cce6d6eccbf9a..ee748f51b46d0 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg_map.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_reg_map.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_base_reg/dv_base_reg_pkg.sv b/hw/dv/sv/dv_base_reg/dv_base_reg_pkg.sv index 827d5ac45a8ed..95fe70dd0eda4 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_reg_pkg.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_base_reg/dv_base_shadowed_field_cov.sv b/hw/dv/sv/dv_base_reg/dv_base_shadowed_field_cov.sv index e1dc3c67e6ddd..bae4a6a1fae00 100644 --- a/hw/dv/sv/dv_base_reg/dv_base_shadowed_field_cov.sv +++ b/hw/dv/sv/dv_base_reg/dv_base_shadowed_field_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/dv_lib/dv_base_agent.sv b/hw/dv/sv/dv_lib/dv_base_agent.sv index 4f3d5726eaff2..0d561de5bffdc 100644 --- a/hw/dv/sv/dv_lib/dv_base_agent.sv +++ b/hw/dv/sv/dv_lib/dv_base_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_agent_cfg.sv b/hw/dv/sv/dv_lib/dv_base_agent_cfg.sv index 338fadf70ae20..0caf0f786015e 100644 --- a/hw/dv/sv/dv_lib/dv_base_agent_cfg.sv +++ b/hw/dv/sv/dv_lib/dv_base_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_agent_cov.sv b/hw/dv/sv/dv_lib/dv_base_agent_cov.sv index 545f67646fae0..03b9e268b89c1 100644 --- a/hw/dv/sv/dv_lib/dv_base_agent_cov.sv +++ b/hw/dv/sv/dv_lib/dv_base_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_driver.sv b/hw/dv/sv/dv_lib/dv_base_driver.sv index 7501549259a71..264f5e9364a65 100644 --- a/hw/dv/sv/dv_lib/dv_base_driver.sv +++ b/hw/dv/sv/dv_lib/dv_base_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_env.sv b/hw/dv/sv/dv_lib/dv_base_env.sv index 2c5e865c45c4b..2ce662fa874a0 100644 --- a/hw/dv/sv/dv_lib/dv_base_env.sv +++ b/hw/dv/sv/dv_lib/dv_base_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_env_cfg.sv b/hw/dv/sv/dv_lib/dv_base_env_cfg.sv index 221be2536d3ce..106d812f7cb7c 100644 --- a/hw/dv/sv/dv_lib/dv_base_env_cfg.sv +++ b/hw/dv/sv/dv_lib/dv_base_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_env_cov.sv b/hw/dv/sv/dv_lib/dv_base_env_cov.sv index 48bf92ad0c628..47064386ea453 100644 --- a/hw/dv/sv/dv_lib/dv_base_env_cov.sv +++ b/hw/dv/sv/dv_lib/dv_base_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_monitor.sv b/hw/dv/sv/dv_lib/dv_base_monitor.sv index e5cc3163fa1ea..b535934a26076 100644 --- a/hw/dv/sv/dv_lib/dv_base_monitor.sv +++ b/hw/dv/sv/dv_lib/dv_base_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_scoreboard.sv b/hw/dv/sv/dv_lib/dv_base_scoreboard.sv index 82a88880e0251..c8b4acc67da38 100644 --- a/hw/dv/sv/dv_lib/dv_base_scoreboard.sv +++ b/hw/dv/sv/dv_lib/dv_base_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_seq.sv b/hw/dv/sv/dv_lib/dv_base_seq.sv index 8c6aca9726d29..616b47f34a469 100644 --- a/hw/dv/sv/dv_lib/dv_base_seq.sv +++ b/hw/dv/sv/dv_lib/dv_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_sequencer.sv b/hw/dv/sv/dv_lib/dv_base_sequencer.sv index 501929a2b8eb1..33d4310948581 100644 --- a/hw/dv/sv/dv_lib/dv_base_sequencer.sv +++ b/hw/dv/sv/dv_lib/dv_base_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_test.sv b/hw/dv/sv/dv_lib/dv_base_test.sv index 8227de6952803..d4c564a272d5f 100644 --- a/hw/dv/sv/dv_lib/dv_base_test.sv +++ b/hw/dv/sv/dv_lib/dv_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_virtual_sequencer.sv b/hw/dv/sv/dv_lib/dv_base_virtual_sequencer.sv index 3fe74281057ad..1b39b874eb225 100644 --- a/hw/dv/sv/dv_lib/dv_base_virtual_sequencer.sv +++ b/hw/dv/sv/dv_lib/dv_base_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_base_vseq.sv b/hw/dv/sv/dv_lib/dv_base_vseq.sv index 081863ea3a68d..d196fe462bed3 100644 --- a/hw/dv/sv/dv_lib/dv_base_vseq.sv +++ b/hw/dv/sv/dv_lib/dv_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_lib/dv_lib.core b/hw/dv/sv/dv_lib/dv_lib.core index e922e3fcadaad..76c02a9a561d6 100644 --- a/hw/dv/sv/dv_lib/dv_lib.core +++ b/hw/dv/sv/dv_lib/dv_lib.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_lib" diff --git a/hw/dv/sv/dv_lib/dv_lib_pkg.sv b/hw/dv/sv/dv_lib/dv_lib_pkg.sv index a53733ebd8983..d7eafc0d15c1f 100644 --- a/hw/dv/sv/dv_lib/dv_lib_pkg.sv +++ b/hw/dv/sv/dv_lib/dv_lib_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_fcov_macros.core b/hw/dv/sv/dv_utils/dv_fcov_macros.core index 02c033560e78e..45428865bf817 100644 --- a/hw/dv/sv/dv_utils/dv_fcov_macros.core +++ b/hw/dv/sv/dv_utils/dv_fcov_macros.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_fcov_macros" diff --git a/hw/dv/sv/dv_utils/dv_fcov_macros.svh b/hw/dv/sv/dv_utils/dv_fcov_macros.svh index 8ca12cdc8ff5d..6b5111eb776ea 100644 --- a/hw/dv/sv/dv_utils/dv_fcov_macros.svh +++ b/hw/dv/sv/dv_utils/dv_fcov_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_macros.core b/hw/dv/sv/dv_utils/dv_macros.core index 5f2fb800bd992..61a236b55d4f1 100644 --- a/hw/dv/sv/dv_utils/dv_macros.core +++ b/hw/dv/sv/dv_utils/dv_macros.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_macros" diff --git a/hw/dv/sv/dv_utils/dv_macros.svh b/hw/dv/sv/dv_utils/dv_macros.svh index 2fd9e31d14b1a..f922b1a92656a 100644 --- a/hw/dv/sv/dv_utils/dv_macros.svh +++ b/hw/dv/sv/dv_utils/dv_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_report_catcher.sv b/hw/dv/sv/dv_utils/dv_report_catcher.sv index 91e3337e69d56..7ab687a72ea5c 100644 --- a/hw/dv/sv/dv_utils/dv_report_catcher.sv +++ b/hw/dv/sv/dv_utils/dv_report_catcher.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Report catcher/demoter diff --git a/hw/dv/sv/dv_utils/dv_report_server.sv b/hw/dv/sv/dv_utils/dv_report_server.sv index a5d7440eb9d97..f48f62e197d2b 100644 --- a/hw/dv/sv/dv_utils/dv_report_server.sv +++ b/hw/dv/sv/dv_utils/dv_report_server.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_test_status.core b/hw/dv/sv/dv_utils/dv_test_status.core index e11cfd4b72f4a..fdeea3eb350fc 100644 --- a/hw/dv/sv/dv_utils/dv_test_status.core +++ b/hw/dv/sv/dv_utils/dv_test_status.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_test_status" diff --git a/hw/dv/sv/dv_utils/dv_test_status_pkg.sv b/hw/dv/sv/dv_utils/dv_test_status_pkg.sv index 3933673ee5ddc..d1516a2b5f501 100644 --- a/hw/dv/sv/dv_utils/dv_test_status_pkg.sv +++ b/hw/dv/sv/dv_utils/dv_test_status_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_utils.core b/hw/dv/sv/dv_utils/dv_utils.core index d984a9469c5c5..01397679533cc 100644 --- a/hw/dv/sv/dv_utils/dv_utils.core +++ b/hw/dv/sv/dv_utils/dv_utils.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:dv_utils" diff --git a/hw/dv/sv/dv_utils/dv_utils_pkg.sv b/hw/dv/sv/dv_utils/dv_utils_pkg.sv index 778c2d1f6b16c..00a6cd737573e 100644 --- a/hw/dv/sv/dv_utils/dv_utils_pkg.sv +++ b/hw/dv/sv/dv_utils/dv_utils_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/dv_utils/dv_vif_wrap.sv b/hw/dv/sv/dv_utils/dv_vif_wrap.sv index 61d99479e7b30..528eb97887648 100644 --- a/hw/dv/sv/dv_utils/dv_vif_wrap.sv +++ b/hw/dv/sv/dv_utils/dv_vif_wrap.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.core b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.core index 6b16f01eba273..062a915f7e537 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.core +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_xht_agent:0.1" diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.sv index d7f9a5f5ebefc..af8b626aae5e0 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_cfg.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_cfg.sv index 0d70f724bebef..7bbd47c9127b5 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_cfg.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_pkg.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_pkg.sv index 06dc37f532d9c..d353290c63d65 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_pkg.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_device_driver.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_device_driver.sv index 0b5a37c7a3c0b..f3e0cf3047291 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_device_driver.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_if.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_if.sv index 8bfbf76a2a0f3..c663e487b2a81 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_if.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_item.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_item.sv index 381ad09128436..31d7000465279 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_item.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_monitor.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_monitor.sv index 9f035a96a4baa..3b3134e0614eb 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_monitor.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_sequencer.sv b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_sequencer.sv index eb653f8d99f3a..5be174f02f262 100644 --- a/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_sequencer.sv +++ b/hw/dv/sv/entropy_src_xht_agent/entropy_src_xht_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_base_device_seq.sv b/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_base_device_seq.sv index eba627fd1e847..719fb3360ee76 100644 --- a/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_base_device_seq.sv +++ b/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_base_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_seq_list.sv b/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_seq_list.sv index 1cdfd54ba2705..033f6fafaf175 100644 --- a/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_seq_list.sv +++ b/hw/dv/sv/entropy_src_xht_agent/seq_lib/entropy_src_xht_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.core b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.core index 6c674b55595d1..7ff0d6044875a 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.core +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_phy_prim_agent:0.1" diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.sv index ad0ee96fc8411..7fa52ee5e6081 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cfg.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cfg.sv index 170e917ce66f0..c41e184832a18 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cfg.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cov.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cov.sv index c014837e1d32a..2259583b95360 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cov.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_pkg.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_pkg.sv index 8f4d02c0fae88..242dacd1e068d 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_pkg.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_driver.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_driver.sv index 7d1ca9e9c3213..909aa811d4deb 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_driver.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_if.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_if.sv index 3b0a89713f958..082f2ec023965 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_if.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_item.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_item.sv index 3f96035813dcf..b5018d579a521 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_item.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_monitor.sv b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_monitor.sv index d029ad66d8b5c..223707045d666 100644 --- a/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_monitor.sv +++ b/hw/dv/sv/flash_phy_prim_agent/flash_phy_prim_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_base_seq.sv b/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_base_seq.sv index 589bf5eb89bed..98d49e2ffa34b 100644 --- a/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_base_seq.sv +++ b/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_seq_list.sv b/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_seq_list.sv index 1c6d8d6cc0e7b..d3fad584142a3 100644 --- a/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_seq_list.sv +++ b/hw/dv/sv/flash_phy_prim_agent/seq_lib/flash_phy_prim_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_agent.core b/hw/dv/sv/i2c_agent/i2c_agent.core index d26cbd08f484c..0e4eb63f83f48 100644 --- a/hw/dv/sv/i2c_agent/i2c_agent.core +++ b/hw/dv/sv/i2c_agent/i2c_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:i2c_agent:0.1" diff --git a/hw/dv/sv/i2c_agent/i2c_agent.sv b/hw/dv/sv/i2c_agent/i2c_agent.sv index cd71f8039fdbd..44541948db94f 100644 --- a/hw/dv/sv/i2c_agent/i2c_agent.sv +++ b/hw/dv/sv/i2c_agent/i2c_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_agent_cfg.sv b/hw/dv/sv/i2c_agent/i2c_agent_cfg.sv index 186a7a0c0bea6..c2c5b0cf9cabb 100644 --- a/hw/dv/sv/i2c_agent/i2c_agent_cfg.sv +++ b/hw/dv/sv/i2c_agent/i2c_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_agent_cov.sv b/hw/dv/sv/i2c_agent/i2c_agent_cov.sv index a6aa4df08dd43..dd273c6b00c57 100644 --- a/hw/dv/sv/i2c_agent/i2c_agent_cov.sv +++ b/hw/dv/sv/i2c_agent/i2c_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_agent_pkg.sv b/hw/dv/sv/i2c_agent/i2c_agent_pkg.sv index b1f8d9fbc758c..ed62aa04ac5e5 100644 --- a/hw/dv/sv/i2c_agent/i2c_agent_pkg.sv +++ b/hw/dv/sv/i2c_agent/i2c_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_driver.sv b/hw/dv/sv/i2c_agent/i2c_driver.sv index f8a570552b411..de4877dbaa072 100644 --- a/hw/dv/sv/i2c_agent/i2c_driver.sv +++ b/hw/dv/sv/i2c_agent/i2c_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_if.sv b/hw/dv/sv/i2c_agent/i2c_if.sv index 082c92e60e52d..e8fc6dec9cfa7 100644 --- a/hw/dv/sv/i2c_agent/i2c_if.sv +++ b/hw/dv/sv/i2c_agent/i2c_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_item.sv b/hw/dv/sv/i2c_agent/i2c_item.sv index 0dcb0060993cd..ff3537072fef9 100644 --- a/hw/dv/sv/i2c_agent/i2c_item.sv +++ b/hw/dv/sv/i2c_agent/i2c_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_monitor.sv b/hw/dv/sv/i2c_agent/i2c_monitor.sv index 172582ff12614..bc44a5b68868e 100644 --- a/hw/dv/sv/i2c_agent/i2c_monitor.sv +++ b/hw/dv/sv/i2c_agent/i2c_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/i2c_sequencer.sv b/hw/dv/sv/i2c_agent/i2c_sequencer.sv index 55a6dce5c651e..c8fc25df25e8d 100644 --- a/hw/dv/sv/i2c_agent/i2c_sequencer.sv +++ b/hw/dv/sv/i2c_agent/i2c_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/seq_lib/i2c_base_seq.sv b/hw/dv/sv/i2c_agent/seq_lib/i2c_base_seq.sv index 0d2c69c26b700..26783a8c14cab 100644 --- a/hw/dv/sv/i2c_agent/seq_lib/i2c_base_seq.sv +++ b/hw/dv/sv/i2c_agent/seq_lib/i2c_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/seq_lib/i2c_device_response_seq.sv b/hw/dv/sv/i2c_agent/seq_lib/i2c_device_response_seq.sv index 4459f88b0039c..3f6fe70dbc8d2 100644 --- a/hw/dv/sv/i2c_agent/seq_lib/i2c_device_response_seq.sv +++ b/hw/dv/sv/i2c_agent/seq_lib/i2c_device_response_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/seq_lib/i2c_seq_list.sv b/hw/dv/sv/i2c_agent/seq_lib/i2c_seq_list.sv index b0f75199266b7..b07a6f40b563d 100644 --- a/hw/dv/sv/i2c_agent/seq_lib/i2c_seq_list.sv +++ b/hw/dv/sv/i2c_agent/seq_lib/i2c_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/seq_lib/i2c_target_base_seq.sv b/hw/dv/sv/i2c_agent/seq_lib/i2c_target_base_seq.sv index 676af762c5451..c886eb97f9895 100644 --- a/hw/dv/sv/i2c_agent/seq_lib/i2c_target_base_seq.sv +++ b/hw/dv/sv/i2c_agent/seq_lib/i2c_target_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/i2c_agent/seq_lib/i2c_target_may_nack_seq.sv b/hw/dv/sv/i2c_agent/seq_lib/i2c_target_may_nack_seq.sv index 2723bb60b3355..5a3aa80eee8ba 100644 --- a/hw/dv/sv/i2c_agent/seq_lib/i2c_target_may_nack_seq.sv +++ b/hw/dv/sv/i2c_agent/seq_lib/i2c_target_may_nack_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_agent.core b/hw/dv/sv/jtag_agent/jtag_agent.core index 1952bba4180a7..2c8b7b4ae8d2f 100644 --- a/hw/dv/sv/jtag_agent/jtag_agent.core +++ b/hw/dv/sv/jtag_agent/jtag_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:jtag_agent:0.1" diff --git a/hw/dv/sv/jtag_agent/jtag_agent.sv b/hw/dv/sv/jtag_agent/jtag_agent.sv index 32b882445b754..d03a930cf1299 100644 --- a/hw/dv/sv/jtag_agent/jtag_agent.sv +++ b/hw/dv/sv/jtag_agent/jtag_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_agent_cfg.sv b/hw/dv/sv/jtag_agent/jtag_agent_cfg.sv index ef8363d45784c..a6e3b24dfaa0f 100644 --- a/hw/dv/sv/jtag_agent/jtag_agent_cfg.sv +++ b/hw/dv/sv/jtag_agent/jtag_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_agent_cov.sv b/hw/dv/sv/jtag_agent/jtag_agent_cov.sv index 85968c1eeba90..460d15c3707b6 100644 --- a/hw/dv/sv/jtag_agent/jtag_agent_cov.sv +++ b/hw/dv/sv/jtag_agent/jtag_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_agent_pkg.sv b/hw/dv/sv/jtag_agent/jtag_agent_pkg.sv index 01842f912eee5..b8e810f89848d 100644 --- a/hw/dv/sv/jtag_agent/jtag_agent_pkg.sv +++ b/hw/dv/sv/jtag_agent/jtag_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_driver.sv b/hw/dv/sv/jtag_agent/jtag_driver.sv index 2595fe6725ff3..a689aafcc5702 100644 --- a/hw/dv/sv/jtag_agent/jtag_driver.sv +++ b/hw/dv/sv/jtag_agent/jtag_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_dtm_reg_adapter.sv b/hw/dv/sv/jtag_agent/jtag_dtm_reg_adapter.sv index bfc5218c53c86..65f067e17d9bd 100644 --- a/hw/dv/sv/jtag_agent/jtag_dtm_reg_adapter.sv +++ b/hw/dv/sv/jtag_agent/jtag_dtm_reg_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_dtm_reg_block.sv b/hw/dv/sv/jtag_agent/jtag_dtm_reg_block.sv index e20d4854f29d1..9b2ea12cabe3d 100644 --- a/hw/dv/sv/jtag_agent/jtag_dtm_reg_block.sv +++ b/hw/dv/sv/jtag_agent/jtag_dtm_reg_block.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_if.sv b/hw/dv/sv/jtag_agent/jtag_if.sv index 51743cf784d47..f6f181bd2f365 100644 --- a/hw/dv/sv/jtag_agent/jtag_if.sv +++ b/hw/dv/sv/jtag_agent/jtag_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_item.sv b/hw/dv/sv/jtag_agent/jtag_item.sv index 0e7ef14378204..37db2fcc4dcb9 100644 --- a/hw/dv/sv/jtag_agent/jtag_item.sv +++ b/hw/dv/sv/jtag_agent/jtag_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_monitor.sv b/hw/dv/sv/jtag_agent/jtag_monitor.sv index adb66bd36d051..fb347f70a3052 100644 --- a/hw/dv/sv/jtag_agent/jtag_monitor.sv +++ b/hw/dv/sv/jtag_agent/jtag_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_agent/jtag_seq_lib.sv b/hw/dv/sv/jtag_agent/jtag_seq_lib.sv index 7c8c153a95612..92f1e6341f57f 100644 --- a/hw/dv/sv/jtag_agent/jtag_seq_lib.sv +++ b/hw/dv/sv/jtag_agent/jtag_seq_lib.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi.hjson b/hw/dv/sv/jtag_dmi_agent/jtag_dmi.hjson index dc5bc2f664f97..b43b9826eaef1 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi.hjson +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent.core b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent.core index db44d1113a3af..8cd5cf51381ee 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent.core +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:jtag_dmi_agent" diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent_pkg.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent_pkg.sv index 10950ff33b7cc..798a9fe801603 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent_pkg.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_item.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_item.sv index 1476a3fe0b33e..f8e9197298d48 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_item.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_monitor.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_monitor.sv index 91e7e9517c55b..e75cb98853da0 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_monitor.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_block.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_block.sv index b5eb1b4d86a5d..fd748cb47a6e0 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_block.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_block.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv index 7165e9d4ad16f..31cd03ef47abd 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_dmi_reg_frontdoor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger.sv b/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger.sv index 6381fb34c7cc7..89e3d927eee49 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger_pkg.sv b/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger_pkg.sv index 305b991514587..1a5b7c632f4d4 100644 --- a/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger_pkg.sv +++ b/hw/dv/sv/jtag_dmi_agent/jtag_rv_debugger_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/sba_access_item.sv b/hw/dv/sv/jtag_dmi_agent/sba_access_item.sv index 9627769814b9e..3dd1d8913b11a 100644 --- a/hw/dv/sv/jtag_dmi_agent/sba_access_item.sv +++ b/hw/dv/sv/jtag_dmi_agent/sba_access_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/sba_access_monitor.sv b/hw/dv/sv/jtag_dmi_agent/sba_access_monitor.sv index 0ce1d97310c0f..5a0b581ec5b8c 100644 --- a/hw/dv/sv/jtag_dmi_agent/sba_access_monitor.sv +++ b/hw/dv/sv/jtag_dmi_agent/sba_access_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_dmi_agent/sba_access_reg_frontdoor.sv b/hw/dv/sv/jtag_dmi_agent/sba_access_reg_frontdoor.sv index 680e12fdd8534..7753c488c7b4f 100644 --- a/hw/dv/sv/jtag_dmi_agent/sba_access_reg_frontdoor.sv +++ b/hw/dv/sv/jtag_dmi_agent/sba_access_reg_frontdoor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.core b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.core index 07777ec62ee74..f5c1f3b5ab0ac 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.core +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:jtag_riscv_agent:0.1" diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.sv index d023d7c70a024..6081c4ed56c44 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cfg.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cfg.sv index 87a930b779db5..96e6950aafb43 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cfg.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cov.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cov.sv index b9dc2abbe8476..41df017e2c6b7 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cov.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv index 37ac4d3224712..465210e9f2400 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_driver.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_driver.sv index 5c6ed34cc3add..d5f5b283e5d8d 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_driver.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Pseudo driver to access CSR via JTAG TAP diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_item.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_item.sv index efe0438db9fa7..1934d51cefca0 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_item.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_monitor.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_monitor.sv index e36670e385d19..5feed53c2583f 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_monitor.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_reg_adapter.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_reg_adapter.sv index 7266916e5c570..9a5c427b9ec75 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_reg_adapter.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_reg_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Register adapter to access CSR via JTAG TAP diff --git a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_sequencer.sv b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_sequencer.sv index 253054138b09c..f837ed3a9f427 100644 --- a/hw/dv/sv/jtag_riscv_agent/jtag_riscv_sequencer.sv +++ b/hw/dv/sv/jtag_riscv_agent/jtag_riscv_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_base_seq.sv b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_base_seq.sv index 2d03fa292cd1d..77a62a1754a2c 100644 --- a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_base_seq.sv +++ b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_csr_seq.sv b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_csr_seq.sv index e10cfbbf82b74..2372a5b7e1713 100644 --- a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_csr_seq.sv +++ b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_csr_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_dm_activation_seq.sv b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_dm_activation_seq.sv index c7525f5785705..e140062301ac3 100644 --- a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_dm_activation_seq.sv +++ b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_dm_activation_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_seq_list.sv b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_seq_list.sv index 7bdf8d00a25cf..e6f459ce108f0 100644 --- a/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_seq_list.sv +++ b/hw/dv/sv/jtag_riscv_agent/seq_lib/jtag_riscv_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_agent.core b/hw/dv/sv/key_sideload_agent/key_sideload_agent.core index 9260225165737..72a7304552cfb 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_agent.core +++ b/hw/dv/sv/key_sideload_agent/key_sideload_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:key_sideload_agent:0.1" diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_agent.sv b/hw/dv/sv/key_sideload_agent/key_sideload_agent.sv index c70228b7a13ab..1338428bfeee5 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_agent.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_agent_cfg.sv b/hw/dv/sv/key_sideload_agent/key_sideload_agent_cfg.sv index 9664b08db74d5..9726e0c9fa5c0 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_agent_cfg.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_agent_cov.sv b/hw/dv/sv/key_sideload_agent/key_sideload_agent_cov.sv index 3db946a8180f8..111b8d6373d52 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_agent_cov.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_agent_pkg.sv b/hw/dv/sv/key_sideload_agent/key_sideload_agent_pkg.sv index 5de950ee45505..5d47b89956994 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_agent_pkg.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_driver.sv b/hw/dv/sv/key_sideload_agent/key_sideload_driver.sv index 0ad02b064b8d0..53ce42954a2e8 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_driver.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_if.sv b/hw/dv/sv/key_sideload_agent/key_sideload_if.sv index 0f7f3ed5c35da..1f8b521e0c829 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_if.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_item.sv b/hw/dv/sv/key_sideload_agent/key_sideload_item.sv index 05df2d589be9b..7754a65c71e99 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_item.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_monitor.sv b/hw/dv/sv/key_sideload_agent/key_sideload_monitor.sv index 2889ed2bdc7a9..b92e0b21c2496 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_monitor.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/key_sideload_sequencer.sv b/hw/dv/sv/key_sideload_agent/key_sideload_sequencer.sv index 463a3db959ab8..a9412175602de 100644 --- a/hw/dv/sv/key_sideload_agent/key_sideload_sequencer.sv +++ b/hw/dv/sv/key_sideload_agent/key_sideload_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_base_seq.sv b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_base_seq.sv index 9dfb13ea7846b..60def4487c697 100644 --- a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_base_seq.sv +++ b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_seq_list.sv b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_seq_list.sv index 8e919e518d11b..9f5a312592b12 100644 --- a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_seq_list.sv +++ b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_set_seq.sv b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_set_seq.sv index 5c8be3c6b86a6..b25bfb256ca0e 100644 --- a/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_set_seq.sv +++ b/hw/dv/sv/key_sideload_agent/seq_lib/key_sideload_set_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_agent.core b/hw/dv/sv/kmac_app_agent/kmac_app_agent.core index 5d5f7fba785ae..79527ccb57635 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_agent.core +++ b/hw/dv/sv/kmac_app_agent/kmac_app_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_app_agent:0.1" diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_agent.sv b/hw/dv/sv/kmac_app_agent/kmac_app_agent.sv index 7aa5310e08ed6..d3732daa6a55b 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_agent.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_agent_cfg.sv b/hw/dv/sv/kmac_app_agent/kmac_app_agent_cfg.sv index 949db5d8a87ee..9f573c4a73217 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_agent_cfg.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_agent_cov.sv b/hw/dv/sv/kmac_app_agent/kmac_app_agent_cov.sv index 8e89672ad1fec..357f5fe233870 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_agent_cov.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_agent_pkg.sv b/hw/dv/sv/kmac_app_agent/kmac_app_agent_pkg.sv index 201f9926102d3..d1b35860171cc 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_agent_pkg.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_device_driver.sv b/hw/dv/sv/kmac_app_agent/kmac_app_device_driver.sv index fdf831489e2bb..0d719971b4060 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_device_driver.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_driver.sv b/hw/dv/sv/kmac_app_agent/kmac_app_driver.sv index 304f9beac0b0d..4c0b058df2eef 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_driver.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_host_driver.sv b/hw/dv/sv/kmac_app_agent/kmac_app_host_driver.sv index 8af23b8a79d2e..436031c8af011 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_host_driver.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_host_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_intf.sv b/hw/dv/sv/kmac_app_agent/kmac_app_intf.sv index 3ce51a1e9878f..8fe2c4116821c 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_intf.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_intf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_item.sv b/hw/dv/sv/kmac_app_agent/kmac_app_item.sv index beae8c2751c64..674af522b9f9d 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_item.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_monitor.sv b/hw/dv/sv/kmac_app_agent/kmac_app_monitor.sv index 0f3e76f464c61..85aa240e43d4e 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_monitor.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/kmac_app_sequencer.sv b/hw/dv/sv/kmac_app_agent/kmac_app_sequencer.sv index 4ea7fca31b4e5..e92d4f9684396 100644 --- a/hw/dv/sv/kmac_app_agent/kmac_app_sequencer.sv +++ b/hw/dv/sv/kmac_app_agent/kmac_app_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_base_seq.sv b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_base_seq.sv index 1562a8bcd4342..b0bd303a288d5 100644 --- a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_base_seq.sv +++ b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_device_seq.sv b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_device_seq.sv index ecf587be2a2ec..fd22a02257866 100644 --- a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_device_seq.sv +++ b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_host_seq.sv b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_host_seq.sv index dbc2c84455454..433a6d58182c6 100644 --- a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_host_seq.sv +++ b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_seq_list.sv b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_seq_list.sv index 4f106493289d5..5bddc7ec6da62 100644 --- a/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_seq_list.sv +++ b/hw/dv/sv/kmac_app_agent/seq_lib/kmac_app_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_scb/data/mem_access_testplan.hjson b/hw/dv/sv/mem_bkdr_scb/data/mem_access_testplan.hjson index ae68ee8260141..637dc5b73bcf5 100644 --- a/hw/dv/sv/mem_bkdr_scb/data/mem_access_testplan.hjson +++ b/hw/dv/sv/mem_bkdr_scb/data/mem_access_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.core b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.core index 379f61983e6b0..86d656c137e40 100644 --- a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.core +++ b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:mem_bkdr_scb" diff --git a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.sv b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.sv index 76c6e1df32f4e..4201f50646ae6 100644 --- a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.sv +++ b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb_pkg.sv b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb_pkg.sv index 4e908a803aa84..6d0b5a83d6a07 100644 --- a/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb_pkg.sv +++ b/hw/dv/sv/mem_bkdr_scb/mem_bkdr_scb_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.core b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.core index 0ab9509ab113b..7dbe15256e27f 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.core +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:mem_bkdr_util" diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.sv index 69b2d47521814..c3354bb7436cf 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__flash.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__flash.sv index 66bf585a792a2..56a961482d907 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__flash.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__flash.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__otp.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__otp.sv index 568892ea669f7..3ce18e438e9ee 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__otp.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__otp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__rom.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__rom.sv index e96c15bc4171f..b2904435fd12a 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__rom.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__rom.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__sram.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__sram.sv index c40159fc32ccd..9fe8a69ce4590 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__sram.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util__sram.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util_pkg.sv b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util_pkg.sv index 68e205f0f8938..b9bc48906221a 100644 --- a/hw/dv/sv/mem_bkdr_util/mem_bkdr_util_pkg.sv +++ b/hw/dv/sv/mem_bkdr_util/mem_bkdr_util_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/otp_scrambler_pkg.sv b/hw/dv/sv/mem_bkdr_util/otp_scrambler_pkg.sv index 4ea062ec73976..74a8cf6ced861 100644 --- a/hw/dv/sv/mem_bkdr_util/otp_scrambler_pkg.sv +++ b/hw/dv/sv/mem_bkdr_util/otp_scrambler_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_bkdr_util/sram_scrambler_pkg.sv b/hw/dv/sv/mem_bkdr_util/sram_scrambler_pkg.sv index 69d3c94668c48..494688c9ca7fa 100644 --- a/hw/dv/sv/mem_bkdr_util/sram_scrambler_pkg.sv +++ b/hw/dv/sv/mem_bkdr_util/sram_scrambler_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_model/mem_model.core b/hw/dv/sv/mem_model/mem_model.core index 5eadedce7a1aa..a7abbf3a91baa 100644 --- a/hw/dv/sv/mem_model/mem_model.core +++ b/hw/dv/sv/mem_model/mem_model.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:mem_model" diff --git a/hw/dv/sv/mem_model/mem_model.sv b/hw/dv/sv/mem_model/mem_model.sv index d89f163631b9f..f4579b866ad7f 100644 --- a/hw/dv/sv/mem_model/mem_model.sv +++ b/hw/dv/sv/mem_model/mem_model.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/mem_model/mem_model_pkg.sv b/hw/dv/sv/mem_model/mem_model_pkg.sv index 5e3653c954efb..975e3bd490a31 100644 --- a/hw/dv/sv/mem_model/mem_model_pkg.sv +++ b/hw/dv/sv/mem_model/mem_model_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_agent.core b/hw/dv/sv/pattgen_agent/pattgen_agent.core index 968d806569eb4..aae77deab46d0 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_agent.core +++ b/hw/dv/sv/pattgen_agent/pattgen_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_agent:0.1" diff --git a/hw/dv/sv/pattgen_agent/pattgen_agent.sv b/hw/dv/sv/pattgen_agent/pattgen_agent.sv index 25287005c78f4..4035f505cafe2 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_agent.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_agent_cfg.sv b/hw/dv/sv/pattgen_agent/pattgen_agent_cfg.sv index 7ddf445da702f..fa019939cacb9 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_agent_cfg.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_agent_cov.sv b/hw/dv/sv/pattgen_agent/pattgen_agent_cov.sv index dbe366a7792d2..c214d6bf0f1a0 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_agent_cov.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_agent_pkg.sv b/hw/dv/sv/pattgen_agent/pattgen_agent_pkg.sv index df879124f3b65..1ea062ac5d4c3 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_agent_pkg.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_driver.sv b/hw/dv/sv/pattgen_agent/pattgen_driver.sv index a96a0c722c2fa..e676a1eaeab77 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_driver.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_if.sv b/hw/dv/sv/pattgen_agent/pattgen_if.sv index eba4971eee5ad..a4b8664796742 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_if.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_item.sv b/hw/dv/sv/pattgen_agent/pattgen_item.sv index a89e55c6414d4..3c99570b3ab71 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_item.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pattgen_agent/pattgen_monitor.sv b/hw/dv/sv/pattgen_agent/pattgen_monitor.sv index f03432efbc014..7c29f51870edd 100644 --- a/hw/dv/sv/pattgen_agent/pattgen_monitor.sv +++ b/hw/dv/sv/pattgen_agent/pattgen_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_agent.core b/hw/dv/sv/push_pull_agent/push_pull_agent.core index 9ba58d6376954..85a59bbb17f9e 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_agent.core +++ b/hw/dv/sv/push_pull_agent/push_pull_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:push_pull_agent:0.1" diff --git a/hw/dv/sv/push_pull_agent/push_pull_agent.sv b/hw/dv/sv/push_pull_agent/push_pull_agent.sv index e9c9910d4a155..ecb01035f9300 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_agent.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_agent_cfg.sv b/hw/dv/sv/push_pull_agent/push_pull_agent_cfg.sv index 85669742ec7ee..75eee996b5a18 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_agent_cfg.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_agent_cov.sv b/hw/dv/sv/push_pull_agent/push_pull_agent_cov.sv index 9f9ddead2c804..eddeff027e7da 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_agent_cov.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_agent_pkg.sv b/hw/dv/sv/push_pull_agent/push_pull_agent_pkg.sv index 41b5fc8bcad2a..0b2e6cccae1d6 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_agent_pkg.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_driver_lib.sv b/hw/dv/sv/push_pull_agent/push_pull_driver_lib.sv index 92dca5306fecd..6d1e3e6a50bc8 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_driver_lib.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_driver_lib.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_if.sv b/hw/dv/sv/push_pull_agent/push_pull_if.sv index 49c8c49a59a12..ee28a0218fa26 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_if.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_item.sv b/hw/dv/sv/push_pull_agent/push_pull_item.sv index 7a806598617e0..07c1386837de8 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_item.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_monitor.sv b/hw/dv/sv/push_pull_agent/push_pull_monitor.sv index 85ce5c96a9a6c..2f8cd057bfa78 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_monitor.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/push_pull_sequencer.sv b/hw/dv/sv/push_pull_agent/push_pull_sequencer.sv index 06c64595e9d5b..510f60a42a7e7 100644 --- a/hw/dv/sv/push_pull_agent/push_pull_sequencer.sv +++ b/hw/dv/sv/push_pull_agent/push_pull_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_base_seq.sv b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_base_seq.sv index 66b858ab7dbeb..e91bce8180c41 100644 --- a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_base_seq.sv +++ b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_device_seq.sv b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_device_seq.sv index 0b15f3f77ca6f..6b7ef97da246d 100644 --- a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_device_seq.sv +++ b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_host_seq.sv b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_host_seq.sv index 98c3b0296f81f..f1aa3c7306cc4 100644 --- a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_host_seq.sv +++ b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_indefinite_host_seq.sv b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_indefinite_host_seq.sv index 90c12267708df..ebee12449853b 100644 --- a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_indefinite_host_seq.sv +++ b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_indefinite_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_seq_list.sv b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_seq_list.sv index d591fb7f6bda7..ec836cac16614 100644 --- a/hw/dv/sv/push_pull_agent/seq_lib/push_pull_seq_list.sv +++ b/hw/dv/sv/push_pull_agent/seq_lib/push_pull_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pwm_monitor/pwm_if.sv b/hw/dv/sv/pwm_monitor/pwm_if.sv index 9c83afc644a09..7e853a781ef60 100644 --- a/hw/dv/sv/pwm_monitor/pwm_if.sv +++ b/hw/dv/sv/pwm_monitor/pwm_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pwm_monitor/pwm_item.sv b/hw/dv/sv/pwm_monitor/pwm_item.sv index 485f91abec1d9..e06c6175ef4c9 100644 --- a/hw/dv/sv/pwm_monitor/pwm_item.sv +++ b/hw/dv/sv/pwm_monitor/pwm_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pwm_monitor/pwm_monitor.core b/hw/dv/sv/pwm_monitor/pwm_monitor.core index 9b457a0ba21d9..068cf3509d2cf 100644 --- a/hw/dv/sv/pwm_monitor/pwm_monitor.core +++ b/hw/dv/sv/pwm_monitor/pwm_monitor.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_monitor:0.1" diff --git a/hw/dv/sv/pwm_monitor/pwm_monitor.sv b/hw/dv/sv/pwm_monitor/pwm_monitor.sv index 6d1955cf7aa67..83d2bc7a36498 100644 --- a/hw/dv/sv/pwm_monitor/pwm_monitor.sv +++ b/hw/dv/sv/pwm_monitor/pwm_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pwm_monitor/pwm_monitor_cfg.sv b/hw/dv/sv/pwm_monitor/pwm_monitor_cfg.sv index 1085737fa0a37..0bd516022df54 100644 --- a/hw/dv/sv/pwm_monitor/pwm_monitor_cfg.sv +++ b/hw/dv/sv/pwm_monitor/pwm_monitor_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/pwm_monitor/pwm_monitor_pkg.sv b/hw/dv/sv/pwm_monitor/pwm_monitor_pkg.sv index 0fe2bfba16dfa..f553062ee02a9 100644 --- a/hw/dv/sv/pwm_monitor/pwm_monitor_pkg.sv +++ b/hw/dv/sv/pwm_monitor/pwm_monitor_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_agent.core b/hw/dv/sv/rng_agent/rng_agent.core index ac92c6b5e812a..d8da86ae58e53 100644 --- a/hw/dv/sv/rng_agent/rng_agent.core +++ b/hw/dv/sv/rng_agent/rng_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rng_agent:0.1" diff --git a/hw/dv/sv/rng_agent/rng_agent.sv b/hw/dv/sv/rng_agent/rng_agent.sv index b0d5a247215e6..feb4e49ea5a41 100644 --- a/hw/dv/sv/rng_agent/rng_agent.sv +++ b/hw/dv/sv/rng_agent/rng_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_agent_cfg.sv b/hw/dv/sv/rng_agent/rng_agent_cfg.sv index 9aa2a017a186c..3ebe67483efe0 100644 --- a/hw/dv/sv/rng_agent/rng_agent_cfg.sv +++ b/hw/dv/sv/rng_agent/rng_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_agent_cov.sv b/hw/dv/sv/rng_agent/rng_agent_cov.sv index f4f2de69200fb..62228109f19f4 100644 --- a/hw/dv/sv/rng_agent/rng_agent_cov.sv +++ b/hw/dv/sv/rng_agent/rng_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_agent_pkg.sv b/hw/dv/sv/rng_agent/rng_agent_pkg.sv index 1078452ebe600..d80a3ed60b6fe 100644 --- a/hw/dv/sv/rng_agent/rng_agent_pkg.sv +++ b/hw/dv/sv/rng_agent/rng_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_driver.sv b/hw/dv/sv/rng_agent/rng_driver.sv index 503c2154292d1..7b3f33e8c56d8 100644 --- a/hw/dv/sv/rng_agent/rng_driver.sv +++ b/hw/dv/sv/rng_agent/rng_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_if.sv b/hw/dv/sv/rng_agent/rng_if.sv index 9f2ea2b0ea4b2..3ca5554aa6e44 100644 --- a/hw/dv/sv/rng_agent/rng_if.sv +++ b/hw/dv/sv/rng_agent/rng_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_item.sv b/hw/dv/sv/rng_agent/rng_item.sv index c1270749912f4..f83a1bad233b1 100644 --- a/hw/dv/sv/rng_agent/rng_item.sv +++ b/hw/dv/sv/rng_agent/rng_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/rng_monitor.sv b/hw/dv/sv/rng_agent/rng_monitor.sv index 4cbafe5698aae..d024d6bb93ef2 100644 --- a/hw/dv/sv/rng_agent/rng_monitor.sv +++ b/hw/dv/sv/rng_agent/rng_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/seq_lib/rng_base_seq.sv b/hw/dv/sv/rng_agent/seq_lib/rng_base_seq.sv index d7f3f05ee4e0c..70be6a96676cc 100644 --- a/hw/dv/sv/rng_agent/seq_lib/rng_base_seq.sv +++ b/hw/dv/sv/rng_agent/seq_lib/rng_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/rng_agent/seq_lib/rng_seq_list.sv b/hw/dv/sv/rng_agent/seq_lib/rng_seq_list.sv index 649f59824c548..9d1e1fcb58461 100644 --- a/hw/dv/sv/rng_agent/seq_lib/rng_seq_list.sv +++ b/hw/dv/sv/rng_agent/seq_lib/rng_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/scoreboard/scoreboard.core b/hw/dv/sv/scoreboard/scoreboard.core index 57ed5858ed7bd..60381b3d08711 100644 --- a/hw/dv/sv/scoreboard/scoreboard.core +++ b/hw/dv/sv/scoreboard/scoreboard.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:scoreboard" diff --git a/hw/dv/sv/scoreboard/scoreboard.sv b/hw/dv/sv/scoreboard/scoreboard.sv index 4601e1e45da11..39a97681d67f5 100644 --- a/hw/dv/sv/scoreboard/scoreboard.sv +++ b/hw/dv/sv/scoreboard/scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/scoreboard/scoreboard_pkg.sv b/hw/dv/sv/scoreboard/scoreboard_pkg.sv index cbf61f34bd219..9d29b07efb2fb 100644 --- a/hw/dv/sv/scoreboard/scoreboard_pkg.sv +++ b/hw/dv/sv/scoreboard/scoreboard_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/scoreboard/scoreboard_queue.sv b/hw/dv/sv/scoreboard/scoreboard_queue.sv index 3a52ce3ca4b63..6d204bc9b85d3 100644 --- a/hw/dv/sv/scoreboard/scoreboard_queue.sv +++ b/hw/dv/sv/scoreboard/scoreboard_queue.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/prim_count_if.sv b/hw/dv/sv/sec_cm/prim_count_if.sv index ad3b01017f747..76e8c7fdf89d6 100644 --- a/hw/dv/sv/sec_cm/prim_count_if.sv +++ b/hw/dv/sv/sec_cm/prim_count_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/prim_double_lfsr_if.sv b/hw/dv/sv/sec_cm/prim_double_lfsr_if.sv index 555e286b49c45..db4e8636d5709 100644 --- a/hw/dv/sv/sec_cm/prim_double_lfsr_if.sv +++ b/hw/dv/sv/sec_cm/prim_double_lfsr_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/prim_onehot_check_if.sv b/hw/dv/sv/sec_cm/prim_onehot_check_if.sv index 7843b859bc261..9aeeb51336155 100644 --- a/hw/dv/sv/sec_cm/prim_onehot_check_if.sv +++ b/hw/dv/sv/sec_cm/prim_onehot_check_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/prim_sparse_fsm_flop_if.sv b/hw/dv/sv/sec_cm/prim_sparse_fsm_flop_if.sv index df00b562b239b..6ec8e76ac746d 100644 --- a/hw/dv/sv/sec_cm/prim_sparse_fsm_flop_if.sv +++ b/hw/dv/sv/sec_cm/prim_sparse_fsm_flop_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm.core b/hw/dv/sv/sec_cm/sec_cm.core index 6448759766a6d..da7bb5e76cdce 100644 --- a/hw/dv/sv/sec_cm/sec_cm.core +++ b/hw/dv/sv/sec_cm/sec_cm.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sec_cm" diff --git a/hw/dv/sv/sec_cm/sec_cm_base_if_proxy.sv b/hw/dv/sv/sec_cm/sec_cm_base_if_proxy.sv index 8175cf587099c..e9a4683ca854e 100644 --- a/hw/dv/sv/sec_cm/sec_cm_base_if_proxy.sv +++ b/hw/dv/sv/sec_cm/sec_cm_base_if_proxy.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm_pkg.sv b/hw/dv/sv/sec_cm/sec_cm_pkg.sv index d9ebbd8c957ba..18d23d9188c27 100644 --- a/hw/dv/sv/sec_cm/sec_cm_pkg.sv +++ b/hw/dv/sv/sec_cm/sec_cm_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm_prim_count_bind.sv b/hw/dv/sv/sec_cm/sec_cm_prim_count_bind.sv index ad0bb347705c9..203dc7bae910a 100644 --- a/hw/dv/sv/sec_cm/sec_cm_prim_count_bind.sv +++ b/hw/dv/sv/sec_cm/sec_cm_prim_count_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm_prim_double_lfsr_bind.sv b/hw/dv/sv/sec_cm/sec_cm_prim_double_lfsr_bind.sv index 8f3c69dd3b616..6e88201239cf3 100644 --- a/hw/dv/sv/sec_cm/sec_cm_prim_double_lfsr_bind.sv +++ b/hw/dv/sv/sec_cm/sec_cm_prim_double_lfsr_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm_prim_onehot_check_bind.sv b/hw/dv/sv/sec_cm/sec_cm_prim_onehot_check_bind.sv index 5b1ec99fc4070..cc8b10106c299 100644 --- a/hw/dv/sv/sec_cm/sec_cm_prim_onehot_check_bind.sv +++ b/hw/dv/sv/sec_cm/sec_cm_prim_onehot_check_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sec_cm/sec_cm_prim_sparse_fsm_flop_bind.sv b/hw/dv/sv/sec_cm/sec_cm_prim_sparse_fsm_flop_bind.sv index baff3774180a1..7c869e2576fa0 100644 --- a/hw/dv/sv/sec_cm/sec_cm_prim_sparse_fsm_flop_bind.sv +++ b/hw/dv/sv/sec_cm/sec_cm_prim_sparse_fsm_flop_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sim_sram/sim_sram.core b/hw/dv/sv/sim_sram/sim_sram.core index 2a69ff20b5119..994836330995a 100644 --- a/hw/dv/sv/sim_sram/sim_sram.core +++ b/hw/dv/sv/sim_sram/sim_sram.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sim_sram" diff --git a/hw/dv/sv/sim_sram/sim_sram.sv b/hw/dv/sv/sim_sram/sim_sram.sv index 39792408cecea..6d9fe668cc765 100644 --- a/hw/dv/sv/sim_sram/sim_sram.sv +++ b/hw/dv/sv/sim_sram/sim_sram.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/sim_sram/sim_sram_if.sv b/hw/dv/sv/sim_sram/sim_sram_if.sv index a8115bae09e87..d8b91714c5a54 100644 --- a/hw/dv/sv/sim_sram/sim_sram_if.sv +++ b/hw/dv/sv/sim_sram/sim_sram_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/sim_sram/tlul_sink.sv b/hw/dv/sv/sim_sram/tlul_sink.sv index 4c1719030fa2b..a99d71da835a7 100644 --- a/hw/dv/sv/sim_sram/tlul_sink.sv +++ b/hw/dv/sv/sim_sram/tlul_sink.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_base_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_base_seq.sv index 081a6daa5aa2a..916cb7eab6ebf 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_base_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_device_cmd_rsp_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_device_cmd_rsp_seq.sv index dbe433b8356ac..0ee7580d896b3 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_device_cmd_rsp_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_device_cmd_rsp_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_device_flash_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_device_flash_seq.sv index 89cf468456dd4..fd4e31c28ab91 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_device_flash_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_device_flash_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_device_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_device_seq.sv index d5c8e7d80654d..f5518318d331a 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_device_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_host_dummy_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_host_dummy_seq.sv index 10c80104dda58..35ef1b215488a 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_host_dummy_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_host_dummy_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_host_flash_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_host_flash_seq.sv index 54348dc3d674b..d353d2d16eca1 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_host_flash_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_host_flash_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_host_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_host_seq.sv index 705f5c4a87ceb..a2625f6fac989 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_host_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_host_tpm_seq.sv b/hw/dv/sv/spi_agent/seq_lib/spi_host_tpm_seq.sv index 3096a8b429c35..9bd041bbc9422 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_host_tpm_seq.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_host_tpm_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/seq_lib/spi_seq_list.sv b/hw/dv/sv/spi_agent/seq_lib/spi_seq_list.sv index 7bd1c77c8b98e..615cde92148d5 100644 --- a/hw/dv/sv/spi_agent/seq_lib/spi_seq_list.sv +++ b/hw/dv/sv/spi_agent/seq_lib/spi_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_agent.core b/hw/dv/sv/spi_agent/spi_agent.core index 93a869d6157e5..dc60adb93d877 100644 --- a/hw/dv/sv/spi_agent/spi_agent.core +++ b/hw/dv/sv/spi_agent/spi_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_agent:0.1" diff --git a/hw/dv/sv/spi_agent/spi_agent.sv b/hw/dv/sv/spi_agent/spi_agent.sv index d09baf7f172f8..e85a092680419 100644 --- a/hw/dv/sv/spi_agent/spi_agent.sv +++ b/hw/dv/sv/spi_agent/spi_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_agent_cfg.sv b/hw/dv/sv/spi_agent/spi_agent_cfg.sv index e84eff699cc92..9fc57ba89c389 100644 --- a/hw/dv/sv/spi_agent/spi_agent_cfg.sv +++ b/hw/dv/sv/spi_agent/spi_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_agent_cov.sv b/hw/dv/sv/spi_agent/spi_agent_cov.sv index c6d1536ef9a67..9232cc3ae0562 100644 --- a/hw/dv/sv/spi_agent/spi_agent_cov.sv +++ b/hw/dv/sv/spi_agent/spi_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_agent_pkg.sv b/hw/dv/sv/spi_agent/spi_agent_pkg.sv index 3d9739c98f831..0f3014d12c352 100644 --- a/hw/dv/sv/spi_agent/spi_agent_pkg.sv +++ b/hw/dv/sv/spi_agent/spi_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_device_driver.sv b/hw/dv/sv/spi_agent/spi_device_driver.sv index 1d355e0183995..9bfd80dfa7541 100644 --- a/hw/dv/sv/spi_agent/spi_device_driver.sv +++ b/hw/dv/sv/spi_agent/spi_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_driver.sv b/hw/dv/sv/spi_agent/spi_driver.sv index 923dfd697f074..08dd58ae622dd 100644 --- a/hw/dv/sv/spi_agent/spi_driver.sv +++ b/hw/dv/sv/spi_agent/spi_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_flash_cmd_info.sv b/hw/dv/sv/spi_agent/spi_flash_cmd_info.sv index 438d36c77b0fa..f1b1683b35691 100644 --- a/hw/dv/sv/spi_agent/spi_flash_cmd_info.sv +++ b/hw/dv/sv/spi_agent/spi_flash_cmd_info.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/spi_agent/spi_host_driver.sv b/hw/dv/sv/spi_agent/spi_host_driver.sv index a4daaa11c4a43..b805ca8ef70dc 100644 --- a/hw/dv/sv/spi_agent/spi_host_driver.sv +++ b/hw/dv/sv/spi_agent/spi_host_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_if.sv b/hw/dv/sv/spi_agent/spi_if.sv index 5d6cfd2398176..6a32597133170 100644 --- a/hw/dv/sv/spi_agent/spi_if.sv +++ b/hw/dv/sv/spi_agent/spi_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_item.sv b/hw/dv/sv/spi_agent/spi_item.sv index 6f4be9d71c24d..ae06a27cddba3 100644 --- a/hw/dv/sv/spi_agent/spi_item.sv +++ b/hw/dv/sv/spi_agent/spi_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_monitor.sv b/hw/dv/sv/spi_agent/spi_monitor.sv index 387493796bc00..2d9adb5e75eb8 100644 --- a/hw/dv/sv/spi_agent/spi_monitor.sv +++ b/hw/dv/sv/spi_agent/spi_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/spi_agent/spi_sequencer.sv b/hw/dv/sv/spi_agent/spi_sequencer.sv index 89d0a7d9ea161..c4234bc6e6ec7 100644 --- a/hw/dv/sv/spi_agent/spi_sequencer.sv +++ b/hw/dv/sv/spi_agent/spi_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/str_utils/str_utils.core b/hw/dv/sv/str_utils/str_utils.core index 565c2ee43142a..14eebda7edaf9 100644 --- a/hw/dv/sv/str_utils/str_utils.core +++ b/hw/dv/sv/str_utils/str_utils.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:str_utils" diff --git a/hw/dv/sv/str_utils/str_utils_pkg.sv b/hw/dv/sv/str_utils/str_utils_pkg.sv index dfd73a4ffd520..5bd5ac97446b4 100644 --- a/hw/dv/sv/str_utils/str_utils_pkg.sv +++ b/hw/dv/sv/str_utils/str_utils_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sw_logger_if/sw_logger_if.core b/hw/dv/sv/sw_logger_if/sw_logger_if.core index 0ca0359950c14..5d047dfd97291 100644 --- a/hw/dv/sv/sw_logger_if/sw_logger_if.core +++ b/hw/dv/sv/sw_logger_if/sw_logger_if.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sw_logger_if" diff --git a/hw/dv/sv/sw_logger_if/sw_logger_if.sv b/hw/dv/sv/sw_logger_if/sw_logger_if.sv index c5682aa70caba..4502fa47cf4b1 100644 --- a/hw/dv/sv/sw_logger_if/sw_logger_if.sv +++ b/hw/dv/sv/sw_logger_if/sw_logger_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sw_test_status/sw_test_status.core b/hw/dv/sv/sw_test_status/sw_test_status.core index 543edc257321e..8006917ddc9e4 100644 --- a/hw/dv/sv/sw_test_status/sw_test_status.core +++ b/hw/dv/sv/sw_test_status/sw_test_status.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sw_test_status" diff --git a/hw/dv/sv/sw_test_status/sw_test_status_if.sv b/hw/dv/sv/sw_test_status/sw_test_status_if.sv index fec54e102b257..c1c3c474595ee 100644 --- a/hw/dv/sv/sw_test_status/sw_test_status_if.sv +++ b/hw/dv/sv/sw_test_status/sw_test_status_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/sw_test_status/sw_test_status_pkg.sv b/hw/dv/sv/sw_test_status/sw_test_status_pkg.sv index f13a56e258741..905465e8f2779 100644 --- a/hw/dv/sv/sw_test_status/sw_test_status_pkg.sv +++ b/hw/dv/sv/sw_test_status/sw_test_status_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/test_vectors/test_vectors.core b/hw/dv/sv/test_vectors/test_vectors.core index a2c5f5f7209f4..175ad28f4b286 100644 --- a/hw/dv/sv/test_vectors/test_vectors.core +++ b/hw/dv/sv/test_vectors/test_vectors.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:test_vectors" diff --git a/hw/dv/sv/test_vectors/test_vectors_pkg.sv b/hw/dv/sv/test_vectors/test_vectors_pkg.sv index 02ce48414fb14..fe027fdca62cf 100644 --- a/hw/dv/sv/test_vectors/test_vectors_pkg.sv +++ b/hw/dv/sv/test_vectors/test_vectors_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_base_vseq.sv b/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_base_vseq.sv index 2a52a85d72891..874b8a8adb121 100644 --- a/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_base_vseq.sv +++ b/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_vseq_list.sv b/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_vseq_list.sv index adb2a66ae037d..384fb6f72e7fe 100644 --- a/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_vseq_list.sv +++ b/hw/dv/sv/tl_agent/dv/env/seq_lib/tl_agent_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_env.core b/hw/dv/sv/tl_agent/dv/env/tl_agent_env.core index d3eb098a022e2..16015eeb30c6d 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_env.core +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:tl_agent_env:0.1" diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_env.sv b/hw/dv/sv/tl_agent/dv/env/tl_agent_env.sv index 95a89f33d3f2e..4f2010b6ef561 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_env.sv +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_env_cfg.sv b/hw/dv/sv/tl_agent/dv/env/tl_agent_env_cfg.sv index 2dd8542040bb3..1287bbcb66c12 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_env_cfg.sv +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_env_pkg.sv b/hw/dv/sv/tl_agent/dv/env/tl_agent_env_pkg.sv index 02702e6211f73..513fffb9fd04d 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_env_pkg.sv +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_scoreboard.sv b/hw/dv/sv/tl_agent/dv/env/tl_agent_scoreboard.sv index d091d845a438c..03e78d433f315 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_scoreboard.sv +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/env/tl_agent_virtual_sequencer.sv b/hw/dv/sv/tl_agent/dv/env/tl_agent_virtual_sequencer.sv index a8751fdfc1ae5..5ac84525dd21f 100644 --- a/hw/dv/sv/tl_agent/dv/env/tl_agent_virtual_sequencer.sv +++ b/hw/dv/sv/tl_agent/dv/env/tl_agent_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/tb/tb.sv b/hw/dv/sv/tl_agent/dv/tb/tb.sv index 0fda96eb976ba..8f413d4cfe345 100644 --- a/hw/dv/sv/tl_agent/dv/tb/tb.sv +++ b/hw/dv/sv/tl_agent/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/dv/tests/tl_agent_base_test.sv b/hw/dv/sv/tl_agent/dv/tests/tl_agent_base_test.sv index cdf615c3cd77d..e954d733f8fac 100644 --- a/hw/dv/sv/tl_agent/dv/tests/tl_agent_base_test.sv +++ b/hw/dv/sv/tl_agent/dv/tests/tl_agent_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/tests/tl_agent_test.core b/hw/dv/sv/tl_agent/dv/tests/tl_agent_test.core index 797822fb6d1a0..3f59784c3312e 100644 --- a/hw/dv/sv/tl_agent/dv/tests/tl_agent_test.core +++ b/hw/dv/sv/tl_agent/dv/tests/tl_agent_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:tl_agent_test:0.1" diff --git a/hw/dv/sv/tl_agent/dv/tests/tl_agent_test_pkg.sv b/hw/dv/sv/tl_agent/dv/tests/tl_agent_test_pkg.sv index aad2d7f891e91..a1f6d88075140 100644 --- a/hw/dv/sv/tl_agent/dv/tests/tl_agent_test_pkg.sv +++ b/hw/dv/sv/tl_agent/dv/tests/tl_agent_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/dv/tl_agent_sim.core b/hw/dv/sv/tl_agent/dv/tl_agent_sim.core index 0b1a8a8160525..34f78b812a9fc 100644 --- a/hw/dv/sv/tl_agent/dv/tl_agent_sim.core +++ b/hw/dv/sv/tl_agent/dv/tl_agent_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:tl_agent_sim:0.1" diff --git a/hw/dv/sv/tl_agent/dv/tl_agent_sim_cfg.hjson b/hw/dv/sv/tl_agent/dv/tl_agent_sim_cfg.hjson index 0d93a5d6f6a9d..96b2ad87c21d2 100644 --- a/hw/dv/sv/tl_agent/dv/tl_agent_sim_cfg.hjson +++ b/hw/dv/sv/tl_agent/dv/tl_agent_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_device_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_device_seq.sv index ec7cf85664c5b..58f302c786cb3 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_device_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_device_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_host_base_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_host_base_seq.sv index 3cdc2fc8d2d09..398e8c65b4020 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_host_base_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_host_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_host_custom_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_host_custom_seq.sv index 1cda2c47296d8..f5ffa3f0cbcc1 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_host_custom_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_host_custom_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_host_protocol_err_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_host_protocol_err_seq.sv index b820d7e502270..919844a30d32a 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_host_protocol_err_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_host_protocol_err_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_host_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_host_seq.sv index 4e956e21211e9..0747e960198d3 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_host_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_host_single_seq.sv b/hw/dv/sv/tl_agent/seq_lib/tl_host_single_seq.sv index 381cbd95d6d26..1bfa784af29cc 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_host_single_seq.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_host_single_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/seq_lib/tl_seq_list.sv b/hw/dv/sv/tl_agent/seq_lib/tl_seq_list.sv index 5165d8d17655e..cc99fbfea1556 100644 --- a/hw/dv/sv/tl_agent/seq_lib/tl_seq_list.sv +++ b/hw/dv/sv/tl_agent/seq_lib/tl_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/tl_agent.core b/hw/dv/sv/tl_agent/tl_agent.core index 3acc9b933cc1c..c6e6cafde2abe 100644 --- a/hw/dv/sv/tl_agent/tl_agent.core +++ b/hw/dv/sv/tl_agent/tl_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:tl_agent" diff --git a/hw/dv/sv/tl_agent/tl_agent.sv b/hw/dv/sv/tl_agent/tl_agent.sv index 156293d30a5e1..3b742207cb80d 100644 --- a/hw/dv/sv/tl_agent/tl_agent.sv +++ b/hw/dv/sv/tl_agent/tl_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/tl_agent_cfg.sv b/hw/dv/sv/tl_agent/tl_agent_cfg.sv index 671d6a017f7ad..74fcde806c12c 100644 --- a/hw/dv/sv/tl_agent/tl_agent_cfg.sv +++ b/hw/dv/sv/tl_agent/tl_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_agent_cov.sv b/hw/dv/sv/tl_agent/tl_agent_cov.sv index c9954750248ae..76bb8410cefcc 100644 --- a/hw/dv/sv/tl_agent/tl_agent_cov.sv +++ b/hw/dv/sv/tl_agent/tl_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/tl_agent_pkg.sv b/hw/dv/sv/tl_agent/tl_agent_pkg.sv index 5456ec78aefad..0afe1bf6ebb01 100644 --- a/hw/dv/sv/tl_agent/tl_agent_pkg.sv +++ b/hw/dv/sv/tl_agent/tl_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_device_driver.sv b/hw/dv/sv/tl_agent/tl_device_driver.sv index 533c65df50695..15489c83b6c1e 100644 --- a/hw/dv/sv/tl_agent/tl_device_driver.sv +++ b/hw/dv/sv/tl_agent/tl_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_host_driver.sv b/hw/dv/sv/tl_agent/tl_host_driver.sv index b65e37d4680b4..df0fa86a56967 100644 --- a/hw/dv/sv/tl_agent/tl_host_driver.sv +++ b/hw/dv/sv/tl_agent/tl_host_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_if.sv b/hw/dv/sv/tl_agent/tl_if.sv index 6b988da975432..620c580b85659 100644 --- a/hw/dv/sv/tl_agent/tl_if.sv +++ b/hw/dv/sv/tl_agent/tl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_monitor.sv b/hw/dv/sv/tl_agent/tl_monitor.sv index c3f158136fc58..4d44c92ffe98d 100644 --- a/hw/dv/sv/tl_agent/tl_monitor.sv +++ b/hw/dv/sv/tl_agent/tl_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/tl_agent/tl_reg_adapter.sv b/hw/dv/sv/tl_agent/tl_reg_adapter.sv index 279255f18d71f..60926c7f29873 100644 --- a/hw/dv/sv/tl_agent/tl_reg_adapter.sv +++ b/hw/dv/sv/tl_agent/tl_reg_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/tl_seq_item.sv b/hw/dv/sv/tl_agent/tl_seq_item.sv index 96f3a45e77434..54ccc01dbf99f 100644 --- a/hw/dv/sv/tl_agent/tl_seq_item.sv +++ b/hw/dv/sv/tl_agent/tl_seq_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/tl_agent/tl_sequencer.sv b/hw/dv/sv/tl_agent/tl_sequencer.sv index fd592254c07f0..7d7557e58c039 100644 --- a/hw/dv/sv/tl_agent/tl_sequencer.sv +++ b/hw/dv/sv/tl_agent/tl_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/seq_lib/uart_base_seq.sv b/hw/dv/sv/uart_agent/seq_lib/uart_base_seq.sv index 69e23ee0806f8..7168cd80c79f5 100644 --- a/hw/dv/sv/uart_agent/seq_lib/uart_base_seq.sv +++ b/hw/dv/sv/uart_agent/seq_lib/uart_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/seq_lib/uart_default_seq.sv b/hw/dv/sv/uart_agent/seq_lib/uart_default_seq.sv index 5bd72d914cbc5..d2c07d9c6479d 100644 --- a/hw/dv/sv/uart_agent/seq_lib/uart_default_seq.sv +++ b/hw/dv/sv/uart_agent/seq_lib/uart_default_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/seq_lib/uart_seq.sv b/hw/dv/sv/uart_agent/seq_lib/uart_seq.sv index aca254d6a6833..45502c7ecb2ad 100644 --- a/hw/dv/sv/uart_agent/seq_lib/uart_seq.sv +++ b/hw/dv/sv/uart_agent/seq_lib/uart_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/seq_lib/uart_seq_list.sv b/hw/dv/sv/uart_agent/seq_lib/uart_seq_list.sv index 03f33ea1afd5f..994668ade11e3 100644 --- a/hw/dv/sv/uart_agent/seq_lib/uart_seq_list.sv +++ b/hw/dv/sv/uart_agent/seq_lib/uart_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_agent.core b/hw/dv/sv/uart_agent/uart_agent.core index 51dd3ba3e7a44..f95aa93d92afc 100644 --- a/hw/dv/sv/uart_agent/uart_agent.core +++ b/hw/dv/sv/uart_agent/uart_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:uart_agent:0.1" diff --git a/hw/dv/sv/uart_agent/uart_agent.sv b/hw/dv/sv/uart_agent/uart_agent.sv index 9f2d4fd3da4c9..755d8d3add052 100644 --- a/hw/dv/sv/uart_agent/uart_agent.sv +++ b/hw/dv/sv/uart_agent/uart_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_agent_cfg.sv b/hw/dv/sv/uart_agent/uart_agent_cfg.sv index 4ac14c8c03a1b..6c7e1deeca7d6 100644 --- a/hw/dv/sv/uart_agent/uart_agent_cfg.sv +++ b/hw/dv/sv/uart_agent/uart_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_agent_cov.sv b/hw/dv/sv/uart_agent/uart_agent_cov.sv index 67a8d228a15d9..a61e8117f4e7e 100644 --- a/hw/dv/sv/uart_agent/uart_agent_cov.sv +++ b/hw/dv/sv/uart_agent/uart_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_agent_pkg.sv b/hw/dv/sv/uart_agent/uart_agent_pkg.sv index 1e5f0f0ede9c2..17f5b72e788b3 100644 --- a/hw/dv/sv/uart_agent/uart_agent_pkg.sv +++ b/hw/dv/sv/uart_agent/uart_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_driver.sv b/hw/dv/sv/uart_agent/uart_driver.sv index d45c77dcad2b5..4326314642fc5 100644 --- a/hw/dv/sv/uart_agent/uart_driver.sv +++ b/hw/dv/sv/uart_agent/uart_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_if.sv b/hw/dv/sv/uart_agent/uart_if.sv index bc93fcbf48db1..43d592ae69f08 100644 --- a/hw/dv/sv/uart_agent/uart_if.sv +++ b/hw/dv/sv/uart_agent/uart_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/sv/uart_agent/uart_item.sv b/hw/dv/sv/uart_agent/uart_item.sv index bb261d4011e45..ed1b37b697983 100644 --- a/hw/dv/sv/uart_agent/uart_item.sv +++ b/hw/dv/sv/uart_agent/uart_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_logger.sv b/hw/dv/sv/uart_agent/uart_logger.sv index 4f3ab82981d77..99d7dc9c4df97 100644 --- a/hw/dv/sv/uart_agent/uart_logger.sv +++ b/hw/dv/sv/uart_agent/uart_logger.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_monitor.sv b/hw/dv/sv/uart_agent/uart_monitor.sv index fa071ac393230..2b0ef5ea6bca9 100644 --- a/hw/dv/sv/uart_agent/uart_monitor.sv +++ b/hw/dv/sv/uart_agent/uart_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/uart_agent/uart_sequencer.sv b/hw/dv/sv/uart_agent/uart_sequencer.sv index 461f0ca2d0b8d..89f25ca45cf96 100644 --- a/hw/dv/sv/uart_agent/uart_sequencer.sv +++ b/hw/dv/sv/uart_agent/uart_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/seq_lib/usb20_base_seq.sv b/hw/dv/sv/usb20_agent/seq_lib/usb20_base_seq.sv index bd537d3b26d2c..ccd139ab2794d 100644 --- a/hw/dv/sv/usb20_agent/seq_lib/usb20_base_seq.sv +++ b/hw/dv/sv/usb20_agent/seq_lib/usb20_base_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/seq_lib/usb20_seq_list.sv b/hw/dv/sv/usb20_agent/seq_lib/usb20_seq_list.sv index d5c2127eb7ca5..f251a7a078fb5 100644 --- a/hw/dv/sv/usb20_agent/seq_lib/usb20_seq_list.sv +++ b/hw/dv/sv/usb20_agent/seq_lib/usb20_seq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_agent.core b/hw/dv/sv/usb20_agent/usb20_agent.core index 15c378adfbf5c..1746416245ca8 100644 --- a/hw/dv/sv/usb20_agent/usb20_agent.core +++ b/hw/dv/sv/usb20_agent/usb20_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:usb20_agent:0.1" diff --git a/hw/dv/sv/usb20_agent/usb20_agent.sv b/hw/dv/sv/usb20_agent/usb20_agent.sv index b50aa2ccd244b..7d45defb69e37 100644 --- a/hw/dv/sv/usb20_agent/usb20_agent.sv +++ b/hw/dv/sv/usb20_agent/usb20_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_agent_cfg.sv b/hw/dv/sv/usb20_agent/usb20_agent_cfg.sv index 635ba87c0e9d6..ca9f9f3471a7b 100644 --- a/hw/dv/sv/usb20_agent/usb20_agent_cfg.sv +++ b/hw/dv/sv/usb20_agent/usb20_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_agent_cov.sv b/hw/dv/sv/usb20_agent/usb20_agent_cov.sv index 2622211439259..7d28f895e3fc4 100644 --- a/hw/dv/sv/usb20_agent/usb20_agent_cov.sv +++ b/hw/dv/sv/usb20_agent/usb20_agent_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_agent_pkg.sv b/hw/dv/sv/usb20_agent/usb20_agent_pkg.sv index 98f96823ffc27..3cfec3ccfe0d2 100644 --- a/hw/dv/sv/usb20_agent/usb20_agent_pkg.sv +++ b/hw/dv/sv/usb20_agent/usb20_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_block_if.sv b/hw/dv/sv/usb20_agent/usb20_block_if.sv index a2655d6ee7252..25f51cb1a85c8 100644 --- a/hw/dv/sv/usb20_agent/usb20_block_if.sv +++ b/hw/dv/sv/usb20_agent/usb20_block_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_device_driver.sv b/hw/dv/sv/usb20_agent/usb20_device_driver.sv index 84ee0279db833..cf272f471ceb7 100644 --- a/hw/dv/sv/usb20_agent/usb20_device_driver.sv +++ b/hw/dv/sv/usb20_agent/usb20_device_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_driver.sv b/hw/dv/sv/usb20_agent/usb20_driver.sv index 99249076842f7..815697b7e54a5 100644 --- a/hw/dv/sv/usb20_agent/usb20_driver.sv +++ b/hw/dv/sv/usb20_agent/usb20_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_host_driver.sv b/hw/dv/sv/usb20_agent/usb20_host_driver.sv index 89ecbd6bf5494..6ff55e1bfc57b 100644 --- a/hw/dv/sv/usb20_agent/usb20_host_driver.sv +++ b/hw/dv/sv/usb20_agent/usb20_host_driver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_if.sv b/hw/dv/sv/usb20_agent/usb20_if.sv index f0d7be153ea58..672d965354f37 100644 --- a/hw/dv/sv/usb20_agent/usb20_if.sv +++ b/hw/dv/sv/usb20_agent/usb20_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_item.sv b/hw/dv/sv/usb20_agent/usb20_item.sv index f145bc2afb7cf..6b1f6dcc56a27 100644 --- a/hw/dv/sv/usb20_agent/usb20_item.sv +++ b/hw/dv/sv/usb20_agent/usb20_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_monitor.sv b/hw/dv/sv/usb20_agent/usb20_monitor.sv index 01361f008ffd8..758b3c1fdd8c6 100644 --- a/hw/dv/sv/usb20_agent/usb20_monitor.sv +++ b/hw/dv/sv/usb20_agent/usb20_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/sv/usb20_agent/usb20_usbdpi.core b/hw/dv/sv/usb20_agent/usb20_usbdpi.core index 275b6efbad523..96262ad5452d7 100644 --- a/hw/dv/sv/usb20_agent/usb20_usbdpi.core +++ b/hw/dv/sv/usb20_agent/usb20_usbdpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:usb20_usbdpi:0.1" diff --git a/hw/dv/sv/usb20_agent/usb20_usbdpi.sv b/hw/dv/sv/usb20_agent/usb20_usbdpi.sv index ee600b58d34e1..a9bf574573df7 100644 --- a/hw/dv/sv/usb20_agent/usb20_usbdpi.sv +++ b/hw/dv/sv/usb20_agent/usb20_usbdpi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/common.tcl b/hw/dv/tools/common.tcl index 81f7b98516e2e..cfa0b37bdf038 100644 --- a/hw/dv/tools/common.tcl +++ b/hw/dv/tools/common.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/dvsim/bazel.hjson b/hw/dv/tools/dvsim/bazel.hjson index 8453b27932287..407bfb119bf38 100644 --- a/hw/dv/tools/dvsim/bazel.hjson +++ b/hw/dv/tools/dvsim/bazel.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/common_modes.hjson b/hw/dv/tools/dvsim/common_modes.hjson index 781964d03695c..d74d13ebfe1e0 100644 --- a/hw/dv/tools/dvsim/common_modes.hjson +++ b/hw/dv/tools/dvsim/common_modes.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/common_sim_cfg.hjson b/hw/dv/tools/dvsim/common_sim_cfg.hjson index 951dead05c0a3..3a83bc76949f9 100644 --- a/hw/dv/tools/dvsim/common_sim_cfg.hjson +++ b/hw/dv/tools/dvsim/common_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/dsim.hjson b/hw/dv/tools/dvsim/dsim.hjson index 42171a587ad19..84f354618a837 100644 --- a/hw/dv/tools/dvsim/dsim.hjson +++ b/hw/dv/tools/dvsim/dsim.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/fusesoc.hjson b/hw/dv/tools/dvsim/fusesoc.hjson index c085052b1a876..1e441668883fb 100644 --- a/hw/dv/tools/dvsim/fusesoc.hjson +++ b/hw/dv/tools/dvsim/fusesoc.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/questa.hjson b/hw/dv/tools/dvsim/questa.hjson index 794fd904578e1..5e6abec0971a8 100644 --- a/hw/dv/tools/dvsim/questa.hjson +++ b/hw/dv/tools/dvsim/questa.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/riviera.hjson b/hw/dv/tools/dvsim/riviera.hjson index 1169fdd0ee130..303527525bcc7 100644 --- a/hw/dv/tools/dvsim/riviera.hjson +++ b/hw/dv/tools/dvsim/riviera.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/sim.mk b/hw/dv/tools/dvsim/sim.mk index a1719eb99fde2..ebfc3dd2c84e2 100644 --- a/hw/dv/tools/dvsim/sim.mk +++ b/hw/dv/tools/dvsim/sim.mk @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/dvsim/testplans/alert_test_testplan.hjson b/hw/dv/tools/dvsim/testplans/alert_test_testplan.hjson index 0e673ca6b4b37..505e3bfc51ce1 100644 --- a/hw/dv/tools/dvsim/testplans/alert_test_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/alert_test_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/csr_testplan.hjson b/hw/dv/tools/dvsim/testplans/csr_testplan.hjson index d31480cc820ff..fb8319b3bfce4 100644 --- a/hw/dv/tools/dvsim/testplans/csr_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/csr_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson b/hw/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson index 8c5c7cce9b4fb..88ad3b9b65d1c 100644 --- a/hw/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/intr_test_testplan.hjson b/hw/dv/tools/dvsim/testplans/intr_test_testplan.hjson index 0db9d533b498f..905c6ae7f4725 100644 --- a/hw/dv/tools/dvsim/testplans/intr_test_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/intr_test_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/mem_testplan.hjson b/hw/dv/tools/dvsim/testplans/mem_testplan.hjson index ec6f59dec834e..82f5751b44e33 100644 --- a/hw/dv/tools/dvsim/testplans/mem_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/mem_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/passthru_mem_intg_testplan.hjson b/hw/dv/tools/dvsim/testplans/passthru_mem_intg_testplan.hjson index 20ddfcbd8e514..b2a4c199b87ee 100644 --- a/hw/dv/tools/dvsim/testplans/passthru_mem_intg_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/passthru_mem_intg_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/sec_cm_count_testplan.hjson b/hw/dv/tools/dvsim/testplans/sec_cm_count_testplan.hjson index 5e2b86ca29a33..c66deb7b6021a 100644 --- a/hw/dv/tools/dvsim/testplans/sec_cm_count_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/sec_cm_count_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/sec_cm_double_lfsr_testplan.hjson b/hw/dv/tools/dvsim/testplans/sec_cm_double_lfsr_testplan.hjson index e81e054f63f56..b2807a2b90ac3 100644 --- a/hw/dv/tools/dvsim/testplans/sec_cm_double_lfsr_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/sec_cm_double_lfsr_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/sec_cm_fsm_testplan.hjson b/hw/dv/tools/dvsim/testplans/sec_cm_fsm_testplan.hjson index 65c442507d0df..e205cc9ab1daa 100644 --- a/hw/dv/tools/dvsim/testplans/sec_cm_fsm_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/sec_cm_fsm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/sec_cm_one_hot_testplan.hjson b/hw/dv/tools/dvsim/testplans/sec_cm_one_hot_testplan.hjson index ac54631d2be6e..631e2f0c0e4b8 100644 --- a/hw/dv/tools/dvsim/testplans/sec_cm_one_hot_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/sec_cm_one_hot_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson b/hw/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson index 6cc645577562b..8e642c5e32802 100644 --- a/hw/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson b/hw/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson index 3e8097cc32136..2785f3aff0483 100644 --- a/hw/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson b/hw/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson index f3c63d6cf8369..f405656a9ab92 100644 --- a/hw/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/testplans/tl_device_access_types_wo_intg_testplan.hjson b/hw/dv/tools/dvsim/testplans/tl_device_access_types_wo_intg_testplan.hjson index 496067f8921bf..13dfb93397bad 100644 --- a/hw/dv/tools/dvsim/testplans/tl_device_access_types_wo_intg_testplan.hjson +++ b/hw/dv/tools/dvsim/testplans/tl_device_access_types_wo_intg_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/alert_test.hjson b/hw/dv/tools/dvsim/tests/alert_test.hjson index 808d01ec76b3e..21c8ddff43f6c 100644 --- a/hw/dv/tools/dvsim/tests/alert_test.hjson +++ b/hw/dv/tools/dvsim/tests/alert_test.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/csr_tests.hjson b/hw/dv/tools/dvsim/tests/csr_tests.hjson index 2f17aec2891f1..af3d97b6a7ce9 100644 --- a/hw/dv/tools/dvsim/tests/csr_tests.hjson +++ b/hw/dv/tools/dvsim/tests/csr_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/intr_test.hjson b/hw/dv/tools/dvsim/tests/intr_test.hjson index 41132b76d3afd..e83c96f89c2cb 100644 --- a/hw/dv/tools/dvsim/tests/intr_test.hjson +++ b/hw/dv/tools/dvsim/tests/intr_test.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/mem_tests.hjson b/hw/dv/tools/dvsim/tests/mem_tests.hjson index b3760144514e6..de9fa5c2470ac 100644 --- a/hw/dv/tools/dvsim/tests/mem_tests.hjson +++ b/hw/dv/tools/dvsim/tests/mem_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/passthru_mem_intg_tests.hjson b/hw/dv/tools/dvsim/tests/passthru_mem_intg_tests.hjson index ec89813416ab8..46df8854a9f07 100644 --- a/hw/dv/tools/dvsim/tests/passthru_mem_intg_tests.hjson +++ b/hw/dv/tools/dvsim/tests/passthru_mem_intg_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/sec_cm_tests.hjson b/hw/dv/tools/dvsim/tests/sec_cm_tests.hjson index 593f912d5ecfb..6ac55da5cd600 100644 --- a/hw/dv/tools/dvsim/tests/sec_cm_tests.hjson +++ b/hw/dv/tools/dvsim/tests/sec_cm_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson b/hw/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson index 8f52b84c980c3..1f1848da7238c 100644 --- a/hw/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson +++ b/hw/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/dvsim/tests/stress_all_test.hjson b/hw/dv/tools/dvsim/tests/stress_all_test.hjson index b96cbb7ce1e0b..297ef1aafb5b5 100644 --- a/hw/dv/tools/dvsim/tests/stress_all_test.hjson +++ b/hw/dv/tools/dvsim/tests/stress_all_test.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/dv/tools/dvsim/tests/stress_tests.hjson b/hw/dv/tools/dvsim/tests/stress_tests.hjson index b4aadea708d80..2fe58c6500f5f 100644 --- a/hw/dv/tools/dvsim/tests/stress_tests.hjson +++ b/hw/dv/tools/dvsim/tests/stress_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/dvsim/tests/tl_access_tests.hjson b/hw/dv/tools/dvsim/tests/tl_access_tests.hjson index af3e1d04d5794..fd0d1a16e78b6 100644 --- a/hw/dv/tools/dvsim/tests/tl_access_tests.hjson +++ b/hw/dv/tools/dvsim/tests/tl_access_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/vcs.hjson b/hw/dv/tools/dvsim/vcs.hjson index 6777761d3a949..b11e601f81442 100644 --- a/hw/dv/tools/dvsim/vcs.hjson +++ b/hw/dv/tools/dvsim/vcs.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/verilator.hjson b/hw/dv/tools/dvsim/verilator.hjson index 2f8f5017c0d8a..56ab5d25ba259 100644 --- a/hw/dv/tools/dvsim/verilator.hjson +++ b/hw/dv/tools/dvsim/verilator.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/dvsim/xcelium.hjson b/hw/dv/tools/dvsim/xcelium.hjson index 5ee39791a7212..b523e4c1ab9e7 100644 --- a/hw/dv/tools/dvsim/xcelium.hjson +++ b/hw/dv/tools/dvsim/xcelium.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/tools/questa/sim.tcl b/hw/dv/tools/questa/sim.tcl index 8291676945545..7279a2846128a 100644 --- a/hw/dv/tools/questa/sim.tcl +++ b/hw/dv/tools/questa/sim.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/ralgen/ralgen.core b/hw/dv/tools/ralgen/ralgen.core index b277f413f1186..8a451c480eeaa 100644 --- a/hw/dv/tools/ralgen/ralgen.core +++ b/hw/dv/tools/ralgen/ralgen.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/ralgen/ralgen.py b/hw/dv/tools/ralgen/ralgen.py index b8680511e724d..4281666e13705 100755 --- a/hw/dv/tools/ralgen/ralgen.py +++ b/hw/dv/tools/ralgen/ralgen.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""FuseSoc generator for UVM RAL package created with either regtool or diff --git a/hw/dv/tools/riviera/riviera_run.do b/hw/dv/tools/riviera/riviera_run.do index 68c40759e01c6..6a9de01d61153 100644 --- a/hw/dv/tools/riviera/riviera_run.do +++ b/hw/dv/tools/riviera/riviera_run.do @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/sim.tcl b/hw/dv/tools/sim.tcl index c513490e87bc8..98a5694d8a752 100644 --- a/hw/dv/tools/sim.tcl +++ b/hw/dv/tools/sim.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/common_cov_excl.cfg b/hw/dv/tools/vcs/common_cov_excl.cfg index 35a32c4151fd4..7c5b5c4c07c52 100644 --- a/hw/dv/tools/vcs/common_cov_excl.cfg +++ b/hw/dv/tools/vcs/common_cov_excl.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/cover.cfg b/hw/dv/tools/vcs/cover.cfg index ccde34a68f3db..79068f07b70d6 100644 --- a/hw/dv/tools/vcs/cover.cfg +++ b/hw/dv/tools/vcs/cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/cover_reg_top.cfg b/hw/dv/tools/vcs/cover_reg_top.cfg index 771cd5634512c..430f2be9a1e7a 100644 --- a/hw/dv/tools/vcs/cover_reg_top.cfg +++ b/hw/dv/tools/vcs/cover_reg_top.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/fsm_reset_cov.cfg b/hw/dv/tools/vcs/fsm_reset_cov.cfg index 6207462b95bcd..1b66a19811584 100644 --- a/hw/dv/tools/vcs/fsm_reset_cov.cfg +++ b/hw/dv/tools/vcs/fsm_reset_cov.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/unr.cfg b/hw/dv/tools/vcs/unr.cfg index 290f3a45ed5f8..ca91d13e14acb 100644 --- a/hw/dv/tools/vcs/unr.cfg +++ b/hw/dv/tools/vcs/unr.cfg @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/vcs/xprop.cfg b/hw/dv/tools/vcs/xprop.cfg index da9650f8c9b13..aaf7edfe35201 100644 --- a/hw/dv/tools/vcs/xprop.cfg +++ b/hw/dv/tools/vcs/xprop.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/waves.tcl b/hw/dv/tools/waves.tcl index eeb76e2b2f32f..3a4ac3ba4a68a 100644 --- a/hw/dv/tools/waves.tcl +++ b/hw/dv/tools/waves.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/common.ccf b/hw/dv/tools/xcelium/common.ccf index 9d003aed8e036..bf129833612cd 100644 --- a/hw/dv/tools/xcelium/common.ccf +++ b/hw/dv/tools/xcelium/common.ccf @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/common_cov_excl.tcl b/hw/dv/tools/xcelium/common_cov_excl.tcl index 6c9243f9fadad..3708338998b18 100644 --- a/hw/dv/tools/xcelium/common_cov_excl.tcl +++ b/hw/dv/tools/xcelium/common_cov_excl.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/cov_merge.tcl b/hw/dv/tools/xcelium/cov_merge.tcl index 0b9e534146fe8..089e6c62146f0 100644 --- a/hw/dv/tools/xcelium/cov_merge.tcl +++ b/hw/dv/tools/xcelium/cov_merge.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/cov_report.tcl b/hw/dv/tools/xcelium/cov_report.tcl index b4a6471d720fb..cecbc956b4af3 100644 --- a/hw/dv/tools/xcelium/cov_report.tcl +++ b/hw/dv/tools/xcelium/cov_report.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/cover.ccf b/hw/dv/tools/xcelium/cover.ccf index 7752f4894d946..9a80e7f265d69 100644 --- a/hw/dv/tools/xcelium/cover.ccf +++ b/hw/dv/tools/xcelium/cover.ccf @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/cover_reg_top.ccf b/hw/dv/tools/xcelium/cover_reg_top.ccf index ba86d3d4a93fe..3bd0f5e926914 100644 --- a/hw/dv/tools/xcelium/cover_reg_top.ccf +++ b/hw/dv/tools/xcelium/cover_reg_top.ccf @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/cover_reg_top_toggle_excl b/hw/dv/tools/xcelium/cover_reg_top_toggle_excl index ae30c5533229e..caf1bc035731d 100644 --- a/hw/dv/tools/xcelium/cover_reg_top_toggle_excl +++ b/hw/dv/tools/xcelium/cover_reg_top_toggle_excl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/tools/xcelium/unr.cfg b/hw/dv/tools/xcelium/unr.cfg index 860a964259777..7918effde975f 100644 --- a/hw/dv/tools/xcelium/unr.cfg +++ b/hw/dv/tools/xcelium/unr.cfg @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 check_unr -setup diff --git a/hw/dv/verilator/cpp/dpi_memutil.cc b/hw/dv/verilator/cpp/dpi_memutil.cc index a6fc452d74e10..945ea3923da46 100644 --- a/hw/dv/verilator/cpp/dpi_memutil.cc +++ b/hw/dv/verilator/cpp/dpi_memutil.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/dpi_memutil.h b/hw/dv/verilator/cpp/dpi_memutil.h index a41aae996e99b..48656796ca769 100644 --- a/hw/dv/verilator/cpp/dpi_memutil.h +++ b/hw/dv/verilator/cpp/dpi_memutil.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_DV_VERILATOR_CPP_DPI_MEMUTIL_H_ diff --git a/hw/dv/verilator/cpp/ecc32_mem_area.cc b/hw/dv/verilator/cpp/ecc32_mem_area.cc index d5926fa15a570..5136d9c3e548f 100644 --- a/hw/dv/verilator/cpp/ecc32_mem_area.cc +++ b/hw/dv/verilator/cpp/ecc32_mem_area.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/ecc32_mem_area.h b/hw/dv/verilator/cpp/ecc32_mem_area.h index e42ea2dd736bd..1ba0053027fbf 100644 --- a/hw/dv/verilator/cpp/ecc32_mem_area.h +++ b/hw/dv/verilator/cpp/ecc32_mem_area.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/mem_area.cc b/hw/dv/verilator/cpp/mem_area.cc index 4b29f1fca7fd8..58455e499171e 100644 --- a/hw/dv/verilator/cpp/mem_area.cc +++ b/hw/dv/verilator/cpp/mem_area.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/mem_area.h b/hw/dv/verilator/cpp/mem_area.h index 84b57d94bb5a4..05cabf50842cd 100644 --- a/hw/dv/verilator/cpp/mem_area.h +++ b/hw/dv/verilator/cpp/mem_area.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/ranged_map.h b/hw/dv/verilator/cpp/ranged_map.h index 4bc7cbae7094b..9dcd8d16cc08b 100644 --- a/hw/dv/verilator/cpp/ranged_map.h +++ b/hw/dv/verilator/cpp/ranged_map.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_DV_VERILATOR_CPP_RANGED_MAP_H_ diff --git a/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.cc b/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.cc index 1206c8656990c..c67a92917b9cd 100644 --- a/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.cc +++ b/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.h b/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.h index 31055b1a3da3a..e3443878ec912 100644 --- a/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.h +++ b/hw/dv/verilator/cpp/scrambled_ecc32_mem_area.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/sv_scoped.cc b/hw/dv/verilator/cpp/sv_scoped.cc index 6f537ae83a4fb..52a001a86dbd5 100644 --- a/hw/dv/verilator/cpp/sv_scoped.cc +++ b/hw/dv/verilator/cpp/sv_scoped.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/sv_scoped.h b/hw/dv/verilator/cpp/sv_scoped.h index e9c75ff27746a..49ca1fc37cd67 100644 --- a/hw/dv/verilator/cpp/sv_scoped.h +++ b/hw/dv/verilator/cpp/sv_scoped.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/verilator_memutil.cc b/hw/dv/verilator/cpp/verilator_memutil.cc index 66ee5d7a7fab0..951152814f41d 100644 --- a/hw/dv/verilator/cpp/verilator_memutil.cc +++ b/hw/dv/verilator/cpp/verilator_memutil.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/cpp/verilator_memutil.h b/hw/dv/verilator/cpp/verilator_memutil.h index 128500ba0543c..961554bfb30dd 100644 --- a/hw/dv/verilator/cpp/verilator_memutil.h +++ b/hw/dv/verilator/cpp/verilator_memutil.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_DV_VERILATOR_CPP_VERILATOR_MEMUTIL_H_ diff --git a/hw/dv/verilator/memutil_dpi.core b/hw/dv/verilator/memutil_dpi.core index 7de37f055abd6..a8957e16ad17e 100644 --- a/hw/dv/verilator/memutil_dpi.core +++ b/hw/dv/verilator/memutil_dpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/memutil_dpi_scrambled.core b/hw/dv/verilator/memutil_dpi_scrambled.core index 8467e2384d1b2..6c27c642fb55a 100644 --- a/hw/dv/verilator/memutil_dpi_scrambled.core +++ b/hw/dv/verilator/memutil_dpi_scrambled.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/memutil_dpi_scrambled_opts.hjson b/hw/dv/verilator/memutil_dpi_scrambled_opts.hjson index fc9cca6045a4d..ee8ae81fa7a80 100644 --- a/hw/dv/verilator/memutil_dpi_scrambled_opts.hjson +++ b/hw/dv/verilator/memutil_dpi_scrambled_opts.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/dv/verilator/memutil_verilator.core b/hw/dv/verilator/memutil_verilator.core index b2f27c1537519..5c2e199de1a42 100644 --- a/hw/dv/verilator/memutil_verilator.core +++ b/hw/dv/verilator/memutil_verilator.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h b/hw/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h index 87c42b508d4fe..96460cfbb03df 100644 --- a/hw/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h +++ b/hw/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc b/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc index 9c2ef91fcc906..6f054ab366f81 100644 --- a/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc +++ b/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h b/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h index 1d7cc7dee0e4a..f8af5b368db31 100644 --- a/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h +++ b/hw/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc b/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc index d92b1b36ef805..8ae0622d0056d 100644 --- a/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc +++ b/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h b/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h index 9e60d1ab6b555..5e90e97585828 100644 --- a/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h +++ b/hw/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/dv/verilator/simutil_verilator/simutil_verilator.core b/hw/dv/verilator/simutil_verilator/simutil_verilator.core index d14327ae9aa3d..9e2dfc1d15a42 100644 --- a/hw/dv/verilator/simutil_verilator/simutil_verilator.core +++ b/hw/dv/verilator/simutil_verilator/simutil_verilator.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/lec_sv2v.do b/hw/formal/lec_sv2v.do index af57c380c2560..cb894ed5f8509 100644 --- a/hw/formal/lec_sv2v.do +++ b/hw/formal/lec_sv2v.do @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/rtl_diff b/hw/formal/rtl_diff index 6989f3b6b679b..19033f01e17cc 100755 --- a/hw/formal/rtl_diff +++ b/hw/formal/rtl_diff @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/rtl_diff.do b/hw/formal/rtl_diff.do index a56164048d4a5..b657a114ec9f6 100644 --- a/hw/formal/rtl_diff.do +++ b/hw/formal/rtl_diff.do @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/csr_assert_gen/csr_assert_gen.core b/hw/formal/tools/csr_assert_gen/csr_assert_gen.core index 2cee4af66a1b2..0562cbbb3289c 100644 --- a/hw/formal/tools/csr_assert_gen/csr_assert_gen.core +++ b/hw/formal/tools/csr_assert_gen/csr_assert_gen.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/csr_assert_gen/csr_assert_gen.py b/hw/formal/tools/csr_assert_gen/csr_assert_gen.py index e212bc78270a9..693a79d07bc45 100644 --- a/hw/formal/tools/csr_assert_gen/csr_assert_gen.py +++ b/hw/formal/tools/csr_assert_gen/csr_assert_gen.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""FuseSoc generator for creating the CSR assert file using regtool.py, used diff --git a/hw/formal/tools/csr_assert_gen/csr_assert_gen_dummy.core b/hw/formal/tools/csr_assert_gen/csr_assert_gen_dummy.core index 83282ac99c3fa..6aa56f3936233 100644 --- a/hw/formal/tools/csr_assert_gen/csr_assert_gen_dummy.core +++ b/hw/formal/tools/csr_assert_gen/csr_assert_gen_dummy.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/csr_assert_gen/csr_assert_gen_script.core b/hw/formal/tools/csr_assert_gen/csr_assert_gen_script.core index bab2b4b75a0da..82aaf1be29827 100644 --- a/hw/formal/tools/csr_assert_gen/csr_assert_gen_script.core +++ b/hw/formal/tools/csr_assert_gen/csr_assert_gen_script.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/dvsim/common_conn_cfg.hjson b/hw/formal/tools/dvsim/common_conn_cfg.hjson index 2f48a79a3f7b5..49525ae5a0646 100644 --- a/hw/formal/tools/dvsim/common_conn_cfg.hjson +++ b/hw/formal/tools/dvsim/common_conn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/formal/tools/dvsim/common_formal_cfg.hjson b/hw/formal/tools/dvsim/common_formal_cfg.hjson index edc70fde956db..b12f8aec0f5a0 100644 --- a/hw/formal/tools/dvsim/common_formal_cfg.hjson +++ b/hw/formal/tools/dvsim/common_formal_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/formal/tools/dvsim/common_fpv_cfg.hjson b/hw/formal/tools/dvsim/common_fpv_cfg.hjson index a79a83e038a88..545be39055d86 100644 --- a/hw/formal/tools/dvsim/common_fpv_cfg.hjson +++ b/hw/formal/tools/dvsim/common_fpv_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/formal/tools/dvsim/formal.mk b/hw/formal/tools/dvsim/formal.mk index 8cf19cbef5c31..e27d620181177 100644 --- a/hw/formal/tools/dvsim/formal.mk +++ b/hw/formal/tools/dvsim/formal.mk @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/jaspergold/conn.tcl b/hw/formal/tools/jaspergold/conn.tcl index 6218a99072117..8542c2c08ebc6 100644 --- a/hw/formal/tools/jaspergold/conn.tcl +++ b/hw/formal/tools/jaspergold/conn.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/jaspergold/fpv.tcl b/hw/formal/tools/jaspergold/fpv.tcl index 67542e3d30011..0cac284e8f198 100644 --- a/hw/formal/tools/jaspergold/fpv.tcl +++ b/hw/formal/tools/jaspergold/fpv.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/jaspergold/jaspergold.hjson b/hw/formal/tools/jaspergold/jaspergold.hjson index 1c65f635c4181..b497ff909c7fa 100644 --- a/hw/formal/tools/jaspergold/jaspergold.hjson +++ b/hw/formal/tools/jaspergold/jaspergold.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/formal/tools/jaspergold/jaspergold_common_message_process.tcl b/hw/formal/tools/jaspergold/jaspergold_common_message_process.tcl index d49f1906758f8..23fac11be560d 100644 --- a/hw/formal/tools/jaspergold/jaspergold_common_message_process.tcl +++ b/hw/formal/tools/jaspergold/jaspergold_common_message_process.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/jaspergold/parse-formal-report.py b/hw/formal/tools/jaspergold/parse-formal-report.py index 286880611bf55..610d3a888d222 100644 --- a/hw/formal/tools/jaspergold/parse-formal-report.py +++ b/hw/formal/tools/jaspergold/parse-formal-report.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/vcformal/fpv.tcl b/hw/formal/tools/vcformal/fpv.tcl index bd738d1b08b81..145cb1e8ee4ae 100644 --- a/hw/formal/tools/vcformal/fpv.tcl +++ b/hw/formal/tools/vcformal/fpv.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/vcformal/parse-formal-report.py b/hw/formal/tools/vcformal/parse-formal-report.py index 9988381857d30..f892520f51ee6 100644 --- a/hw/formal/tools/vcformal/parse-formal-report.py +++ b/hw/formal/tools/vcformal/parse-formal-report.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/vcformal/vcf_dvsim_report.tcl b/hw/formal/tools/vcformal/vcf_dvsim_report.tcl index ed1c4b5cc9522..31ccfeed32def 100644 --- a/hw/formal/tools/vcformal/vcf_dvsim_report.tcl +++ b/hw/formal/tools/vcformal/vcf_dvsim_report.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/vcformal/vcf_message_config.tcl b/hw/formal/tools/vcformal/vcf_message_config.tcl index cba9b9ec4177d..741e85d780fd6 100644 --- a/hw/formal/tools/vcformal/vcf_message_config.tcl +++ b/hw/formal/tools/vcformal/vcf_message_config.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/formal/tools/vcformal/vcformal.hjson b/hw/formal/tools/vcformal/vcformal.hjson index 6863f1bc884bf..bbb7dfc9a9b70 100644 --- a/hw/formal/tools/vcformal/vcformal.hjson +++ b/hw/formal/tools/vcformal/vcformal.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/BUILD b/hw/ip/BUILD index 6ffcc561886f0..b4d2764b5270a 100644 --- a/hw/ip/BUILD +++ b/hw/ip/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/BUILD b/hw/ip/adc_ctrl/BUILD index 44fd22c6a59ee..6fe1f5f1cc83d 100644 --- a/hw/ip/adc_ctrl/BUILD +++ b/hw/ip/adc_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/adc_ctrl.core b/hw/ip/adc_ctrl/adc_ctrl.core index 254dc6b93fb43..a75aed73b7814 100644 --- a/hw/ip/adc_ctrl/adc_ctrl.core +++ b/hw/ip/adc_ctrl/adc_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:adc_ctrl:1.0" diff --git a/hw/ip/adc_ctrl/data/BUILD b/hw/ip/adc_ctrl/data/BUILD index f29ef40e26188..b21be94f1192d 100644 --- a/hw/ip/adc_ctrl/data/BUILD +++ b/hw/ip/adc_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/data/adc_ctrl.hjson b/hw/ip/adc_ctrl/data/adc_ctrl.hjson index 19972977050ac..d0280cae173b2 100644 --- a/hw/ip/adc_ctrl/data/adc_ctrl.hjson +++ b/hw/ip/adc_ctrl/data/adc_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { name: "adc_ctrl", diff --git a/hw/ip/adc_ctrl/data/adc_ctrl_sec_cm_testplan.hjson b/hw/ip/adc_ctrl/data/adc_ctrl_sec_cm_testplan.hjson index b5fbd8eca0bbd..4963c0d4c05d1 100644 --- a/hw/ip/adc_ctrl/data/adc_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/adc_ctrl/data/adc_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/data/adc_ctrl_testplan.hjson b/hw/ip/adc_ctrl/data/adc_ctrl_testplan.hjson index abb0fbdf6f3b4..404124c0c7348 100644 --- a/hw/ip/adc_ctrl/data/adc_ctrl_testplan.hjson +++ b/hw/ip/adc_ctrl/data/adc_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/adc_ctrl/dv/adc_ctrl_sim.core b/hw/ip/adc_ctrl/dv/adc_ctrl_sim.core index 2bff2d66dc494..ead0c01bbe801 100644 --- a/hw/ip/adc_ctrl/dv/adc_ctrl_sim.core +++ b/hw/ip/adc_ctrl/dv/adc_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:adc_ctrl_sim:0.1" diff --git a/hw/ip/adc_ctrl/dv/adc_ctrl_sim_cfg.hjson b/hw/ip/adc_ctrl/dv/adc_ctrl_sim_cfg.hjson index 004616160ce54..5a43a49a3c88b 100644 --- a/hw/ip/adc_ctrl/dv/adc_ctrl_sim_cfg.hjson +++ b/hw/ip/adc_ctrl/dv/adc_ctrl_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_core_cov_if.sv b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_core_cov_if.sv index 5a6f046916d0f..b4c11a31206ce 100644 --- a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_core_cov_if.sv +++ b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_core_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov.core b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov.core index 92967dac76fde..583dfaec303ac 100644 --- a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov.core +++ b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:adc_ctrl_cov" diff --git a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_bind.sv b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_bind.sv index 04511c8a12228..ec9e94022eee9 100644 --- a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_bind.sv +++ b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_unr_excl.el b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_unr_excl.el index fd3781f086c44..c2fd90fd3c752 100644 --- a/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_unr_excl.el +++ b/hw/ip/adc_ctrl/dv/cov/adc_ctrl_cov_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.core b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.core index 8b313b8ee5216..d0ffea07751d0 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.core +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:adc_ctrl_env:0.1" diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.sv index 618e821ad8c0f..aaa9fe7068972 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cfg.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cfg.sv index f58caa80fe5ff..dd798a8750600 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cfg.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cov.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cov.sv index f3baa5aa63af1..d731cc3b5ea79 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cov.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_pkg.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_pkg.sv index f7819b886eeb4..43f112af4526f 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_pkg.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_var_filter_cfg.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_var_filter_cfg.sv index 0e84feb5464f8..810c582364c6c 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_var_filter_cfg.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_env_var_filter_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Environment config extended for variable filters tests diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_scoreboard.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_scoreboard.sv index 8b6b0e5298943..a251bb215caff 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_scoreboard.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/adc_ctrl_virtual_sequencer.sv b/hw/ip/adc_ctrl/dv/env/adc_ctrl_virtual_sequencer.sv index 07760ff557728..6dd18d6132c0b 100644 --- a/hw/ip/adc_ctrl/dv/env/adc_ctrl_virtual_sequencer.sv +++ b/hw/ip/adc_ctrl/dv/env/adc_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_base_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_base_vseq.sv index 533a70bcfa6ec..4a0d2db0e89f2 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_base_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_clock_gating_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_clock_gating_vseq.sv index 53053a6cb49ae..a8c3747b758c3 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_clock_gating_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_clock_gating_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_common_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_common_vseq.sv index 0f8c2e24d2ecd..de21f3681cc94 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_common_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_counter_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_counter_vseq.sv index f945b7bb07617..1022fa4192795 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_counter_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_counter_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Check power on/wakeup counters using the assertions in the testbench diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_both_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_both_vseq.sv index c2bd6ec337603..16481f0359d9e 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_both_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_both_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Filters interrupt & wakeup together test sequence diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_interrupt_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_interrupt_vseq.sv index 8de85b7a1bbe3..df61db2c46adf 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_interrupt_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_interrupt_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_polled_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_polled_vseq.sv index fe7f67ca73de3..9b6cbf7a5c091 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_polled_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_polled_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_wakeup_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_wakeup_vseq.sv index ccdf834658732..8473a61cb1427 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_wakeup_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_filters_wakeup_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_fsm_reset_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_fsm_reset_vseq.sv index 3c473e3dd32ae..6f8c6201e7357 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_fsm_reset_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_fsm_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Test hardware and software reset diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_lowpower_counter_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_lowpower_counter_vseq.sv index 7a6bc9186d2ef..9f3d1a3a70909 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_lowpower_counter_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_lowpower_counter_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Check power on/wakeup counters using the assertions in the testbench diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_poweron_counter_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_poweron_counter_vseq.sv index 64c9d2bc093b4..5edb7ad200215 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_poweron_counter_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_poweron_counter_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Check power on/wakeup counters using the assertions in the testbench diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_random_ramp_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_random_ramp_vseq.sv index acebaa4b94cf1..4ad5f878feaeb 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_random_ramp_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_random_ramp_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_smoke_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_smoke_vseq.sv index 72a633c5317a5..cd6a6ea3b1e0c 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_smoke_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Smoke Test Vseq diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_stress_all_vseq.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_stress_all_vseq.sv index 9103cffff48a8..ff7a8e0f8bd8e 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_stress_all_vseq.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_vseq_list.sv b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_vseq_list.sv index 43e5c56b0fcf6..8e66c657cd9c7 100644 --- a/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_vseq_list.sv +++ b/hw/ip/adc_ctrl/dv/env/seq_lib/adc_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_bind.sv b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_bind.sv index 7394ce7be2796..297b7c0146922 100644 --- a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_bind.sv +++ b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_fsm_sva.sv b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_fsm_sva.sv index aa3a6a7b8d7e5..3a1d203550d84 100644 --- a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_fsm_sva.sv +++ b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_fsm_sva.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // System verilog assertions for the adc_ctrl_fsm module diff --git a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_sva.core b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_sva.core index 6a694db02c1c0..0fa7ee5f7975e 100644 --- a/hw/ip/adc_ctrl/dv/sva/adc_ctrl_sva.core +++ b/hw/ip/adc_ctrl/dv/sva/adc_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:adc_ctrl_sva:0.1" diff --git a/hw/ip/adc_ctrl/dv/tb.sv b/hw/ip/adc_ctrl/dv/tb.sv index bfe87232f3457..892363b99857b 100644 --- a/hw/ip/adc_ctrl/dv/tb.sv +++ b/hw/ip/adc_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_base_test.sv b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_base_test.sv index 76ecfaeb192f9..9eac9a2cb0662 100644 --- a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_base_test.sv +++ b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test.core b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test.core index ec5b2724bee00..33964ef912f44 100644 --- a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test.core +++ b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:adc_ctrl_test:0.1" diff --git a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test_pkg.sv b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test_pkg.sv index 35fcf842a163d..c586ea1f2e0c6 100644 --- a/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test_pkg.sv +++ b/hw/ip/adc_ctrl/dv/tests/adc_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl.sv index 5d40f97569b8c..d8dddbe76cf9a 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_core.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_core.sv index db1a108b9a2aa..826f868ee98e4 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_core.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_fsm.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_fsm.sv index 4d44ccf3ec787..022ff2d7ef6b6 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_fsm.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_intr.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_intr.sv index 2d4805df1ccfc..b0b2253afb32c 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_intr.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_intr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_pkg.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_pkg.sv index bdb3e52af94d9..79c87e381fd71 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_pkg.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_pkg.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_pkg.sv index 357c301051e14..6b3788fff1c1a 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_pkg.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_top.sv b/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_top.sv index e35fd77ddac6e..29724c26f650a 100644 --- a/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_top.sv +++ b/hw/ip/adc_ctrl/rtl/adc_ctrl_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/BUILD b/hw/ip/aes/BUILD index 561263774367f..fb20055514f91 100644 --- a/hw/ip/aes/BUILD +++ b/hw/ip/aes/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/aes.core b/hw/ip/aes/aes.core index c020939871942..5c19412af405f 100644 --- a/hw/ip/aes/aes.core +++ b/hw/ip/aes/aes.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:aes:1.0" diff --git a/hw/ip/aes/aes_wrap.core b/hw/ip/aes/aes_wrap.core index bb9f9e599b796..70e1e807526d5 100644 --- a/hw/ip/aes/aes_wrap.core +++ b/hw/ip/aes/aes_wrap.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:aes_wrap:1.0" diff --git a/hw/ip/aes/data/BUILD b/hw/ip/aes/data/BUILD index 3e3b1c20dc629..33ec739b6fc52 100644 --- a/hw/ip/aes/data/BUILD +++ b/hw/ip/aes/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/data/aes.hjson b/hw/ip/aes/data/aes.hjson index 37545452ccb0b..9f9cdcadbb8bb 100644 --- a/hw/ip/aes/data/aes.hjson +++ b/hw/ip/aes/data/aes.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/data/aes_sec_cm_testplan.hjson b/hw/ip/aes/data/aes_sec_cm_testplan.hjson index c3ce5d983e529..4d52184ec6b9e 100644 --- a/hw/ip/aes/data/aes_sec_cm_testplan.hjson +++ b/hw/ip/aes/data/aes_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/data/aes_testplan.hjson b/hw/ip/aes/data/aes_testplan.hjson index 3bffaf6ea8c7a..bea92972e185d 100644 --- a/hw/ip/aes/data/aes_testplan.hjson +++ b/hw/ip/aes/data/aes_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/dv/aes_base_sim_cfg.hjson b/hw/ip/aes/dv/aes_base_sim_cfg.hjson index 05a84a380490f..6840f15318803 100644 --- a/hw/ip/aes/dv/aes_base_sim_cfg.hjson +++ b/hw/ip/aes/dv/aes_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/dv/aes_masked_sim_cfg.hjson b/hw/ip/aes/dv/aes_masked_sim_cfg.hjson index aef0cfd851ccc..4b2c8add175d9 100644 --- a/hw/ip/aes/dv/aes_masked_sim_cfg.hjson +++ b/hw/ip/aes/dv/aes_masked_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.c b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.c index e3e5317065e16..06266e1472213 100644 --- a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.c +++ b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.core b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.core index 874b6cd29bf11..2bcefce6b91ed 100644 --- a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.core +++ b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_model_dpi" diff --git a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.h b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.h index 9f354c34ff8ee..13a4e35b362b0 100644 --- a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.h +++ b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi_pkg.sv b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi_pkg.sv index a59c4c34444a9..e084ec042375d 100644 --- a/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi_pkg.sv +++ b/hw/ip/aes/dv/aes_model_dpi/aes_model_dpi_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/aes_sim.core b/hw/ip/aes/dv/aes_sim.core index f3efa9b960dc5..e7d74e76c24ff 100644 --- a/hw/ip/aes/dv/aes_sim.core +++ b/hw/ip/aes/dv/aes_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_sim:0.1" diff --git a/hw/ip/aes/dv/aes_unmasked_sim_cfg.hjson b/hw/ip/aes/dv/aes_unmasked_sim_cfg.hjson index fe9225f189100..5d0d7d1b92aab 100644 --- a/hw/ip/aes/dv/aes_unmasked_sim_cfg.hjson +++ b/hw/ip/aes/dv/aes_unmasked_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/cov/aes_cov.core b/hw/ip/aes/dv/cov/aes_cov.core index 2276ce217d376..c707f6cbbf919 100644 --- a/hw/ip/aes/dv/cov/aes_cov.core +++ b/hw/ip/aes/dv/cov/aes_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_cov" diff --git a/hw/ip/aes/dv/cov/aes_cov_bind.sv b/hw/ip/aes/dv/cov/aes_cov_bind.sv index 55ba2ec4abec6..82541f6c63ba7 100644 --- a/hw/ip/aes/dv/cov/aes_cov_bind.sv +++ b/hw/ip/aes/dv/cov/aes_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/dv/cov/aes_cov_if.sv b/hw/ip/aes/dv/cov/aes_cov_if.sv index 32688cf300d9e..fbb8f015fb6b4 100644 --- a/hw/ip/aes/dv/cov/aes_cov_if.sv +++ b/hw/ip/aes/dv/cov/aes_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/dv/cov/refines/aes_UNR.vRefine b/hw/ip/aes/dv/cov/refines/aes_UNR.vRefine index bb2c67201a6df..f26ea06341129 100644 --- a/hw/ip/aes/dv/cov/refines/aes_UNR.vRefine +++ b/hw/ip/aes/dv/cov/refines/aes_UNR.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/aes/dv/cov/refines/aes_unmasked_UNR.vRefine b/hw/ip/aes/dv/cov/refines/aes_unmasked_UNR.vRefine index 14b09c2a2fd2b..5fb15b4e5e784 100644 --- a/hw/ip/aes/dv/cov/refines/aes_unmasked_UNR.vRefine +++ b/hw/ip/aes/dv/cov/refines/aes_unmasked_UNR.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/aes/dv/env/aes_env.core b/hw/ip/aes/dv/env/aes_env.core index b0eb72e457f27..d3c9c2af8b85a 100644 --- a/hw/ip/aes/dv/env/aes_env.core +++ b/hw/ip/aes/dv/env/aes_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_env:0.1" diff --git a/hw/ip/aes/dv/env/aes_env.sv b/hw/ip/aes/dv/env/aes_env.sv index 41c3165497100..bf8bf271015b1 100644 --- a/hw/ip/aes/dv/env/aes_env.sv +++ b/hw/ip/aes/dv/env/aes_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_env_cfg.sv b/hw/ip/aes/dv/env/aes_env_cfg.sv index ef78a194223b4..e642621cc5fa1 100644 --- a/hw/ip/aes/dv/env/aes_env_cfg.sv +++ b/hw/ip/aes/dv/env/aes_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_env_cov.sv b/hw/ip/aes/dv/env/aes_env_cov.sv index a0c4c4576aa6b..ff0f6e9b02b6d 100644 --- a/hw/ip/aes/dv/env/aes_env_cov.sv +++ b/hw/ip/aes/dv/env/aes_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_env_pkg.sv b/hw/ip/aes/dv/env/aes_env_pkg.sv index 33f6c5d0701e9..057362cdb9b62 100644 --- a/hw/ip/aes/dv/env/aes_env_pkg.sv +++ b/hw/ip/aes/dv/env/aes_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_message_item.sv b/hw/ip/aes/dv/env/aes_message_item.sv index ffd0f7807631a..857fc495c9282 100644 --- a/hw/ip/aes/dv/env/aes_message_item.sv +++ b/hw/ip/aes/dv/env/aes_message_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_scoreboard.sv b/hw/ip/aes/dv/env/aes_scoreboard.sv index 7253bb7d41290..81b21eb9974f6 100644 --- a/hw/ip/aes/dv/env/aes_scoreboard.sv +++ b/hw/ip/aes/dv/env/aes_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_seq_item.sv b/hw/ip/aes/dv/env/aes_seq_item.sv index 8f4aa03d3c0ce..0c16b88394c80 100644 --- a/hw/ip/aes/dv/env/aes_seq_item.sv +++ b/hw/ip/aes/dv/env/aes_seq_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/aes_virtual_sequencer.sv b/hw/ip/aes/dv/env/aes_virtual_sequencer.sv index 18e14bd2e1bba..671890b5db32e 100644 --- a/hw/ip/aes/dv/env/aes_virtual_sequencer.sv +++ b/hw/ip/aes/dv/env/aes_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_alert_reset_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_alert_reset_vseq.sv index c6ba46cb49ecd..bc685e9017805 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_alert_reset_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_alert_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv index 91215c19b5bf4..4abc6f94fc7ad 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_cipher_fi_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_cipher_fi_vseq.sv index 0f6d7eb2506f7..d0008470ab3d0 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_cipher_fi_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_cipher_fi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_common_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_common_vseq.sv index d4ffcd014c001..8ffbc1a911576 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_common_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_control_fi_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_control_fi_vseq.sv index 726d9f6cfe4b0..10795a62beccc 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_control_fi_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_control_fi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_core_fi_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_core_fi_vseq.sv index 70cd9cd27cdf4..ac08c30f291ae 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_core_fi_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_core_fi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_ctr_fi_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_ctr_fi_vseq.sv index e9dbc335505df..0316120a51db8 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_ctr_fi_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_ctr_fi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_deinit_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_deinit_vseq.sv index 0d03b86eff41a..22e01bff9e585 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_deinit_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_deinit_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_fi_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_fi_vseq.sv index 96f2f04c4a2ba..6bb71e1118b63 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_fi_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_fi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_manual_config_err_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_manual_config_err_vseq.sv index f5f31712dcbfe..90162ff1da9c0 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_manual_config_err_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_manual_config_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_nist_vectors_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_nist_vectors_vseq.sv index c79654f705c26..a8e117dd0e5ec 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_nist_vectors_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_nist_vectors_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_readability_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_readability_vseq.sv index 5e07d64ede021..f5deb9ea948a3 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_readability_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_readability_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_reseed_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_reseed_vseq.sv index 2634c5338c416..812fb4ad6bb2a 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_reseed_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_reseed_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_stress_all_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_stress_all_vseq.sv index 94123607ec249..4cdf1274430f8 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_stress_all_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_stress_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_stress_vseq.sv index 4e346f6cf5043..e6ab2fa93cebe 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_stress_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_stress_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_vseq_list.sv b/hw/ip/aes/dv/env/seq_lib/aes_vseq_list.sv index 5941cc934d7e8..0247ec7262a0d 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_vseq_list.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/env/seq_lib/aes_wake_up_vseq.sv b/hw/ip/aes/dv/env/seq_lib/aes_wake_up_vseq.sv index 02806b7546155..d98b1e98576e8 100644 --- a/hw/ip/aes/dv/env/seq_lib/aes_wake_up_vseq.sv +++ b/hw/ip/aes/dv/env/seq_lib/aes_wake_up_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/aes_err_injection.core b/hw/ip/aes/dv/err_injection_if/aes_err_injection.core index 89a6feb03c71a..93a037ae6ca95 100644 --- a/hw/ip/aes/dv/err_injection_if/aes_err_injection.core +++ b/hw/ip/aes/dv/err_injection_if/aes_err_injection.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_err_injection:0.1" diff --git a/hw/ip/aes/dv/err_injection_if/aes_err_injection_bind.sv b/hw/ip/aes/dv/err_injection_if/aes_err_injection_bind.sv index 8c4238a794d65..1701f1e6c8b45 100644 --- a/hw/ip/aes/dv/err_injection_if/aes_err_injection_bind.sv +++ b/hw/ip/aes/dv/err_injection_if/aes_err_injection_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 module aes_err_injection_bind; diff --git a/hw/ip/aes/dv/err_injection_if/fi_cipher_fsm_wrapper.sv b/hw/ip/aes/dv/err_injection_if/fi_cipher_fsm_wrapper.sv index 2689dd042289a..cfe0a7cd6eda4 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_cipher_fsm_wrapper.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_cipher_fsm_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_cipher_if.sv b/hw/ip/aes/dv/err_injection_if/fi_cipher_if.sv index ce010b0f6d78b..f9022656e629e 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_cipher_if.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_cipher_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_control_fsm_wrapper.sv b/hw/ip/aes/dv/err_injection_if/fi_control_fsm_wrapper.sv index 5f867f0a281d1..bd04bfb045635 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_control_fsm_wrapper.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_control_fsm_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_control_if.sv b/hw/ip/aes/dv/err_injection_if/fi_control_if.sv index 8b5a3bb23744a..2ff6a48fb2b70 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_control_if.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_control_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_core_if.sv b/hw/ip/aes/dv/err_injection_if/fi_core_if.sv index 4c28676751031..e52868e3eaf38 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_core_if.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_core_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_core_wrapper.sv b/hw/ip/aes/dv/err_injection_if/fi_core_wrapper.sv index a4701f8621961..278df87a47ae1 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_core_wrapper.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_core_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_if.sv b/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_if.sv index 6ff8a5436f89f..d2ad032ab2624 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_if.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_wrapper.sv b/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_wrapper.sv index f57652623dfb0..35bae6e439369 100644 --- a/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_wrapper.sv +++ b/hw/ip/aes/dv/err_injection_if/fi_ctr_fsm_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/force_if.sv b/hw/ip/aes/dv/err_injection_if/force_if.sv index 48d759a1d194f..81a928600c660 100644 --- a/hw/ip/aes/dv/err_injection_if/force_if.sv +++ b/hw/ip/aes/dv/err_injection_if/force_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/err_injection_if/signal_force.sv b/hw/ip/aes/dv/err_injection_if/signal_force.sv index cb539991ffbab..af4792f3c5057 100644 --- a/hw/ip/aes/dv/err_injection_if/signal_force.sv +++ b/hw/ip/aes/dv/err_injection_if/signal_force.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/sva/aes_bind.sv b/hw/ip/aes/dv/sva/aes_bind.sv index 6c3d1c0999bed..3358d6b7bb958 100644 --- a/hw/ip/aes/dv/sva/aes_bind.sv +++ b/hw/ip/aes/dv/sva/aes_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/sva/aes_idle_check.sv b/hw/ip/aes/dv/sva/aes_idle_check.sv index f06560448522c..3b63a0f7e41db 100644 --- a/hw/ip/aes/dv/sva/aes_idle_check.sv +++ b/hw/ip/aes/dv/sva/aes_idle_check.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/sva/aes_masking_reseed_if.sv b/hw/ip/aes/dv/sva/aes_masking_reseed_if.sv index 684d05ee69702..0de996436ede3 100644 --- a/hw/ip/aes/dv/sva/aes_masking_reseed_if.sv +++ b/hw/ip/aes/dv/sva/aes_masking_reseed_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/sva/aes_reseed_if.sv b/hw/ip/aes/dv/sva/aes_reseed_if.sv index afdd613df4740..5731e6b696ed9 100644 --- a/hw/ip/aes/dv/sva/aes_reseed_if.sv +++ b/hw/ip/aes/dv/sva/aes_reseed_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/sva/aes_sva.core b/hw/ip/aes/dv/sva/aes_sva.core index 1df8cbf730a4e..4bfa55f464c7b 100644 --- a/hw/ip/aes/dv/sva/aes_sva.core +++ b/hw/ip/aes/dv/sva/aes_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_sva:0.1" diff --git a/hw/ip/aes/dv/tb/tb.sv b/hw/ip/aes/dv/tb/tb.sv index 93ec94bf5a036..b0665113399b9 100644 --- a/hw/ip/aes/dv/tb/tb.sv +++ b/hw/ip/aes/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/dv/test_vectors/aes_nist_vectors.core b/hw/ip/aes/dv/test_vectors/aes_nist_vectors.core index 3d55d05d0130e..fcf1c3e9043b6 100644 --- a/hw/ip/aes/dv/test_vectors/aes_nist_vectors.core +++ b/hw/ip/aes/dv/test_vectors/aes_nist_vectors.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_test_vectors" diff --git a/hw/ip/aes/dv/test_vectors/nist_vectors_pkg.sv b/hw/ip/aes/dv/test_vectors/nist_vectors_pkg.sv index 550a11ace56a6..11a3c866b3c72 100644 --- a/hw/ip/aes/dv/test_vectors/nist_vectors_pkg.sv +++ b/hw/ip/aes/dv/test_vectors/nist_vectors_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_alert_reset_test.sv b/hw/ip/aes/dv/tests/aes_alert_reset_test.sv index be3ddb6cca50f..dd4269dc581cd 100644 --- a/hw/ip/aes/dv/tests/aes_alert_reset_test.sv +++ b/hw/ip/aes/dv/tests/aes_alert_reset_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_b2b_test.sv b/hw/ip/aes/dv/tests/aes_b2b_test.sv index 546d03befc965..bbc62e35a5332 100644 --- a/hw/ip/aes/dv/tests/aes_b2b_test.sv +++ b/hw/ip/aes/dv/tests/aes_b2b_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_base_test.sv b/hw/ip/aes/dv/tests/aes_base_test.sv index d7f10a5f85087..387c1046816db 100644 --- a/hw/ip/aes/dv/tests/aes_base_test.sv +++ b/hw/ip/aes/dv/tests/aes_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_clear_test.sv b/hw/ip/aes/dv/tests/aes_clear_test.sv index 3e59494e1288d..75089dccad2f7 100644 --- a/hw/ip/aes/dv/tests/aes_clear_test.sv +++ b/hw/ip/aes/dv/tests/aes_clear_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_config_error_test.sv b/hw/ip/aes/dv/tests/aes_config_error_test.sv index 0735a53398c79..736c173bea7ae 100644 --- a/hw/ip/aes/dv/tests/aes_config_error_test.sv +++ b/hw/ip/aes/dv/tests/aes_config_error_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_deinit_test.sv b/hw/ip/aes/dv/tests/aes_deinit_test.sv index 3fb63ba197747..930f180ecea98 100644 --- a/hw/ip/aes/dv/tests/aes_deinit_test.sv +++ b/hw/ip/aes/dv/tests/aes_deinit_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_fi_test.sv b/hw/ip/aes/dv/tests/aes_fi_test.sv index 460cbd39cd94c..ba710aaf29aed 100644 --- a/hw/ip/aes/dv/tests/aes_fi_test.sv +++ b/hw/ip/aes/dv/tests/aes_fi_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_manual_config_err_test.sv b/hw/ip/aes/dv/tests/aes_manual_config_err_test.sv index de379bbaaa021..48cbbc032758a 100644 --- a/hw/ip/aes/dv/tests/aes_manual_config_err_test.sv +++ b/hw/ip/aes/dv/tests/aes_manual_config_err_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_reseed_test.sv b/hw/ip/aes/dv/tests/aes_reseed_test.sv index 9e6bd4ced225c..ad62bf7ce041a 100644 --- a/hw/ip/aes/dv/tests/aes_reseed_test.sv +++ b/hw/ip/aes/dv/tests/aes_reseed_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_sideload_test.sv b/hw/ip/aes/dv/tests/aes_sideload_test.sv index d136881017ad1..cf709f983f75e 100644 --- a/hw/ip/aes/dv/tests/aes_sideload_test.sv +++ b/hw/ip/aes/dv/tests/aes_sideload_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_smoke_test.sv b/hw/ip/aes/dv/tests/aes_smoke_test.sv index 85c807081da5e..f2a2ce7983c86 100644 --- a/hw/ip/aes/dv/tests/aes_smoke_test.sv +++ b/hw/ip/aes/dv/tests/aes_smoke_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_stress_test.sv b/hw/ip/aes/dv/tests/aes_stress_test.sv index 40701837c882c..62aefd2b5cdc2 100644 --- a/hw/ip/aes/dv/tests/aes_stress_test.sv +++ b/hw/ip/aes/dv/tests/aes_stress_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_test.core b/hw/ip/aes/dv/tests/aes_test.core index bb0fb168a72a7..9d39cb1a87d43 100644 --- a/hw/ip/aes/dv/tests/aes_test.core +++ b/hw/ip/aes/dv/tests/aes_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aes_test:0.1" diff --git a/hw/ip/aes/dv/tests/aes_test_pkg.sv b/hw/ip/aes/dv/tests/aes_test_pkg.sv index 381427597b66b..0ad65673f0cfb 100644 --- a/hw/ip/aes/dv/tests/aes_test_pkg.sv +++ b/hw/ip/aes/dv/tests/aes_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/dv/tests/aes_wake_up_test.sv b/hw/ip/aes/dv/tests/aes_wake_up_test.sv index 72eeee3a90223..82c598d9dc183 100644 --- a/hw/ip/aes/dv/tests/aes_wake_up_test.sv +++ b/hw/ip/aes/dv/tests/aes_wake_up_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/lint/aes.vlt b/hw/ip/aes/lint/aes.vlt index fb7b7c0539694..f0335c9784c78 100644 --- a/hw/ip/aes/lint/aes.vlt +++ b/hw/ip/aes/lint/aes.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/lint/aes.waiver b/hw/ip/aes/lint/aes.waiver index 52820b5df8ba6..fe9c5072dc2e2 100644 --- a/hw/ip/aes/lint/aes.waiver +++ b/hw/ip/aes/lint/aes.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/aes/model/Makefile b/hw/ip/aes/model/Makefile index 705e928d45a25..1f0787ea32157 100644 --- a/hw/ip/aes/model/Makefile +++ b/hw/ip/aes/model/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes.c b/hw/ip/aes/model/aes.c index b9a08fee21df9..c06da4fc89f5f 100644 --- a/hw/ip/aes/model/aes.c +++ b/hw/ip/aes/model/aes.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes.h b/hw/ip/aes/model/aes.h index bf4ff3d3071ad..5f87b28776915 100644 --- a/hw/ip/aes/model/aes.h +++ b/hw/ip/aes/model/aes.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes_example.c b/hw/ip/aes/model/aes_example.c index 1e2c713c507c2..2342d616ab75b 100644 --- a/hw/ip/aes/model/aes_example.c +++ b/hw/ip/aes/model/aes_example.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes_example.h b/hw/ip/aes/model/aes_example.h index a4d60b09df8e8..402cb1014ca6e 100644 --- a/hw/ip/aes/model/aes_example.h +++ b/hw/ip/aes/model/aes_example.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes_model.core b/hw/ip/aes/model/aes_model.core index b2e67247fa1db..d72419ef6fd69 100644 --- a/hw/ip/aes/model/aes_model.core +++ b/hw/ip/aes/model/aes_model.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:model:aes:1.0" diff --git a/hw/ip/aes/model/aes_model_sim_opts.hjson b/hw/ip/aes/model/aes_model_sim_opts.hjson index f68931a051f44..753ce55fc1d56 100644 --- a/hw/ip/aes/model/aes_model_sim_opts.hjson +++ b/hw/ip/aes/model/aes_model_sim_opts.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/model/aes_modes.c b/hw/ip/aes/model/aes_modes.c index 223bd911bfc24..726cafdf7e066 100644 --- a/hw/ip/aes/model/aes_modes.c +++ b/hw/ip/aes/model/aes_modes.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/aes_modes.core b/hw/ip/aes/model/aes_modes.core index 738453e5bc192..e5a8b71c0e0e4 100644 --- a/hw/ip/aes/model/aes_modes.core +++ b/hw/ip/aes/model/aes_modes.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:model:aes_modes:0.6" diff --git a/hw/ip/aes/model/aes_modes.h b/hw/ip/aes/model/aes_modes.h index 8bba6215fa3e3..db9f6d34fe6da 100644 --- a/hw/ip/aes/model/aes_modes.h +++ b/hw/ip/aes/model/aes_modes.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/crypto.c b/hw/ip/aes/model/crypto.c index 984c7af1aea56..9db12b056fa80 100644 --- a/hw/ip/aes/model/crypto.c +++ b/hw/ip/aes/model/crypto.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/model/crypto.h b/hw/ip/aes/model/crypto.h index d1c030a52c361..5fd4310c7ba46 100644 --- a/hw/ip/aes/model/crypto.h +++ b/hw/ip/aes/model/crypto.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_dv/aes_cipher_core_tb/aes_cipher_core_tb.core b/hw/ip/aes/pre_dv/aes_cipher_core_tb/aes_cipher_core_tb.core index b5cc343c7470e..f72890d9c2058 100644 --- a/hw/ip/aes/pre_dv/aes_cipher_core_tb/aes_cipher_core_tb.core +++ b/hw/ip/aes/pre_dv/aes_cipher_core_tb/aes_cipher_core_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:aes_cipher_core_tb" diff --git a/hw/ip/aes/pre_dv/aes_cipher_core_tb/cpp/aes_cipher_core_tb.cc b/hw/ip/aes/pre_dv/aes_cipher_core_tb/cpp/aes_cipher_core_tb.cc index 2dce5e130f145..83cd1affb117d 100644 --- a/hw/ip/aes/pre_dv/aes_cipher_core_tb/cpp/aes_cipher_core_tb.cc +++ b/hw/ip/aes/pre_dv/aes_cipher_core_tb/cpp/aes_cipher_core_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_dv/aes_cipher_core_tb/rtl/aes_cipher_core_tb.sv b/hw/ip/aes/pre_dv/aes_cipher_core_tb/rtl/aes_cipher_core_tb.sv index e6c908d6ee8ad..236f74d83b8b7 100644 --- a/hw/ip/aes/pre_dv/aes_cipher_core_tb/rtl/aes_cipher_core_tb.sv +++ b/hw/ip/aes/pre_dv/aes_cipher_core_tb/rtl/aes_cipher_core_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.py b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.py index a4015e1de624a..f1281cee02777 100755 --- a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.py +++ b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""Command-line tool to perform LEC on all AES S-Box implementations using Yosys diff --git a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.ys b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.ys index 8645a567da7ce..6668bd318e61b 100644 --- a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.ys +++ b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_lec.ys @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_masked_wrapper.sv b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_masked_wrapper.sv index e50a94473ad58..69ec2802b842a 100644 --- a/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_masked_wrapper.sv +++ b/hw/ip/aes/pre_dv/aes_sbox_lec/aes_sbox_masked_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/pre_dv/aes_sbox_tb/aes_sbox_tb.core b/hw/ip/aes/pre_dv/aes_sbox_tb/aes_sbox_tb.core index cd2f69db3e31a..3ef9b2b858854 100644 --- a/hw/ip/aes/pre_dv/aes_sbox_tb/aes_sbox_tb.core +++ b/hw/ip/aes/pre_dv/aes_sbox_tb/aes_sbox_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:aes_sbox_tb" diff --git a/hw/ip/aes/pre_dv/aes_sbox_tb/cpp/aes_sbox_tb.cc b/hw/ip/aes/pre_dv/aes_sbox_tb/cpp/aes_sbox_tb.cc index 26c039c4f14b6..3da78b9b0017a 100644 --- a/hw/ip/aes/pre_dv/aes_sbox_tb/cpp/aes_sbox_tb.cc +++ b/hw/ip/aes/pre_dv/aes_sbox_tb/cpp/aes_sbox_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_dv/aes_sbox_tb/rtl/aes_sbox_tb.sv b/hw/ip/aes/pre_dv/aes_sbox_tb/rtl/aes_sbox_tb.sv index ae8cba32f5114..78463ecb9db10 100644 --- a/hw/ip/aes/pre_dv/aes_sbox_tb/rtl/aes_sbox_tb.sv +++ b/hw/ip/aes/pre_dv/aes_sbox_tb/rtl/aes_sbox_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/pre_dv/aes_wrap_tb/aes_wrap_tb.core b/hw/ip/aes/pre_dv/aes_wrap_tb/aes_wrap_tb.core index 9994a1872bc00..593344d5ea77b 100644 --- a/hw/ip/aes/pre_dv/aes_wrap_tb/aes_wrap_tb.core +++ b/hw/ip/aes/pre_dv/aes_wrap_tb/aes_wrap_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:aes_wrap_tb" diff --git a/hw/ip/aes/pre_dv/aes_wrap_tb/cpp/aes_wrap_tb.cc b/hw/ip/aes/pre_dv/aes_wrap_tb/cpp/aes_wrap_tb.cc index de35d571e6cda..c23e2a488c99e 100644 --- a/hw/ip/aes/pre_dv/aes_wrap_tb/cpp/aes_wrap_tb.cc +++ b/hw/ip/aes/pre_dv/aes_wrap_tb/cpp/aes_wrap_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_dv/aes_wrap_tb/rtl/aes_wrap_tb.sv b/hw/ip/aes/pre_dv/aes_wrap_tb/rtl/aes_wrap_tb.sv index 07b57e9a3efdf..964198a521f06 100644 --- a/hw/ip/aes/pre_dv/aes_wrap_tb/rtl/aes_wrap_tb.sv +++ b/hw/ip/aes/pre_dv/aes_wrap_tb/rtl/aes_wrap_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/pre_sca/alma/cpp/testbench.h b/hw/ip/aes/pre_sca/alma/cpp/testbench.h index a002d59c8333c..cf9c57c09fd50 100644 --- a/hw/ip/aes/pre_sca/alma/cpp/testbench.h +++ b/hw/ip/aes/pre_sca/alma/cpp/testbench.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Copyright IAIK. // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sbox.cpp b/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sbox.cpp index 8eb781d48bfa9..a9392f06e33cb 100644 --- a/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sbox.cpp +++ b/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sbox.cpp @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Copyright IAIK. // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sub_bytes.cpp b/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sub_bytes.cpp index 97cbf3f6d3880..86dcd86508212 100644 --- a/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sub_bytes.cpp +++ b/hw/ip/aes/pre_sca/alma/cpp/verilator_tb_aes_sub_bytes.cpp @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Copyright IAIK. // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma/verify_aes.sh b/hw/ip/aes/pre_sca/alma/verify_aes.sh index d1a9343753742..8ad4eaef9c423 100755 --- a/hw/ip/aes/pre_sca/alma/verify_aes.sh +++ b/hw/ip/aes/pre_sca/alma/verify_aes.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma_post_syn/load_env.sh b/hw/ip/aes/pre_sca/alma_post_syn/load_env.sh index 176dfa1bfc8a9..6cc9a7a752cff 100644 --- a/hw/ip/aes/pre_sca/alma_post_syn/load_env.sh +++ b/hw/ip/aes/pre_sca/alma_post_syn/load_env.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma_post_syn/simplify_techlib.py b/hw/ip/aes/pre_sca/alma_post_syn/simplify_techlib.py index 0658585e5359d..d3b278e8b524b 100755 --- a/hw/ip/aes/pre_sca/alma_post_syn/simplify_techlib.py +++ b/hw/ip/aes/pre_sca/alma_post_syn/simplify_techlib.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma_post_syn/verify_aes_post_syn.sh b/hw/ip/aes/pre_sca/alma_post_syn/verify_aes_post_syn.sh index 682be724b167d..efd3e92ec3fcc 100755 --- a/hw/ip/aes/pre_sca/alma_post_syn/verify_aes_post_syn.sh +++ b/hw/ip/aes/pre_sca/alma_post_syn/verify_aes_post_syn.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/alma_post_syn/yosys_synth_template.txt b/hw/ip/aes/pre_sca/alma_post_syn/yosys_synth_template.txt index 9991e3e057b62..9ff23bc2eca9f 100644 --- a/hw/ip/aes/pre_sca/alma_post_syn/yosys_synth_template.txt +++ b/hw/ip/aes/pre_sca/alma_post_syn/yosys_synth_template.txt @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Copyright TU Graz - IAIK contributors. # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/prolead/aes_cipher_core_config.set b/hw/ip/aes/pre_sca/prolead/aes_cipher_core_config.set index 2a80d702d150c..83144527a6a01 100644 --- a/hw/ip/aes/pre_sca/prolead/aes_cipher_core_config.set +++ b/hw/ip/aes/pre_sca/prolead/aes_cipher_core_config.set @@ -1,4 +1,4 @@ -% Copyright lowRISC contributors. +% Copyright lowRISC contributors (OpenTitan project). % Copyright (c) 2022 ChairImpSec. All rights reserved. % SPDX-License-Identifier: BSD-3-Clause % diff --git a/hw/ip/aes/pre_sca/prolead/evaluate.sh b/hw/ip/aes/pre_sca/prolead/evaluate.sh index 50bc78c363956..16b01d0c6bdb5 100755 --- a/hw/ip/aes/pre_sca/prolead/evaluate.sh +++ b/hw/ip/aes/pre_sca/prolead/evaluate.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_sca/prolead/library.lib b/hw/ip/aes/pre_sca/prolead/library.lib index a6b6938395327..6d0a68b6fec8f 100644 --- a/hw/ip/aes/pre_sca/prolead/library.lib +++ b/hw/ip/aes/pre_sca/prolead/library.lib @@ -1,4 +1,4 @@ -% Copyright lowRISC contributors. +% Copyright lowRISC contributors (OpenTitan project). % Copyright (c) 2022 ChairImpSec. All rights reserved. % SPDX-License-Identifier: BSD-3-Clause % diff --git a/hw/ip/aes/pre_syn/aes_lr_synth_conf.tcl b/hw/ip/aes/pre_syn/aes_lr_synth_conf.tcl index b1304fc8062ea..1947aec6c634b 100644 --- a/hw/ip/aes/pre_syn/aes_lr_synth_conf.tcl +++ b/hw/ip/aes/pre_syn/aes_lr_synth_conf.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_syn/syn_setup.example.sh b/hw/ip/aes/pre_syn/syn_setup.example.sh index 9c8b2e90c9ee3..3e0f6ef506400 100644 --- a/hw/ip/aes/pre_syn/syn_setup.example.sh +++ b/hw/ip/aes/pre_syn/syn_setup.example.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_syn/syn_yosys.sh b/hw/ip/aes/pre_syn/syn_yosys.sh index ebacfd0f00c7b..3986952f15d05 100755 --- a/hw/ip/aes/pre_syn/syn_yosys.sh +++ b/hw/ip/aes/pre_syn/syn_yosys.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_syn/tcl/lr_synth_flow_var_setup.tcl b/hw/ip/aes/pre_syn/tcl/lr_synth_flow_var_setup.tcl index 2aac475876a13..44b3bb05ce5bb 100644 --- a/hw/ip/aes/pre_syn/tcl/lr_synth_flow_var_setup.tcl +++ b/hw/ip/aes/pre_syn/tcl/lr_synth_flow_var_setup.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_syn/tcl/yosys_run_synth.tcl b/hw/ip/aes/pre_syn/tcl/yosys_run_synth.tcl index 2beeb2321161e..337548ebe8282 100644 --- a/hw/ip/aes/pre_syn/tcl/yosys_run_synth.tcl +++ b/hw/ip/aes/pre_syn/tcl/yosys_run_synth.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/pre_syn/translate_timing_rpts.sh b/hw/ip/aes/pre_syn/translate_timing_rpts.sh index ea36fbf58b819..783b036e3061e 100755 --- a/hw/ip/aes/pre_syn/translate_timing_rpts.sh +++ b/hw/ip/aes/pre_syn/translate_timing_rpts.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/rtl/aes.sv b/hw/ip/aes/rtl/aes.sv index 8b846c5bdab72..b104713abeded 100644 --- a/hw/ip/aes/rtl/aes.sv +++ b/hw/ip/aes/rtl/aes.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_cipher_control.sv b/hw/ip/aes/rtl/aes_cipher_control.sv index c7e36503c98c2..0a0b0ef6f0285 100644 --- a/hw/ip/aes/rtl/aes_cipher_control.sv +++ b/hw/ip/aes/rtl/aes_cipher_control.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_cipher_control_fsm.sv b/hw/ip/aes/rtl/aes_cipher_control_fsm.sv index a9672081c2526..fd341c317db52 100644 --- a/hw/ip/aes/rtl/aes_cipher_control_fsm.sv +++ b/hw/ip/aes/rtl/aes_cipher_control_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_cipher_control_fsm_n.sv b/hw/ip/aes/rtl/aes_cipher_control_fsm_n.sv index d862938ddf2ac..762778c71f9de 100644 --- a/hw/ip/aes/rtl/aes_cipher_control_fsm_n.sv +++ b/hw/ip/aes/rtl/aes_cipher_control_fsm_n.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_cipher_control_fsm_p.sv b/hw/ip/aes/rtl/aes_cipher_control_fsm_p.sv index ee823b3c19f38..3a2a3499e8594 100644 --- a/hw/ip/aes/rtl/aes_cipher_control_fsm_p.sv +++ b/hw/ip/aes/rtl/aes_cipher_control_fsm_p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_cipher_core.sv b/hw/ip/aes/rtl/aes_cipher_core.sv index 0dc47d5c66b34..eca1ba8cfb696 100644 --- a/hw/ip/aes/rtl/aes_cipher_core.sv +++ b/hw/ip/aes/rtl/aes_cipher_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_control.sv b/hw/ip/aes/rtl/aes_control.sv index 8924b2c0ba819..fe752436890df 100644 --- a/hw/ip/aes/rtl/aes_control.sv +++ b/hw/ip/aes/rtl/aes_control.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_control_fsm.sv b/hw/ip/aes/rtl/aes_control_fsm.sv index 446c68c760353..0d60b390058b0 100644 --- a/hw/ip/aes/rtl/aes_control_fsm.sv +++ b/hw/ip/aes/rtl/aes_control_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_control_fsm_n.sv b/hw/ip/aes/rtl/aes_control_fsm_n.sv index c767edcba6713..9f0afbeb18b4f 100644 --- a/hw/ip/aes/rtl/aes_control_fsm_n.sv +++ b/hw/ip/aes/rtl/aes_control_fsm_n.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_control_fsm_p.sv b/hw/ip/aes/rtl/aes_control_fsm_p.sv index 5cd53bdb6d410..053fdb20256b3 100644 --- a/hw/ip/aes/rtl/aes_control_fsm_p.sv +++ b/hw/ip/aes/rtl/aes_control_fsm_p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_core.sv b/hw/ip/aes/rtl/aes_core.sv index b7c5d2d9b1943..8b9db779928e3 100644 --- a/hw/ip/aes/rtl/aes_core.sv +++ b/hw/ip/aes/rtl/aes_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_ctr.sv b/hw/ip/aes/rtl/aes_ctr.sv index b350adc987487..11ec79163314c 100644 --- a/hw/ip/aes/rtl/aes_ctr.sv +++ b/hw/ip/aes/rtl/aes_ctr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_ctr_fsm.sv b/hw/ip/aes/rtl/aes_ctr_fsm.sv index 87667ead36240..13d8cdf7d29b0 100644 --- a/hw/ip/aes/rtl/aes_ctr_fsm.sv +++ b/hw/ip/aes/rtl/aes_ctr_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_ctr_fsm_n.sv b/hw/ip/aes/rtl/aes_ctr_fsm_n.sv index 334f368354cf3..e4308cf78c894 100644 --- a/hw/ip/aes/rtl/aes_ctr_fsm_n.sv +++ b/hw/ip/aes/rtl/aes_ctr_fsm_n.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_ctr_fsm_p.sv b/hw/ip/aes/rtl/aes_ctr_fsm_p.sv index 7f8369deadcf9..20ab23480866c 100644 --- a/hw/ip/aes/rtl/aes_ctr_fsm_p.sv +++ b/hw/ip/aes/rtl/aes_ctr_fsm_p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_ctrl_reg_shadowed.sv b/hw/ip/aes/rtl/aes_ctrl_reg_shadowed.sv index 0fa6070d6f716..46d824008b068 100644 --- a/hw/ip/aes/rtl/aes_ctrl_reg_shadowed.sv +++ b/hw/ip/aes/rtl/aes_ctrl_reg_shadowed.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_key_expand.sv b/hw/ip/aes/rtl/aes_key_expand.sv index e352ed4d458b3..ccd5b6ee0eb73 100644 --- a/hw/ip/aes/rtl/aes_key_expand.sv +++ b/hw/ip/aes/rtl/aes_key_expand.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_mix_columns.sv b/hw/ip/aes/rtl/aes_mix_columns.sv index b4c121e4a9114..d2c5559076aa4 100644 --- a/hw/ip/aes/rtl/aes_mix_columns.sv +++ b/hw/ip/aes/rtl/aes_mix_columns.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_mix_single_column.sv b/hw/ip/aes/rtl/aes_mix_single_column.sv index 28e534617c0d4..6b7cfd1f59ce8 100644 --- a/hw/ip/aes/rtl/aes_mix_single_column.sv +++ b/hw/ip/aes/rtl/aes_mix_single_column.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_pkg.sv b/hw/ip/aes/rtl/aes_pkg.sv index 6b1864026b1f1..188d3d9bdbbd1 100644 --- a/hw/ip/aes/rtl/aes_pkg.sv +++ b/hw/ip/aes/rtl/aes_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_prng_clearing.sv b/hw/ip/aes/rtl/aes_prng_clearing.sv index b659f6c01c3de..0301349fd8306 100644 --- a/hw/ip/aes/rtl/aes_prng_clearing.sv +++ b/hw/ip/aes/rtl/aes_prng_clearing.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_prng_masking.sv b/hw/ip/aes/rtl/aes_prng_masking.sv index 4ca5375d55c1e..e31ef036d45e0 100644 --- a/hw/ip/aes/rtl/aes_prng_masking.sv +++ b/hw/ip/aes/rtl/aes_prng_masking.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_reduced_round.sv b/hw/ip/aes/rtl/aes_reduced_round.sv index 75f54add7df07..ee91549675e48 100644 --- a/hw/ip/aes/rtl/aes_reduced_round.sv +++ b/hw/ip/aes/rtl/aes_reduced_round.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aes/rtl/aes_reg_pkg.sv b/hw/ip/aes/rtl/aes_reg_pkg.sv index 8caf6ea5926e9..9edec48bd6c64 100644 --- a/hw/ip/aes/rtl/aes_reg_pkg.sv +++ b/hw/ip/aes/rtl/aes_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_reg_status.sv b/hw/ip/aes/rtl/aes_reg_status.sv index 95ac13214ac09..f608e8464264b 100644 --- a/hw/ip/aes/rtl/aes_reg_status.sv +++ b/hw/ip/aes/rtl/aes_reg_status.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_reg_top.sv b/hw/ip/aes/rtl/aes_reg_top.sv index c8ba8a063313f..6731f93256c9b 100644 --- a/hw/ip/aes/rtl/aes_reg_top.sv +++ b/hw/ip/aes/rtl/aes_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox.sv b/hw/ip/aes/rtl/aes_sbox.sv index 3e13ff53a6e4e..87965bfe91ac6 100644 --- a/hw/ip/aes/rtl/aes_sbox.sv +++ b/hw/ip/aes/rtl/aes_sbox.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_canright.sv b/hw/ip/aes/rtl/aes_sbox_canright.sv index 4d7432d17c470..232a59f08d0df 100644 --- a/hw/ip/aes/rtl/aes_sbox_canright.sv +++ b/hw/ip/aes/rtl/aes_sbox_canright.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_canright_masked.sv b/hw/ip/aes/rtl/aes_sbox_canright_masked.sv index 45c4ff7494807..20bdbfe836362 100644 --- a/hw/ip/aes/rtl/aes_sbox_canright_masked.sv +++ b/hw/ip/aes/rtl/aes_sbox_canright_masked.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_canright_masked_noreuse.sv b/hw/ip/aes/rtl/aes_sbox_canright_masked_noreuse.sv index 983a692d5da9a..045a06fec23da 100644 --- a/hw/ip/aes/rtl/aes_sbox_canright_masked_noreuse.sv +++ b/hw/ip/aes/rtl/aes_sbox_canright_masked_noreuse.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_canright_pkg.sv b/hw/ip/aes/rtl/aes_sbox_canright_pkg.sv index c5f8f810c46ab..d520fc92d5617 100644 --- a/hw/ip/aes/rtl/aes_sbox_canright_pkg.sv +++ b/hw/ip/aes/rtl/aes_sbox_canright_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_dom.sv b/hw/ip/aes/rtl/aes_sbox_dom.sv index c810e6e4e385d..b7fb7de9fc2b0 100644 --- a/hw/ip/aes/rtl/aes_sbox_dom.sv +++ b/hw/ip/aes/rtl/aes_sbox_dom.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sbox_lut.sv b/hw/ip/aes/rtl/aes_sbox_lut.sv index e57b91093de03..94064a3a821cf 100644 --- a/hw/ip/aes/rtl/aes_sbox_lut.sv +++ b/hw/ip/aes/rtl/aes_sbox_lut.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sel_buf_chk.sv b/hw/ip/aes/rtl/aes_sel_buf_chk.sv index eb91a3f8c9c7f..36b63c5b18b87 100644 --- a/hw/ip/aes/rtl/aes_sel_buf_chk.sv +++ b/hw/ip/aes/rtl/aes_sel_buf_chk.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_shift_rows.sv b/hw/ip/aes/rtl/aes_shift_rows.sv index e5a62e9d61b9b..e8df6f0e211bd 100644 --- a/hw/ip/aes/rtl/aes_shift_rows.sv +++ b/hw/ip/aes/rtl/aes_shift_rows.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_sub_bytes.sv b/hw/ip/aes/rtl/aes_sub_bytes.sv index 8d71d961d1ba4..e91d7e3ed5308 100644 --- a/hw/ip/aes/rtl/aes_sub_bytes.sv +++ b/hw/ip/aes/rtl/aes_sub_bytes.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/rtl/aes_wrap.sv b/hw/ip/aes/rtl/aes_wrap.sv index ab12741a96767..669befa7c41e6 100644 --- a/hw/ip/aes/rtl/aes_wrap.sv +++ b/hw/ip/aes/rtl/aes_wrap.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aes/syn/aes_gtech_syn_cfg.hjson b/hw/ip/aes/syn/aes_gtech_syn_cfg.hjson index d026d4a7a5aa2..ab8308db43ae2 100644 --- a/hw/ip/aes/syn/aes_gtech_syn_cfg.hjson +++ b/hw/ip/aes/syn/aes_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/syn/aes_post_elab_gtech.tcl b/hw/ip/aes/syn/aes_post_elab_gtech.tcl index d2e9a7984aa71..d8f8676a11705 100644 --- a/hw/ip/aes/syn/aes_post_elab_gtech.tcl +++ b/hw/ip/aes/syn/aes_post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/aes/syn/aes_syn_cfg.hjson b/hw/ip/aes/syn/aes_syn_cfg.hjson index 4afeff3943dbf..710ac8df71e08 100644 --- a/hw/ip/aes/syn/aes_syn_cfg.hjson +++ b/hw/ip/aes/syn/aes_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/syn/aes_wrap_gtech_syn_cfg.hjson b/hw/ip/aes/syn/aes_wrap_gtech_syn_cfg.hjson index 7db1057c5e20e..7c98f210bfbbc 100644 --- a/hw/ip/aes/syn/aes_wrap_gtech_syn_cfg.hjson +++ b/hw/ip/aes/syn/aes_wrap_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aes/syn/aes_wrap_post_elab_gtech.tcl b/hw/ip/aes/syn/aes_wrap_post_elab_gtech.tcl index 23b61bd9984ed..516eddbbe28cf 100644 --- a/hw/ip/aes/syn/aes_wrap_post_elab_gtech.tcl +++ b/hw/ip/aes/syn/aes_wrap_post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/aes/syn/constraints.sdc b/hw/ip/aes/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/aes/syn/constraints.sdc +++ b/hw/ip/aes/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/aon_timer/BUILD b/hw/ip/aon_timer/BUILD index 7c095e01828f9..ef7b2fadff504 100644 --- a/hw/ip/aon_timer/BUILD +++ b/hw/ip/aon_timer/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/aon_timer.core b/hw/ip/aon_timer/aon_timer.core index cd8fae8f88e90..9c2c8fa827c50 100644 --- a/hw/ip/aon_timer/aon_timer.core +++ b/hw/ip/aon_timer/aon_timer.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:aon_timer:0.1" diff --git a/hw/ip/aon_timer/data/BUILD b/hw/ip/aon_timer/data/BUILD index 4da35f558980e..d0a8840371612 100644 --- a/hw/ip/aon_timer/data/BUILD +++ b/hw/ip/aon_timer/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/data/aon_timer.hjson b/hw/ip/aon_timer/data/aon_timer.hjson index e370ce7705d7a..6ff3d4d9bebce 100644 --- a/hw/ip/aon_timer/data/aon_timer.hjson +++ b/hw/ip/aon_timer/data/aon_timer.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/data/aon_timer_sec_cm_testplan.hjson b/hw/ip/aon_timer/data/aon_timer_sec_cm_testplan.hjson index bcfeb48cc597b..76a87e562cd0f 100644 --- a/hw/ip/aon_timer/data/aon_timer_sec_cm_testplan.hjson +++ b/hw/ip/aon_timer/data/aon_timer_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/data/aon_timer_testplan.hjson b/hw/ip/aon_timer/data/aon_timer_testplan.hjson index 108e755c978e4..37a0b52e3194b 100644 --- a/hw/ip/aon_timer/data/aon_timer_testplan.hjson +++ b/hw/ip/aon_timer/data/aon_timer_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aon_timer/dv/aon_timer_sim.core b/hw/ip/aon_timer/dv/aon_timer_sim.core index 8a1ab7d0deab4..059a4280b5047 100644 --- a/hw/ip/aon_timer/dv/aon_timer_sim.core +++ b/hw/ip/aon_timer/dv/aon_timer_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aon_timer_sim:0.1" diff --git a/hw/ip/aon_timer/dv/aon_timer_sim_cfg.hjson b/hw/ip/aon_timer/dv/aon_timer_sim_cfg.hjson index 5fff21f2bef29..1951719fc1179 100644 --- a/hw/ip/aon_timer/dv/aon_timer_sim_cfg.hjson +++ b/hw/ip/aon_timer/dv/aon_timer_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/aon_timer/dv/env/aon_timer_core_if.sv b/hw/ip/aon_timer/dv/env/aon_timer_core_if.sv index 62d5f8891a46c..284321162ad14 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_core_if.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_core_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_env.core b/hw/ip/aon_timer/dv/env/aon_timer_env.core index 7a74e29e41407..84b2fe4219cef 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_env.core +++ b/hw/ip/aon_timer/dv/env/aon_timer_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aon_timer_env:0.1" diff --git a/hw/ip/aon_timer/dv/env/aon_timer_env.sv b/hw/ip/aon_timer/dv/env/aon_timer_env.sv index b76dae6332906..581d1438a371c 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_env.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_env_cfg.sv b/hw/ip/aon_timer/dv/env/aon_timer_env_cfg.sv index fe576797daca9..372ac426134e9 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_env_cfg.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_env_cov.sv b/hw/ip/aon_timer/dv/env/aon_timer_env_cov.sv index 494dfb0752202..d95f2326f9850 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_env_cov.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_env_pkg.sv b/hw/ip/aon_timer/dv/env/aon_timer_env_pkg.sv index 86b2eed35f91e..dd7f14f70cf9b 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_env_pkg.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_scoreboard.sv b/hw/ip/aon_timer/dv/env/aon_timer_scoreboard.sv index ec2082960cc5d..8fe89c8ffb0f7 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_scoreboard.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/aon_timer_virtual_sequencer.sv b/hw/ip/aon_timer/dv/env/aon_timer_virtual_sequencer.sv index ade8ae026c70c..948e8aa16c43a 100644 --- a/hw/ip/aon_timer/dv/env/aon_timer_virtual_sequencer.sv +++ b/hw/ip/aon_timer/dv/env/aon_timer_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_base_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_base_vseq.sv index f2e3d4d155a17..d0332d8f6093f 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_base_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_common_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_common_vseq.sv index 16e8a98874656..c1ee97289350f 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_common_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_jump_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_jump_vseq.sv index d69fcb3d09fc2..79dfb519a43d5 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_jump_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_jump_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_prescaler_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_prescaler_vseq.sv index 124792e9a07ac..bb9264326c398 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_prescaler_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_prescaler_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_smoke_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_smoke_vseq.sv index 22f9367526eb2..85f643f554f82 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_smoke_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_stress_all_vseq.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_stress_all_vseq.sv index 65a4bfcf83cdc..368b3c067b62c 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_stress_all_vseq.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_vseq_list.sv b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_vseq_list.sv index 1036ee2367848..52ccd8b8234f4 100644 --- a/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_vseq_list.sv +++ b/hw/ip/aon_timer/dv/env/seq_lib/aon_timer_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/sva/aon_timer_bind.sv b/hw/ip/aon_timer/dv/sva/aon_timer_bind.sv index 559bbe1faf8c5..d7b28fc00974d 100644 --- a/hw/ip/aon_timer/dv/sva/aon_timer_bind.sv +++ b/hw/ip/aon_timer/dv/sva/aon_timer_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/sva/aon_timer_sva.core b/hw/ip/aon_timer/dv/sva/aon_timer_sva.core index 0570e704c01da..e8d91d1cc38c0 100644 --- a/hw/ip/aon_timer/dv/sva/aon_timer_sva.core +++ b/hw/ip/aon_timer/dv/sva/aon_timer_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aon_timer_sva:0.1" diff --git a/hw/ip/aon_timer/dv/tb.sv b/hw/ip/aon_timer/dv/tb.sv index 216aac44cd315..af151a87f8986 100644 --- a/hw/ip/aon_timer/dv/tb.sv +++ b/hw/ip/aon_timer/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/dv/tests/aon_timer_base_test.sv b/hw/ip/aon_timer/dv/tests/aon_timer_base_test.sv index 92c0b1cc634d8..36342baa24f75 100644 --- a/hw/ip/aon_timer/dv/tests/aon_timer_base_test.sv +++ b/hw/ip/aon_timer/dv/tests/aon_timer_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/dv/tests/aon_timer_test.core b/hw/ip/aon_timer/dv/tests/aon_timer_test.core index c168671321fe3..28589034c5d39 100644 --- a/hw/ip/aon_timer/dv/tests/aon_timer_test.core +++ b/hw/ip/aon_timer/dv/tests/aon_timer_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:aon_timer_test:0.1" diff --git a/hw/ip/aon_timer/dv/tests/aon_timer_test_pkg.sv b/hw/ip/aon_timer/dv/tests/aon_timer_test_pkg.sv index 10e27aa135bd5..c49f099cdd2ac 100644 --- a/hw/ip/aon_timer/dv/tests/aon_timer_test_pkg.sv +++ b/hw/ip/aon_timer/dv/tests/aon_timer_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/aon_timer/lint/aon_timer.vlt b/hw/ip/aon_timer/lint/aon_timer.vlt index cb3f3ffc68858..fdc360828df3f 100644 --- a/hw/ip/aon_timer/lint/aon_timer.vlt +++ b/hw/ip/aon_timer/lint/aon_timer.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/lint/aon_timer.waiver b/hw/ip/aon_timer/lint/aon_timer.waiver index e7f57a185421b..dc955063f5b3d 100644 --- a/hw/ip/aon_timer/lint/aon_timer.waiver +++ b/hw/ip/aon_timer/lint/aon_timer.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/aon_timer/rtl/aon_timer.sv b/hw/ip/aon_timer/rtl/aon_timer.sv index 18d6747c6953a..066f8e8b6ed29 100644 --- a/hw/ip/aon_timer/rtl/aon_timer.sv +++ b/hw/ip/aon_timer/rtl/aon_timer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/rtl/aon_timer_core.sv b/hw/ip/aon_timer/rtl/aon_timer_core.sv index a7cd701f5d2d4..3ebed3a842d89 100644 --- a/hw/ip/aon_timer/rtl/aon_timer_core.sv +++ b/hw/ip/aon_timer/rtl/aon_timer_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/rtl/aon_timer_reg_pkg.sv b/hw/ip/aon_timer/rtl/aon_timer_reg_pkg.sv index ab15a096ed8b3..138c284900eaf 100644 --- a/hw/ip/aon_timer/rtl/aon_timer_reg_pkg.sv +++ b/hw/ip/aon_timer/rtl/aon_timer_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/aon_timer/rtl/aon_timer_reg_top.sv b/hw/ip/aon_timer/rtl/aon_timer_reg_top.sv index 73b61cf8b536c..dd8ed28e414ab 100644 --- a/hw/ip/aon_timer/rtl/aon_timer_reg_top.sv +++ b/hw/ip/aon_timer/rtl/aon_timer_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/BUILD b/hw/ip/clkmgr/BUILD index 1d0a656815a10..0766b57e2ea4b 100644 --- a/hw/ip/clkmgr/BUILD +++ b/hw/ip/clkmgr/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/clkmgr_components.core b/hw/ip/clkmgr/clkmgr_components.core index 19e4b865987b0..ac2d88f775dcd 100644 --- a/hw/ip/clkmgr/clkmgr_components.core +++ b/hw/ip/clkmgr/clkmgr_components.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:clkmgr_components:0.1" diff --git a/hw/ip/clkmgr/clkmgr_reg.core b/hw/ip/clkmgr/clkmgr_reg.core index b86a2bfcbe662..1cc47f143ed35 100644 --- a/hw/ip/clkmgr/clkmgr_reg.core +++ b/hw/ip/clkmgr/clkmgr_reg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:clkmgr_reg:0.1" diff --git a/hw/ip/clkmgr/data/BUILD b/hw/ip/clkmgr/data/BUILD index b98cf3975a1a3..204c3a85b1d45 100644 --- a/hw/ip/clkmgr/data/BUILD +++ b/hw/ip/clkmgr/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/data/clkmgr.cfg.example.hjson b/hw/ip/clkmgr/data/clkmgr.cfg.example.hjson index 9aa6bcf16705d..dda325aa2432c 100644 --- a/hw/ip/clkmgr/data/clkmgr.cfg.example.hjson +++ b/hw/ip/clkmgr/data/clkmgr.cfg.example.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/data/clkmgr.hjson b/hw/ip/clkmgr/data/clkmgr.hjson index ae2e946587363..59f41c9e1e0e7 100644 --- a/hw/ip/clkmgr/data/clkmgr.hjson +++ b/hw/ip/clkmgr/data/clkmgr.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/data/clkmgr.hjson.tpl b/hw/ip/clkmgr/data/clkmgr.hjson.tpl index f049c5cc35c54..35a1e605df044 100644 --- a/hw/ip/clkmgr/data/clkmgr.hjson.tpl +++ b/hw/ip/clkmgr/data/clkmgr.hjson.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/data/clkmgr.sv.tpl b/hw/ip/clkmgr/data/clkmgr.sv.tpl index 43ea85572b09a..58ac9ad4789c1 100644 --- a/hw/ip/clkmgr/data/clkmgr.sv.tpl +++ b/hw/ip/clkmgr/data/clkmgr.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/data/clkmgr_pkg.sv.tpl b/hw/ip/clkmgr/data/clkmgr_pkg.sv.tpl index 0907023027ade..9ae6e14ec0d30 100644 --- a/hw/ip/clkmgr/data/clkmgr_pkg.sv.tpl +++ b/hw/ip/clkmgr/data/clkmgr_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/data/clkmgr_sec_cm_testplan.hjson b/hw/ip/clkmgr/data/clkmgr_sec_cm_testplan.hjson index dacfcd1f90bc6..358fa0f5711be 100644 --- a/hw/ip/clkmgr/data/clkmgr_sec_cm_testplan.hjson +++ b/hw/ip/clkmgr/data/clkmgr_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/data/clkmgr_testplan.hjson b/hw/ip/clkmgr/data/clkmgr_testplan.hjson index cbb1116cc009a..a8e12217e34f2 100644 --- a/hw/ip/clkmgr/data/clkmgr_testplan.hjson +++ b/hw/ip/clkmgr/data/clkmgr_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/clkmgr/dv/clkmgr_sim.core b/hw/ip/clkmgr/dv/clkmgr_sim.core index 01620b4e9938f..780e31856f48f 100644 --- a/hw/ip/clkmgr/dv/clkmgr_sim.core +++ b/hw/ip/clkmgr/dv/clkmgr_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_sim:0.1" diff --git a/hw/ip/clkmgr/dv/clkmgr_sim_cfg.hjson b/hw/ip/clkmgr/dv/clkmgr_sim_cfg.hjson index d1d4b76f34130..883124b373a30 100644 --- a/hw/ip/clkmgr/dv/clkmgr_sim_cfg.hjson +++ b/hw/ip/clkmgr/dv/clkmgr_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/clkmgr/dv/cov/clkmgr_cov_bind.sv b/hw/ip/clkmgr/dv/cov/clkmgr_cov_bind.sv index 2797ab8814588..7e5ef8e3ec923 100644 --- a/hw/ip/clkmgr/dv/cov/clkmgr_cov_bind.sv +++ b/hw/ip/clkmgr/dv/cov/clkmgr_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/cov/clkmgr_cov_manual_excl.el b/hw/ip/clkmgr/dv/cov/clkmgr_cov_manual_excl.el index 4228c8c539e81..ca5f99828dd8e 100644 --- a/hw/ip/clkmgr/dv/cov/clkmgr_cov_manual_excl.el +++ b/hw/ip/clkmgr/dv/cov/clkmgr_cov_manual_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/cov/clkmgr_cov_unr_excl.el b/hw/ip/clkmgr/dv/cov/clkmgr_cov_unr_excl.el index 184b9d8a3c05f..85e292d7a9fa5 100644 --- a/hw/ip/clkmgr/dv/cov/clkmgr_cov_unr_excl.el +++ b/hw/ip/clkmgr/dv/cov/clkmgr_cov_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/env/clkmgr_csrs_if.sv b/hw/ip/clkmgr/dv/env/clkmgr_csrs_if.sv index f82c7e8e82492..df369fdf59cfb 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_csrs_if.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_csrs_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/env/clkmgr_env.core b/hw/ip/clkmgr/dv/env/clkmgr_env.core index f4b76088b71fc..0148f639c0fdd 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_env.core +++ b/hw/ip/clkmgr/dv/env/clkmgr_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_env:0.1" diff --git a/hw/ip/clkmgr/dv/env/clkmgr_env.sv b/hw/ip/clkmgr/dv/env/clkmgr_env.sv index 55f805dabff89..e730a933c134c 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_env.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/clkmgr_env_cfg.sv b/hw/ip/clkmgr/dv/env/clkmgr_env_cfg.sv index ed288757287f1..9477e59af048a 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_env_cfg.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/clkmgr_env_cov.sv b/hw/ip/clkmgr/dv/env/clkmgr_env_cov.sv index 1742c6ceb61f0..a28c2bdf10e02 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_env_cov.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/clkmgr_env_pkg.sv b/hw/ip/clkmgr/dv/env/clkmgr_env_pkg.sv index c926017150b7e..9ea95973da389 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_env_pkg.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/clkmgr_if.sv b/hw/ip/clkmgr/dv/env/clkmgr_if.sv index 6fb76023480ec..c6d8aa8d8f5dd 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_if.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/env/clkmgr_scoreboard.sv b/hw/ip/clkmgr/dv/env/clkmgr_scoreboard.sv index 6bfdab3f41d63..820925dd9af5b 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_scoreboard.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/clkmgr_virtual_sequencer.sv b/hw/ip/clkmgr/dv/env/clkmgr_virtual_sequencer.sv index c631a82b1c763..8239de0df3ab0 100644 --- a/hw/ip/clkmgr/dv/env/clkmgr_virtual_sequencer.sv +++ b/hw/ip/clkmgr/dv/env/clkmgr_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_base_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_base_vseq.sv index 504663518652a..6b87fd054022d 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_base_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_clk_status_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_clk_status_vseq.sv index 1bd2d7bc1f1e4..f5850366aa9ad 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_clk_status_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_clk_status_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_common_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_common_vseq.sv index 3753d80678b16..21613892f0b76 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_common_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_extclk_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_extclk_vseq.sv index 5051fb9553c1a..5a10f0e49f963 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_extclk_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_extclk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_timeout_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_timeout_vseq.sv index 350073bdb9d96..c3c6aafed2b57 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_timeout_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_timeout_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_vseq.sv index 9c7772c07cf1a..67d93694c19c4 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_frequency_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_peri_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_peri_vseq.sv index 336c92533884e..a389f66d7b8ea 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_peri_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_peri_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_regwen_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_regwen_vseq.sv index d81c12ed56855..ec5d4896a650d 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_regwen_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_smoke_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_smoke_vseq.sv index a3f1b6f6575dd..6807809aec382 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_smoke_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_stress_all_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_stress_all_vseq.sv index c2b2e5ea32028..c8e0aa5860aa0 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_stress_all_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_trans_vseq.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_trans_vseq.sv index 7df237b9c3e8c..2474ec2ab394e 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_trans_vseq.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_trans_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_vseq_list.sv b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_vseq_list.sv index d95307f983c52..c125df499f28a 100644 --- a/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_vseq_list.sv +++ b/hw/ip/clkmgr/dv/env/seq_lib/clkmgr_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_aon_cg_en_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_aon_cg_en_sva_if.sv index 1b05e078e91e6..ec41b285e7bbf 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_aon_cg_en_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_aon_cg_en_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_bind.sv b/hw/ip/clkmgr/dv/sva/clkmgr_bind.sv index ad85e08cede1c..5762f31913795 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_bind.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_cg_en_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_cg_en_sva_if.sv index 6c9a99c8c2275..e995057c8e908 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_cg_en_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_cg_en_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_div_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_div_sva_if.sv index 1940a9176599c..acf0408800403 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_div_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_div_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_extclk_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_extclk_sva_if.sv index dcd1d2dc440f0..ab505f527c6a6 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_extclk_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_extclk_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_gated_clock_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_gated_clock_sva_if.sv index 98677ff94afe6..2d532f8613088 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_gated_clock_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_gated_clock_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_ctrl_en_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_ctrl_en_sva_if.sv index d9fa0d528271e..4b67cd01e628a 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_ctrl_en_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_ctrl_en_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_regwen_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_regwen_sva_if.sv index e8b12a0b68722..47bbf710f60f2 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_regwen_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_lost_calib_regwen_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.core b/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.core index a99dc60693419..186a2f8eb68fa 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.core +++ b/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_pwrmgr_sva_if:0.1" diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.sv index 6d02f318dcab0..9802e4477c63a 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_pwrmgr_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_sec_cm_checker_assert.sv b/hw/ip/clkmgr/dv/sva/clkmgr_sec_cm_checker_assert.sv index 13cdf1d074cef..567e26076a850 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_sec_cm_checker_assert.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_sec_cm_checker_assert.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_sva.core b/hw/ip/clkmgr/dv/sva/clkmgr_sva.core index a43da70fcf797..9fdc2ca96088a 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_sva.core +++ b/hw/ip/clkmgr/dv/sva/clkmgr_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_sva:0.1" diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_sva_ifs.core b/hw/ip/clkmgr/dv/sva/clkmgr_sva_ifs.core index 9f8fec693b3c7..c1248a4f83e9a 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_sva_ifs.core +++ b/hw/ip/clkmgr/dv/sva/clkmgr_sva_ifs.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_sva_ifs:0.1" diff --git a/hw/ip/clkmgr/dv/sva/clkmgr_trans_sva_if.sv b/hw/ip/clkmgr/dv/sva/clkmgr_trans_sva_if.sv index e1d8bac2bdd0b..692e049aac4f6 100644 --- a/hw/ip/clkmgr/dv/sva/clkmgr_trans_sva_if.sv +++ b/hw/ip/clkmgr/dv/sva/clkmgr_trans_sva_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/tb.sv b/hw/ip/clkmgr/dv/tb.sv index 36c4381ee0148..b7fbf60bf322a 100644 --- a/hw/ip/clkmgr/dv/tb.sv +++ b/hw/ip/clkmgr/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/dv/tests/clkmgr_base_test.sv b/hw/ip/clkmgr/dv/tests/clkmgr_base_test.sv index fda2cb69f672e..a0ddd45839bd1 100644 --- a/hw/ip/clkmgr/dv/tests/clkmgr_base_test.sv +++ b/hw/ip/clkmgr/dv/tests/clkmgr_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/dv/tests/clkmgr_test.core b/hw/ip/clkmgr/dv/tests/clkmgr_test.core index 2174e34c1ea6a..e1a34e5553226 100644 --- a/hw/ip/clkmgr/dv/tests/clkmgr_test.core +++ b/hw/ip/clkmgr/dv/tests/clkmgr_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:clkmgr_test:0.1" diff --git a/hw/ip/clkmgr/dv/tests/clkmgr_test_pkg.sv b/hw/ip/clkmgr/dv/tests/clkmgr_test_pkg.sv index 3411b2e197f14..0d1e07762f22f 100644 --- a/hw/ip/clkmgr/dv/tests/clkmgr_test_pkg.sv +++ b/hw/ip/clkmgr/dv/tests/clkmgr_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/lint/clkmgr.vlt b/hw/ip/clkmgr/lint/clkmgr.vlt index a3aadb827143f..a345f0dcdf9fe 100644 --- a/hw/ip/clkmgr/lint/clkmgr.vlt +++ b/hw/ip/clkmgr/lint/clkmgr.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/lint/clkmgr.waiver b/hw/ip/clkmgr/lint/clkmgr.waiver index 2c3203bf79121..7f722b2e2cc98 100644 --- a/hw/ip/clkmgr/lint/clkmgr.waiver +++ b/hw/ip/clkmgr/lint/clkmgr.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/clkmgr/rtl/clkmgr.sv b/hw/ip/clkmgr/rtl/clkmgr.sv index fe1dd708f0b6e..84c06509868de 100644 --- a/hw/ip/clkmgr/rtl/clkmgr.sv +++ b/hw/ip/clkmgr/rtl/clkmgr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_byp.sv b/hw/ip/clkmgr/rtl/clkmgr_byp.sv index cbe4026eed8ce..28bb82baa6087 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_byp.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_byp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_clk_status.sv b/hw/ip/clkmgr/rtl/clkmgr_clk_status.sv index 3e9527e50e0f0..3c6b38b1025c1 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_clk_status.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_clk_status.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_meas_chk.sv b/hw/ip/clkmgr/rtl/clkmgr_meas_chk.sv index 64f74df1f7a01..ea0bc8e92be6f 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_meas_chk.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_meas_chk.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_pkg.sv b/hw/ip/clkmgr/rtl/clkmgr_pkg.sv index b699fac03df00..d444b65caf98f 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_pkg.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/clkmgr/rtl/clkmgr_reg_pkg.sv b/hw/ip/clkmgr/rtl/clkmgr_reg_pkg.sv index 08a65784d5f49..7cad77b86e95a 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_reg_pkg.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_reg_top.sv b/hw/ip/clkmgr/rtl/clkmgr_reg_top.sv index 7902ec08e88ef..26bb16e27c173 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_reg_top.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_root_ctrl.sv b/hw/ip/clkmgr/rtl/clkmgr_root_ctrl.sv index 6d54298eb162c..3008d2b24aa9e 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_root_ctrl.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_root_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/rtl/clkmgr_trans.sv b/hw/ip/clkmgr/rtl/clkmgr_trans.sv index f2000c26edec4..80c6aa2164256 100644 --- a/hw/ip/clkmgr/rtl/clkmgr_trans.sv +++ b/hw/ip/clkmgr/rtl/clkmgr_trans.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/clkmgr/util/clkmgr_gen_deprecated.py b/hw/ip/clkmgr/util/clkmgr_gen_deprecated.py index 7916db2ea4a5e..b4b9d1811c4fa 100755 --- a/hw/ip/clkmgr/util/clkmgr_gen_deprecated.py +++ b/hw/ip/clkmgr/util/clkmgr_gen_deprecated.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""Clock Manager Generator diff --git a/hw/ip/csrng/BUILD b/hw/ip/csrng/BUILD index 78e8e4d8f8d48..c1cda62b0af73 100644 --- a/hw/ip/csrng/BUILD +++ b/hw/ip/csrng/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/csrng.core b/hw/ip/csrng/csrng.core index 72f6098949b6c..f4ccd3cb19d67 100644 --- a/hw/ip/csrng/csrng.core +++ b/hw/ip/csrng/csrng.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:csrng:0.1" diff --git a/hw/ip/csrng/csrng_pkg.core b/hw/ip/csrng/csrng_pkg.core index a38e5a151c33e..83050ff03cdd9 100644 --- a/hw/ip/csrng/csrng_pkg.core +++ b/hw/ip/csrng/csrng_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:csrng_pkg:0.1" diff --git a/hw/ip/csrng/data/BUILD b/hw/ip/csrng/data/BUILD index e163f081ce110..b4cb266e0928f 100644 --- a/hw/ip/csrng/data/BUILD +++ b/hw/ip/csrng/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/data/csrng.hjson b/hw/ip/csrng/data/csrng.hjson index f1377c96208d3..415ed310f2da1 100644 --- a/hw/ip/csrng/data/csrng.hjson +++ b/hw/ip/csrng/data/csrng.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { name: "csrng", diff --git a/hw/ip/csrng/data/csrng_sec_cm_testplan.hjson b/hw/ip/csrng/data/csrng_sec_cm_testplan.hjson index 46accee2ee06a..e90307caa8a24 100644 --- a/hw/ip/csrng/data/csrng_sec_cm_testplan.hjson +++ b/hw/ip/csrng/data/csrng_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/data/csrng_testplan.hjson b/hw/ip/csrng/data/csrng_testplan.hjson index e354dab6d6572..2b24e44154f66 100644 --- a/hw/ip/csrng/data/csrng_testplan.hjson +++ b/hw/ip/csrng/data/csrng_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/csrng/dv/cov/csrng_cov.core b/hw/ip/csrng/dv/cov/csrng_cov.core index 9282a3b2756da..110783d3ff0a3 100644 --- a/hw/ip/csrng/dv/cov/csrng_cov.core +++ b/hw/ip/csrng/dv/cov/csrng_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_cov" diff --git a/hw/ip/csrng/dv/cov/csrng_cov_bind.sv b/hw/ip/csrng/dv/cov/csrng_cov_bind.sv index 99e63f9e1fb4b..182335d93a8da 100644 --- a/hw/ip/csrng/dv/cov/csrng_cov_bind.sv +++ b/hw/ip/csrng/dv/cov/csrng_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/dv/cov/csrng_cov_if.sv b/hw/ip/csrng/dv/cov/csrng_cov_if.sv index 534db7a1f98da..9cb74d87748e0 100644 --- a/hw/ip/csrng/dv/cov/csrng_cov_if.sv +++ b/hw/ip/csrng/dv/cov/csrng_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/dv/cov/csrng_v2s_exclusions.vRefine b/hw/ip/csrng/dv/cov/csrng_v2s_exclusions.vRefine index 41239b1f139c3..8f13b95030d60 100644 --- a/hw/ip/csrng/dv/cov/csrng_v2s_exclusions.vRefine +++ b/hw/ip/csrng/dv/cov/csrng_v2s_exclusions.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/csrng/dv/csrng_sim.core b/hw/ip/csrng/dv/csrng_sim.core index 22367dbf67f56..b1fd2ed41b2a3 100644 --- a/hw/ip/csrng/dv/csrng_sim.core +++ b/hw/ip/csrng/dv/csrng_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_sim:0.1" diff --git a/hw/ip/csrng/dv/csrng_sim_cfg.hjson b/hw/ip/csrng/dv/csrng_sim_cfg.hjson index a7451c1a2264c..aefd5a3b801fc 100644 --- a/hw/ip/csrng/dv/csrng_sim_cfg.hjson +++ b/hw/ip/csrng/dv/csrng_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/csrng/dv/env/csrng_agents_if.sv b/hw/ip/csrng/dv/env/csrng_agents_if.sv index 22f68c8993a61..f645208c20067 100644 --- a/hw/ip/csrng/dv/env/csrng_agents_if.sv +++ b/hw/ip/csrng/dv/env/csrng_agents_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_env.core b/hw/ip/csrng/dv/env/csrng_env.core index 38b4cf52c920d..0cc2fa7045ee6 100644 --- a/hw/ip/csrng/dv/env/csrng_env.core +++ b/hw/ip/csrng/dv/env/csrng_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_env:0.1" diff --git a/hw/ip/csrng/dv/env/csrng_env.sv b/hw/ip/csrng/dv/env/csrng_env.sv index 96b38b254c453..c8138397445ba 100644 --- a/hw/ip/csrng/dv/env/csrng_env.sv +++ b/hw/ip/csrng/dv/env/csrng_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_env_cfg.sv b/hw/ip/csrng/dv/env/csrng_env_cfg.sv index 18b0ec5981805..3cfb4cb78f156 100644 --- a/hw/ip/csrng/dv/env/csrng_env_cfg.sv +++ b/hw/ip/csrng/dv/env/csrng_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_env_cov.sv b/hw/ip/csrng/dv/env/csrng_env_cov.sv index 8cfecae366177..72a4d7a53303a 100644 --- a/hw/ip/csrng/dv/env/csrng_env_cov.sv +++ b/hw/ip/csrng/dv/env/csrng_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_env_pkg.sv b/hw/ip/csrng/dv/env/csrng_env_pkg.sv index 88470b7770087..598817e42225b 100644 --- a/hw/ip/csrng/dv/env/csrng_env_pkg.sv +++ b/hw/ip/csrng/dv/env/csrng_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_path_if.sv b/hw/ip/csrng/dv/env/csrng_path_if.sv index eedcc79638c2a..7ad48c4faf9d6 100644 --- a/hw/ip/csrng/dv/env/csrng_path_if.sv +++ b/hw/ip/csrng/dv/env/csrng_path_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_scoreboard.sv b/hw/ip/csrng/dv/env/csrng_scoreboard.sv index c13b53558f568..c48b038eab993 100644 --- a/hw/ip/csrng/dv/env/csrng_scoreboard.sv +++ b/hw/ip/csrng/dv/env/csrng_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/csrng_virtual_sequencer.sv b/hw/ip/csrng/dv/env/csrng_virtual_sequencer.sv index 02225bd228aec..ec4197864ad33 100644 --- a/hw/ip/csrng/dv/env/csrng_virtual_sequencer.sv +++ b/hw/ip/csrng/dv/env/csrng_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_alert_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_alert_vseq.sv index a572788e2a7e2..3e4eb3b1a12ff 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_alert_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_alert_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_base_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_base_vseq.sv index 73cb9f065fa98..7d5bfdc35e829 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_base_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_cmds_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_cmds_vseq.sv index 8af40bd7fd3a8..3a6028d6b5066 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_cmds_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_cmds_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_common_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_common_vseq.sv index db8d15d95d15d..c36c87d305faf 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_common_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_err_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_err_vseq.sv index 6ff4b8e3c4b51..5245d329aa1fe 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_err_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_intr_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_intr_vseq.sv index 6de3745bd1917..ae20c0a715d69 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_intr_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_intr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_regwen_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_regwen_vseq.sv index aca8a57a6f36c..2719e89583059 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_regwen_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_smoke_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_smoke_vseq.sv index ff6e7b9940a6a..7aa3bd4d9ed94 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_smoke_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_stress_all_vseq.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_stress_all_vseq.sv index ad28f3b763e6c..02a36acb69400 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_stress_all_vseq.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/env/seq_lib/csrng_vseq_list.sv b/hw/ip/csrng/dv/env/seq_lib/csrng_vseq_list.sv index 0ede935bc5ce3..0e99c6c683530 100644 --- a/hw/ip/csrng/dv/env/seq_lib/csrng_vseq_list.sv +++ b/hw/ip/csrng/dv/env/seq_lib/csrng_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/sva/csrng_assert_if.sv b/hw/ip/csrng/dv/sva/csrng_assert_if.sv index 04c5ee80c84fd..d489a5a134c16 100644 --- a/hw/ip/csrng/dv/sva/csrng_assert_if.sv +++ b/hw/ip/csrng/dv/sva/csrng_assert_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/sva/csrng_bind.sv b/hw/ip/csrng/dv/sva/csrng_bind.sv index cd81161bdedac..31f5eddd68cc5 100644 --- a/hw/ip/csrng/dv/sva/csrng_bind.sv +++ b/hw/ip/csrng/dv/sva/csrng_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/sva/csrng_sva.core b/hw/ip/csrng/dv/sva/csrng_sva.core index 5461bdd276b27..7fd31f91c95ec 100644 --- a/hw/ip/csrng/dv/sva/csrng_sva.core +++ b/hw/ip/csrng/dv/sva/csrng_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_sva:0.1" diff --git a/hw/ip/csrng/dv/tb.sv b/hw/ip/csrng/dv/tb.sv index 910d8a5bbc654..1ed1e8d18ccf4 100644 --- a/hw/ip/csrng/dv/tb.sv +++ b/hw/ip/csrng/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/dv/tests/csrng_alert_test.sv b/hw/ip/csrng/dv/tests/csrng_alert_test.sv index d09c810d1d970..7f85c63f75c26 100644 --- a/hw/ip/csrng/dv/tests/csrng_alert_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_alert_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_base_test.sv b/hw/ip/csrng/dv/tests/csrng_base_test.sv index 7fea589f35a61..dfa5dfa277881 100644 --- a/hw/ip/csrng/dv/tests/csrng_base_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_cmds_test.sv b/hw/ip/csrng/dv/tests/csrng_cmds_test.sv index 22c5c7df50a46..677c0c7739918 100644 --- a/hw/ip/csrng/dv/tests/csrng_cmds_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_cmds_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_intr_test.sv b/hw/ip/csrng/dv/tests/csrng_intr_test.sv index 764729228f932..8bc061e4c9dcb 100644 --- a/hw/ip/csrng/dv/tests/csrng_intr_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_intr_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_regwen_test.sv b/hw/ip/csrng/dv/tests/csrng_regwen_test.sv index 06a6f6c27f1d8..b37bfa52270a6 100644 --- a/hw/ip/csrng/dv/tests/csrng_regwen_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_regwen_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_smoke_test.sv b/hw/ip/csrng/dv/tests/csrng_smoke_test.sv index 7f15339a4dfd3..cda5ad5b2c48f 100644 --- a/hw/ip/csrng/dv/tests/csrng_smoke_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_smoke_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_stress_all_test.sv b/hw/ip/csrng/dv/tests/csrng_stress_all_test.sv index d8b461f7cc5d9..8da5908ed0c90 100644 --- a/hw/ip/csrng/dv/tests/csrng_stress_all_test.sv +++ b/hw/ip/csrng/dv/tests/csrng_stress_all_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/dv/tests/csrng_test.core b/hw/ip/csrng/dv/tests/csrng_test.core index 8793724ca5e64..cdff13c93d85c 100644 --- a/hw/ip/csrng/dv/tests/csrng_test.core +++ b/hw/ip/csrng/dv/tests/csrng_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:csrng_test:0.1" diff --git a/hw/ip/csrng/dv/tests/csrng_test_pkg.sv b/hw/ip/csrng/dv/tests/csrng_test_pkg.sv index 5916b27e2ce40..ed65eb4797bf8 100644 --- a/hw/ip/csrng/dv/tests/csrng_test_pkg.sv +++ b/hw/ip/csrng/dv/tests/csrng_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/csrng/lint/csrng.vlt b/hw/ip/csrng/lint/csrng.vlt index 31bd4db6a7c87..411a513640524 100644 --- a/hw/ip/csrng/lint/csrng.vlt +++ b/hw/ip/csrng/lint/csrng.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/lint/csrng.waiver b/hw/ip/csrng/lint/csrng.waiver index 75a4e51a72567..293711f37a204 100644 --- a/hw/ip/csrng/lint/csrng.waiver +++ b/hw/ip/csrng/lint/csrng.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/csrng/rtl/csrng.sv b/hw/ip/csrng/rtl/csrng.sv index 8b0247e94e7dd..8e7657a92326d 100644 --- a/hw/ip/csrng/rtl/csrng.sv +++ b/hw/ip/csrng/rtl/csrng.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_block_encrypt.sv b/hw/ip/csrng/rtl/csrng_block_encrypt.sv index b9885eebab543..05c23deddd554 100644 --- a/hw/ip/csrng/rtl/csrng_block_encrypt.sv +++ b/hw/ip/csrng/rtl/csrng_block_encrypt.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_cmd_stage.sv b/hw/ip/csrng/rtl/csrng_cmd_stage.sv index 4af7fd2abeb64..e624214931a2c 100644 --- a/hw/ip/csrng/rtl/csrng_cmd_stage.sv +++ b/hw/ip/csrng/rtl/csrng_cmd_stage.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_core.sv b/hw/ip/csrng/rtl/csrng_core.sv index bd39f37b7871d..6da1f46e9dff3 100644 --- a/hw/ip/csrng/rtl/csrng_core.sv +++ b/hw/ip/csrng/rtl/csrng_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_ctr_drbg_cmd.sv b/hw/ip/csrng/rtl/csrng_ctr_drbg_cmd.sv index d4df09ade5b18..2bc3a3dd9dcc9 100644 --- a/hw/ip/csrng/rtl/csrng_ctr_drbg_cmd.sv +++ b/hw/ip/csrng/rtl/csrng_ctr_drbg_cmd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_ctr_drbg_gen.sv b/hw/ip/csrng/rtl/csrng_ctr_drbg_gen.sv index c02272a39748a..0e39a104b7d34 100644 --- a/hw/ip/csrng/rtl/csrng_ctr_drbg_gen.sv +++ b/hw/ip/csrng/rtl/csrng_ctr_drbg_gen.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_ctr_drbg_upd.sv b/hw/ip/csrng/rtl/csrng_ctr_drbg_upd.sv index fc4011c588d73..f5c369239e1bc 100644 --- a/hw/ip/csrng/rtl/csrng_ctr_drbg_upd.sv +++ b/hw/ip/csrng/rtl/csrng_ctr_drbg_upd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_main_sm.sv b/hw/ip/csrng/rtl/csrng_main_sm.sv index 4149ac07465ca..18f362e72756e 100644 --- a/hw/ip/csrng/rtl/csrng_main_sm.sv +++ b/hw/ip/csrng/rtl/csrng_main_sm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_pkg.sv b/hw/ip/csrng/rtl/csrng_pkg.sv index 86db5855c351c..b0f2ea86e5335 100644 --- a/hw/ip/csrng/rtl/csrng_pkg.sv +++ b/hw/ip/csrng/rtl/csrng_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_reg_pkg.sv b/hw/ip/csrng/rtl/csrng_reg_pkg.sv index e2f4d9e212080..05e9ccdba61b5 100644 --- a/hw/ip/csrng/rtl/csrng_reg_pkg.sv +++ b/hw/ip/csrng/rtl/csrng_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_reg_top.sv b/hw/ip/csrng/rtl/csrng_reg_top.sv index 18705f67b7259..95d6c07f9f770 100644 --- a/hw/ip/csrng/rtl/csrng_reg_top.sv +++ b/hw/ip/csrng/rtl/csrng_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/csrng/rtl/csrng_state_db.sv b/hw/ip/csrng/rtl/csrng_state_db.sv index 7d2d1d2edad7e..ebbe249b2ab5d 100644 --- a/hw/ip/csrng/rtl/csrng_state_db.sv +++ b/hw/ip/csrng/rtl/csrng_state_db.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/BUILD b/hw/ip/edn/BUILD index 56b2bdb2b9bf9..a2bf8a12f8e87 100644 --- a/hw/ip/edn/BUILD +++ b/hw/ip/edn/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/data/BUILD b/hw/ip/edn/data/BUILD index 9ae647c7dd999..b17e6defee81e 100644 --- a/hw/ip/edn/data/BUILD +++ b/hw/ip/edn/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/data/edn.hjson b/hw/ip/edn/data/edn.hjson index 119745024c151..6f860df2f762e 100644 --- a/hw/ip/edn/data/edn.hjson +++ b/hw/ip/edn/data/edn.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/edn/data/edn_sec_cm_testplan.hjson b/hw/ip/edn/data/edn_sec_cm_testplan.hjson index 70eab409638bf..440c61a4f4a4a 100644 --- a/hw/ip/edn/data/edn_sec_cm_testplan.hjson +++ b/hw/ip/edn/data/edn_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/data/edn_testplan.hjson b/hw/ip/edn/data/edn_testplan.hjson index f997c159b7e00..1ae50402e57a0 100644 --- a/hw/ip/edn/data/edn_testplan.hjson +++ b/hw/ip/edn/data/edn_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/edn/dv/cov/edn_cov.core b/hw/ip/edn/dv/cov/edn_cov.core index 859837888880d..fc3b455dc1696 100644 --- a/hw/ip/edn/dv/cov/edn_cov.core +++ b/hw/ip/edn/dv/cov/edn_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:edn_cov" diff --git a/hw/ip/edn/dv/cov/edn_cov_bind.sv b/hw/ip/edn/dv/cov/edn_cov_bind.sv index 5bb9a2c0d7ca4..9a2505f2c1d6d 100644 --- a/hw/ip/edn/dv/cov/edn_cov_bind.sv +++ b/hw/ip/edn/dv/cov/edn_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/dv/cov/edn_cov_if.sv b/hw/ip/edn/dv/cov/edn_cov_if.sv index e2069e49a0d48..c22990b7a5c7f 100644 --- a/hw/ip/edn/dv/cov/edn_cov_if.sv +++ b/hw/ip/edn/dv/cov/edn_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/dv/cov/edn_fsm_excl.el b/hw/ip/edn/dv/cov/edn_fsm_excl.el index b7571ae6b9bde..abd9379fc7806 100644 --- a/hw/ip/edn/dv/cov/edn_fsm_excl.el +++ b/hw/ip/edn/dv/cov/edn_fsm_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/dv/edn_sim.core b/hw/ip/edn/dv/edn_sim.core index 782ea297c358b..fda3ec12b0db5 100644 --- a/hw/ip/edn/dv/edn_sim.core +++ b/hw/ip/edn/dv/edn_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:edn_sim:0.1" diff --git a/hw/ip/edn/dv/edn_sim_cfg.hjson b/hw/ip/edn/dv/edn_sim_cfg.hjson index 84bc8909e631f..c3011d3029c4d 100644 --- a/hw/ip/edn/dv/edn_sim_cfg.hjson +++ b/hw/ip/edn/dv/edn_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/edn/dv/env/edn_env.core b/hw/ip/edn/dv/env/edn_env.core index 0f892f0359b0b..055db17bd6a2f 100644 --- a/hw/ip/edn/dv/env/edn_env.core +++ b/hw/ip/edn/dv/env/edn_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:edn_env:0.1" diff --git a/hw/ip/edn/dv/env/edn_env.sv b/hw/ip/edn/dv/env/edn_env.sv index baa1aa5b243a0..dc899128c9ae9 100644 --- a/hw/ip/edn/dv/env/edn_env.sv +++ b/hw/ip/edn/dv/env/edn_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_env_cfg.sv b/hw/ip/edn/dv/env/edn_env_cfg.sv index ef53fd96a3e5e..86e711c27addb 100644 --- a/hw/ip/edn/dv/env/edn_env_cfg.sv +++ b/hw/ip/edn/dv/env/edn_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_env_cov.sv b/hw/ip/edn/dv/env/edn_env_cov.sv index 9c0053f15ad9a..5e1c667951e59 100644 --- a/hw/ip/edn/dv/env/edn_env_cov.sv +++ b/hw/ip/edn/dv/env/edn_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_env_pkg.sv b/hw/ip/edn/dv/env/edn_env_pkg.sv index 867c22e109593..5bf359a26a1f9 100644 --- a/hw/ip/edn/dv/env/edn_env_pkg.sv +++ b/hw/ip/edn/dv/env/edn_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_if.sv b/hw/ip/edn/dv/env/edn_if.sv index cda6124ca3c11..ff75bef238431 100644 --- a/hw/ip/edn/dv/env/edn_if.sv +++ b/hw/ip/edn/dv/env/edn_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_scoreboard.sv b/hw/ip/edn/dv/env/edn_scoreboard.sv index 8b13bd2cee557..20c0e3fb56510 100644 --- a/hw/ip/edn/dv/env/edn_scoreboard.sv +++ b/hw/ip/edn/dv/env/edn_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/edn_virtual_sequencer.sv b/hw/ip/edn/dv/env/edn_virtual_sequencer.sv index e299069ba491a..939b6ba02742b 100644 --- a/hw/ip/edn/dv/env/edn_virtual_sequencer.sv +++ b/hw/ip/edn/dv/env/edn_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_alert_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_alert_vseq.sv index ce24e19f8f014..91c388fce4727 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_alert_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_alert_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_base_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_base_vseq.sv index eaee77915dfbf..40f86ad4127fd 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_base_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_common_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_common_vseq.sv index 1291218eb43da..062929f3cb68e 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_common_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_disable_auto_req_mode_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_disable_auto_req_mode_vseq.sv index 806ade77559bd..dc83b10f649f7 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_disable_auto_req_mode_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_disable_auto_req_mode_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_disable_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_disable_vseq.sv index 0a76716977d06..c3087828492a7 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_disable_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_disable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_err_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_err_vseq.sv index 131dda539fd28..3c6c1c57c2ee9 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_err_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_genbits_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_genbits_vseq.sv index 841aa4ef1be50..f98a796b4b38c 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_genbits_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_genbits_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_intr_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_intr_vseq.sv index 1b461f525be78..3b8406ee807c8 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_intr_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_intr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_regwen_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_regwen_vseq.sv index 2a9b50030f2d6..0d2651fbed934 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_regwen_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_smoke_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_smoke_vseq.sv index 8a8275f9b9eb9..5d536b7997b11 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_smoke_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_stress_all_vseq.sv b/hw/ip/edn/dv/env/seq_lib/edn_stress_all_vseq.sv index 06d9655209c8d..155e7e0d97f0f 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_stress_all_vseq.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/env/seq_lib/edn_vseq_list.sv b/hw/ip/edn/dv/env/seq_lib/edn_vseq_list.sv index a0706dbe81744..01702e75fd10f 100644 --- a/hw/ip/edn/dv/env/seq_lib/edn_vseq_list.sv +++ b/hw/ip/edn/dv/env/seq_lib/edn_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/sva/edn_assert_if.sv b/hw/ip/edn/dv/sva/edn_assert_if.sv index cf4cae80a1f1a..c5baeb0c8cd83 100644 --- a/hw/ip/edn/dv/sva/edn_assert_if.sv +++ b/hw/ip/edn/dv/sva/edn_assert_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/sva/edn_bind.sv b/hw/ip/edn/dv/sva/edn_bind.sv index 3c04a355c3aa8..674809ddef438 100644 --- a/hw/ip/edn/dv/sva/edn_bind.sv +++ b/hw/ip/edn/dv/sva/edn_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/sva/edn_sva.core b/hw/ip/edn/dv/sva/edn_sva.core index 55de512259d93..50339ebde8ead 100644 --- a/hw/ip/edn/dv/sva/edn_sva.core +++ b/hw/ip/edn/dv/sva/edn_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:edn_sva:0.1" diff --git a/hw/ip/edn/dv/tb.sv b/hw/ip/edn/dv/tb.sv index 29f07625a969c..a049ad76f1ac2 100644 --- a/hw/ip/edn/dv/tb.sv +++ b/hw/ip/edn/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/dv/tests/edn_alert_test.sv b/hw/ip/edn/dv/tests/edn_alert_test.sv index b7a5532d81f59..31c8f1148eeee 100644 --- a/hw/ip/edn/dv/tests/edn_alert_test.sv +++ b/hw/ip/edn/dv/tests/edn_alert_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_base_test.sv b/hw/ip/edn/dv/tests/edn_base_test.sv index 6cca685481742..6a3487c31f53f 100644 --- a/hw/ip/edn/dv/tests/edn_base_test.sv +++ b/hw/ip/edn/dv/tests/edn_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_disable_auto_req_mode_test.sv b/hw/ip/edn/dv/tests/edn_disable_auto_req_mode_test.sv index 9af32e19478c0..2f5bbe630fed1 100644 --- a/hw/ip/edn/dv/tests/edn_disable_auto_req_mode_test.sv +++ b/hw/ip/edn/dv/tests/edn_disable_auto_req_mode_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_disable_test.sv b/hw/ip/edn/dv/tests/edn_disable_test.sv index cfbeacfa99576..e19ce41562238 100644 --- a/hw/ip/edn/dv/tests/edn_disable_test.sv +++ b/hw/ip/edn/dv/tests/edn_disable_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_err_test.sv b/hw/ip/edn/dv/tests/edn_err_test.sv index 1eaad985abba1..f063853b7516f 100644 --- a/hw/ip/edn/dv/tests/edn_err_test.sv +++ b/hw/ip/edn/dv/tests/edn_err_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_genbits_test.sv b/hw/ip/edn/dv/tests/edn_genbits_test.sv index 24fd2cfbb2f9b..ca53c46d0b9a2 100644 --- a/hw/ip/edn/dv/tests/edn_genbits_test.sv +++ b/hw/ip/edn/dv/tests/edn_genbits_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_intr_test.sv b/hw/ip/edn/dv/tests/edn_intr_test.sv index ed9df32f567b6..851e745d85052 100644 --- a/hw/ip/edn/dv/tests/edn_intr_test.sv +++ b/hw/ip/edn/dv/tests/edn_intr_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_smoke_test.sv b/hw/ip/edn/dv/tests/edn_smoke_test.sv index 758126dd6f458..61f7f287e96ec 100644 --- a/hw/ip/edn/dv/tests/edn_smoke_test.sv +++ b/hw/ip/edn/dv/tests/edn_smoke_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_stress_all_test.sv b/hw/ip/edn/dv/tests/edn_stress_all_test.sv index 8c38184778b7b..6c4b0f338a0bc 100644 --- a/hw/ip/edn/dv/tests/edn_stress_all_test.sv +++ b/hw/ip/edn/dv/tests/edn_stress_all_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/dv/tests/edn_test.core b/hw/ip/edn/dv/tests/edn_test.core index f658b239177c8..90d1e789857d2 100644 --- a/hw/ip/edn/dv/tests/edn_test.core +++ b/hw/ip/edn/dv/tests/edn_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:edn_test:0.1" diff --git a/hw/ip/edn/dv/tests/edn_test_pkg.sv b/hw/ip/edn/dv/tests/edn_test_pkg.sv index b7e3d253ca496..1e7672a89e482 100644 --- a/hw/ip/edn/dv/tests/edn_test_pkg.sv +++ b/hw/ip/edn/dv/tests/edn_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/edn/edn.core b/hw/ip/edn/edn.core index 618b010e61d22..f67b0c75d68bc 100644 --- a/hw/ip/edn/edn.core +++ b/hw/ip/edn/edn.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:edn:0.1" diff --git a/hw/ip/edn/edn_pkg.core b/hw/ip/edn/edn_pkg.core index b3350e197c8c4..9251e7d68fc6c 100644 --- a/hw/ip/edn/edn_pkg.core +++ b/hw/ip/edn/edn_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:edn_pkg:0.1" diff --git a/hw/ip/edn/lint/edn.vlt b/hw/ip/edn/lint/edn.vlt index 536fe72ea3bc7..06608785c9a64 100644 --- a/hw/ip/edn/lint/edn.vlt +++ b/hw/ip/edn/lint/edn.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/lint/edn.waiver b/hw/ip/edn/lint/edn.waiver index 9069e1625f279..7cacd494a01ea 100644 --- a/hw/ip/edn/lint/edn.waiver +++ b/hw/ip/edn/lint/edn.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/edn/rtl/edn.sv b/hw/ip/edn/rtl/edn.sv index e5cd39b604433..abae6a9bd8678 100644 --- a/hw/ip/edn/rtl/edn.sv +++ b/hw/ip/edn/rtl/edn.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_ack_sm.sv b/hw/ip/edn/rtl/edn_ack_sm.sv index 80ece5e97eec4..d3b80b472f76c 100644 --- a/hw/ip/edn/rtl/edn_ack_sm.sv +++ b/hw/ip/edn/rtl/edn_ack_sm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_core.sv b/hw/ip/edn/rtl/edn_core.sv index 009e5cc031be2..5d0e91fb08968 100644 --- a/hw/ip/edn/rtl/edn_core.sv +++ b/hw/ip/edn/rtl/edn_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_field_en.sv b/hw/ip/edn/rtl/edn_field_en.sv index e79569d94714e..1b52505374fe6 100644 --- a/hw/ip/edn/rtl/edn_field_en.sv +++ b/hw/ip/edn/rtl/edn_field_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_main_sm.sv b/hw/ip/edn/rtl/edn_main_sm.sv index b0706059906fa..9130b663bb919 100644 --- a/hw/ip/edn/rtl/edn_main_sm.sv +++ b/hw/ip/edn/rtl/edn_main_sm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_pkg.sv b/hw/ip/edn/rtl/edn_pkg.sv index b717ce974f143..0fa0d39b7da4f 100644 --- a/hw/ip/edn/rtl/edn_pkg.sv +++ b/hw/ip/edn/rtl/edn_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_reg_pkg.sv b/hw/ip/edn/rtl/edn_reg_pkg.sv index d47f729bd82ba..50dbbac573b1c 100644 --- a/hw/ip/edn/rtl/edn_reg_pkg.sv +++ b/hw/ip/edn/rtl/edn_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/edn/rtl/edn_reg_top.sv b/hw/ip/edn/rtl/edn_reg_top.sv index 956d0016f7c1c..0d7987ac5a699 100644 --- a/hw/ip/edn/rtl/edn_reg_top.sv +++ b/hw/ip/edn/rtl/edn_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/BUILD b/hw/ip/entropy_src/BUILD index 8cf5f7039b9b9..8bf366be78a1c 100644 --- a/hw/ip/entropy_src/BUILD +++ b/hw/ip/entropy_src/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/data/BUILD b/hw/ip/entropy_src/data/BUILD index ef3496f02c4f7..a72df9dca26cf 100644 --- a/hw/ip/entropy_src/data/BUILD +++ b/hw/ip/entropy_src/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/data/entropy_src.hjson b/hw/ip/entropy_src/data/entropy_src.hjson index bbc209b821089..19f995338399e 100644 --- a/hw/ip/entropy_src/data/entropy_src.hjson +++ b/hw/ip/entropy_src/data/entropy_src.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { name: "entropy_src", diff --git a/hw/ip/entropy_src/data/entropy_src_sec_cm_testplan.hjson b/hw/ip/entropy_src/data/entropy_src_sec_cm_testplan.hjson index 4f73444e57257..832a7ce864d29 100644 --- a/hw/ip/entropy_src/data/entropy_src_sec_cm_testplan.hjson +++ b/hw/ip/entropy_src/data/entropy_src_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/data/entropy_src_testplan.hjson b/hw/ip/entropy_src/data/entropy_src_testplan.hjson index 3698f0aee5e71..816c585674fa6 100644 --- a/hw/ip/entropy_src/data/entropy_src_testplan.hjson +++ b/hw/ip/entropy_src/data/entropy_src_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_UNR.vRefine b/hw/ip/entropy_src/dv/cov/entropy_src_UNR.vRefine index 1ec3b73644f5b..7deddd06b7c25 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_UNR.vRefine +++ b/hw/ip/entropy_src/dv/cov/entropy_src_UNR.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_cnt_err_excl.vRefine b/hw/ip/entropy_src/dv/cov/entropy_src_cnt_err_excl.vRefine index 0a6478233d065..1ffec5c8feeae 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_cnt_err_excl.vRefine +++ b/hw/ip/entropy_src/dv/cov/entropy_src_cnt_err_excl.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_cnt_out_excl.vRefine b/hw/ip/entropy_src/dv/cov/entropy_src_cnt_out_excl.vRefine index 3e897e245a900..be51335d57ba3 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_cnt_out_excl.vRefine +++ b/hw/ip/entropy_src/dv/cov/entropy_src_cnt_out_excl.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_cov.core b/hw/ip/entropy_src/dv/cov/entropy_src_cov.core index b0ba7fd6807d8..cd1ee30b121ba 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_cov.core +++ b/hw/ip/entropy_src/dv/cov/entropy_src_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_cov" diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_cov_bind.sv b/hw/ip/entropy_src/dv/cov/entropy_src_cov_bind.sv index 9913d8d56a35e..1ff1a0109a367 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_cov_bind.sv +++ b/hw/ip/entropy_src/dv/cov/entropy_src_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_cov_if.sv b/hw/ip/entropy_src/dv/cov/entropy_src_cov_if.sv index bf4d3a02e036b..9b0b6ada3c100 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_cov_if.sv +++ b/hw/ip/entropy_src/dv/cov/entropy_src_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_extht_exclusions.vRefine b/hw/ip/entropy_src/dv/cov/entropy_src_extht_exclusions.vRefine index fc550521fe91a..52b1b56c374d6 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_extht_exclusions.vRefine +++ b/hw/ip/entropy_src/dv/cov/entropy_src_extht_exclusions.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/entropy_src/dv/cov/entropy_src_fsm_cov_if.sv b/hw/ip/entropy_src/dv/cov/entropy_src_fsm_cov_if.sv index 0c82de81a8c69..5713cebbc2f92 100644 --- a/hw/ip/entropy_src/dv/cov/entropy_src_fsm_cov_if.sv +++ b/hw/ip/entropy_src/dv/cov/entropy_src_fsm_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/dv/entropy_src_sim.core b/hw/ip/entropy_src/dv/entropy_src_sim.core index 553ed353023b9..c4e2387575660 100644 --- a/hw/ip/entropy_src/dv/entropy_src_sim.core +++ b/hw/ip/entropy_src/dv/entropy_src_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_sim:0.1" diff --git a/hw/ip/entropy_src/dv/entropy_src_sim_cfg.hjson b/hw/ip/entropy_src/dv/entropy_src_sim_cfg.hjson index 2b203d106510d..2a1f1a7a2c135 100644 --- a/hw/ip/entropy_src/dv/entropy_src_sim_cfg.hjson +++ b/hw/ip/entropy_src/dv/entropy_src_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/entropy_src/dv/env/entropy_src_dut_cfg.sv b/hw/ip/entropy_src/dv/env/entropy_src_dut_cfg.sv index 9781d0e9158f5..102f1745fa393 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_dut_cfg.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_dut_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_env.core b/hw/ip/entropy_src/dv/env/entropy_src_env.core index e74ea955ce934..0cb2db904b05e 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_env.core +++ b/hw/ip/entropy_src/dv/env/entropy_src_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_env:0.1" diff --git a/hw/ip/entropy_src/dv/env/entropy_src_env.sv b/hw/ip/entropy_src/dv/env/entropy_src_env.sv index 41d17f9f28270..e4d3f5cb40e13 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_env.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_env_cfg.sv b/hw/ip/entropy_src/dv/env/entropy_src_env_cfg.sv index d40f43702edb3..b563b1029e13f 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_env_cfg.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_env_cov.sv b/hw/ip/entropy_src/dv/env/entropy_src_env_cov.sv index 1863f89f93e99..fad4a807b4b7d 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_env_cov.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_env_pkg.sv b/hw/ip/entropy_src/dv/env/entropy_src_env_pkg.sv index 31fcd347e07e5..879bde4868c68 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_env_pkg.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_path_if.sv b/hw/ip/entropy_src/dv/env/entropy_src_path_if.sv index 69108171fd256..68867ef34358c 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_path_if.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_path_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_scoreboard.sv b/hw/ip/entropy_src/dv/env/entropy_src_scoreboard.sv index a2edd08277983..e2fed1a52adb4 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_scoreboard.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/entropy_src_virtual_sequencer.sv b/hw/ip/entropy_src/dv/env/entropy_src_virtual_sequencer.sv index c4ee8f7165bba..8d67fa791652c 100644 --- a/hw/ip/entropy_src/dv/env/entropy_src_virtual_sequencer.sv +++ b/hw/ip/entropy_src/dv/env/entropy_src_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_alert_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_alert_vseq.sv index b76544a72fbff..78255c9081fc6 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_alert_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_alert_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_rng_seq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_rng_seq.sv index d9143419ee841..767bf3d7eb5b4 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_rng_seq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_rng_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_vseq.sv index 0cab046eef7ba..41f51b6dc1f66 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_cfg_regwen_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_cfg_regwen_vseq.sv index e961f1669688c..b3afdfa522d1d 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_cfg_regwen_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_cfg_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_common_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_common_vseq.sv index 81b0fc1330723..297c449f98535 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_common_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_err_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_err_vseq.sv index b575c93dca22e..dbf0c0cbb80b5 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_err_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_fw_ov_contiguous_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_fw_ov_contiguous_vseq.sv index bb57bb6cae153..77261708fc134 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_fw_ov_contiguous_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_fw_ov_contiguous_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_intr_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_intr_vseq.sv index 9ed000ec95ee3..41b528bb566b7 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_intr_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_intr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_rng_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_rng_vseq.sv index a16590edda636..b31a9a4b397eb 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_rng_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_rng_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_smoke_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_smoke_vseq.sv index 43275c9ca4e2c..54d7b927f6b17 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_smoke_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_stress_all_vseq.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_stress_all_vseq.sv index 9e5c05e28ef23..7307f580d21cd 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_stress_all_vseq.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_vseq_list.sv b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_vseq_list.sv index fb05a791507e1..a42a567ae4b81 100644 --- a/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_vseq_list.sv +++ b/hw/ip/entropy_src/dv/env/seq_lib/entropy_src_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/sva/entropy_src_assert_if.sv b/hw/ip/entropy_src/dv/sva/entropy_src_assert_if.sv index 04254987675bd..422c6a62c8187 100644 --- a/hw/ip/entropy_src/dv/sva/entropy_src_assert_if.sv +++ b/hw/ip/entropy_src/dv/sva/entropy_src_assert_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/sva/entropy_src_bind.sv b/hw/ip/entropy_src/dv/sva/entropy_src_bind.sv index c791fa98efb28..8bcb853abf43d 100644 --- a/hw/ip/entropy_src/dv/sva/entropy_src_bind.sv +++ b/hw/ip/entropy_src/dv/sva/entropy_src_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/sva/entropy_src_sva.core b/hw/ip/entropy_src/dv/sva/entropy_src_sva.core index a25fd8b292135..23c1d3201ae1b 100644 --- a/hw/ip/entropy_src/dv/sva/entropy_src_sva.core +++ b/hw/ip/entropy_src/dv/sva/entropy_src_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_sva:0.1" diff --git a/hw/ip/entropy_src/dv/tb/tb.sv b/hw/ip/entropy_src/dv/tb/tb.sv index 080afa838357b..67736807d1802 100644 --- a/hw/ip/entropy_src/dv/tb/tb.sv +++ b/hw/ip/entropy_src/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_alert_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_alert_test.sv index 444d39680fa3c..331e9df346609 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_alert_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_alert_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_base_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_base_test.sv index 4837df282b79b..a4956af214450 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_base_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_cfg_regwen_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_cfg_regwen_test.sv index a6232e0f63513..bdd82844e25a6 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_cfg_regwen_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_cfg_regwen_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_functional_errors_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_functional_errors_test.sv index 7d83182c416f4..21f90289779c4 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_functional_errors_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_functional_errors_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_contiguous_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_contiguous_test.sv index 78965656446cd..ee7ddc3ac0589 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_contiguous_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_contiguous_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_test.sv index 6dbfe2c665a30..d4e4e0fcaf58d 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_fw_ov_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_intr_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_intr_test.sv index 88e8fff3720b9..040478eede241 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_intr_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_intr_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_rng_max_rate_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_rng_max_rate_test.sv index 275da90c4474c..06c084d90e69b 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_rng_max_rate_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_rng_max_rate_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_rng_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_rng_test.sv index 82388671412d5..27783322a4ffa 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_rng_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_rng_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_smoke_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_smoke_test.sv index 8f740df4a6601..eba390a623c19 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_smoke_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_smoke_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_stress_all_test.sv b/hw/ip/entropy_src/dv/tests/entropy_src_stress_all_test.sv index 1d4be8574cbd1..b2d06e7e23459 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_stress_all_test.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_stress_all_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_test.core b/hw/ip/entropy_src/dv/tests/entropy_src_test.core index b01b3100c31ed..8430eaa7cb9eb 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_test.core +++ b/hw/ip/entropy_src/dv/tests/entropy_src_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:entropy_src_test:0.1" diff --git a/hw/ip/entropy_src/dv/tests/entropy_src_test_pkg.sv b/hw/ip/entropy_src/dv/tests/entropy_src_test_pkg.sv index d157216177a8b..46870ffe375a4 100644 --- a/hw/ip/entropy_src/dv/tests/entropy_src_test_pkg.sv +++ b/hw/ip/entropy_src/dv/tests/entropy_src_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/entropy_src.core b/hw/ip/entropy_src/entropy_src.core index f53b74b730c2f..a00f592b9515a 100644 --- a/hw/ip/entropy_src/entropy_src.core +++ b/hw/ip/entropy_src/entropy_src.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:entropy_src:0.1" diff --git a/hw/ip/entropy_src/entropy_src_pkg.core b/hw/ip/entropy_src/entropy_src_pkg.core index ded3651487b4f..b03376d01e1f4 100644 --- a/hw/ip/entropy_src/entropy_src_pkg.core +++ b/hw/ip/entropy_src/entropy_src_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:entropy_src_pkg:0.1" diff --git a/hw/ip/entropy_src/lint/entropy_src.vlt b/hw/ip/entropy_src/lint/entropy_src.vlt index a6e0a4dfe13c1..74c0b310b0fb0 100644 --- a/hw/ip/entropy_src/lint/entropy_src.vlt +++ b/hw/ip/entropy_src/lint/entropy_src.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/lint/entropy_src.waiver b/hw/ip/entropy_src/lint/entropy_src.waiver index a32d00b48059e..c918353585fe1 100644 --- a/hw/ip/entropy_src/lint/entropy_src.waiver +++ b/hw/ip/entropy_src/lint/entropy_src.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/entropy_src/pre_dv/entropy_src_tb.sv b/hw/ip/entropy_src/pre_dv/entropy_src_tb.sv index 42638928a52ed..3d10fa0b99c51 100644 --- a/hw/ip/entropy_src/pre_dv/entropy_src_tb.sv +++ b/hw/ip/entropy_src/pre_dv/entropy_src_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src.sv b/hw/ip/entropy_src/rtl/entropy_src.sv index 1acbcf1ddee7d..2ee58b7c2af6d 100644 --- a/hw/ip/entropy_src/rtl/entropy_src.sv +++ b/hw/ip/entropy_src/rtl/entropy_src.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_ack_sm.sv b/hw/ip/entropy_src/rtl/entropy_src_ack_sm.sv index a5d12e750fac7..8e88bc5a2df58 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_ack_sm.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_ack_sm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.core b/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.core index 26f048fadaef0..d052af14ad24c 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.core +++ b/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.sv b/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.sv index 116a419f487c1..64a3c3a6cb992 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_ack_sm_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_adaptp_ht.sv b/hw/ip/entropy_src/rtl/entropy_src_adaptp_ht.sv index 7409c9e9db961..9651e7bb65236 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_adaptp_ht.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_adaptp_ht.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_bucket_ht.sv b/hw/ip/entropy_src/rtl/entropy_src_bucket_ht.sv index 12fabe44f9535..1bbe380a919b0 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_bucket_ht.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_bucket_ht.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_cntr_reg.sv b/hw/ip/entropy_src/rtl/entropy_src_cntr_reg.sv index 6b60c47a18f2b..d9080791b5a76 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_cntr_reg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_cntr_reg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_core.sv b/hw/ip/entropy_src/rtl/entropy_src_core.sv index a1db563963bb7..0f64f53b7e184 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_core.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_enable_delay.sv b/hw/ip/entropy_src/rtl/entropy_src_enable_delay.sv index d78aed814ea7b..31e58698307a0 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_enable_delay.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_enable_delay.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_field_en.sv b/hw/ip/entropy_src/rtl/entropy_src_field_en.sv index 70d75b7822f56..423167b822330 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_field_en.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_field_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_main_sm.sv b/hw/ip/entropy_src/rtl/entropy_src_main_sm.sv index 1076e7f582b54..ffee2d33f0a43 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_main_sm.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_main_sm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.core b/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.core index 415572db8cc2a..1f61b1e49009b 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.core +++ b/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # Licensed under the Apache License, Version 2.0, see LICENSE for details. diff --git a/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.sv b/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.sv index d663f7644c771..b7167b0293df0 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_main_sm_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_markov_ht.sv b/hw/ip/entropy_src/rtl/entropy_src_markov_ht.sv index 6304d5ee80f20..7db189d801559 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_markov_ht.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_markov_ht.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_pkg.sv b/hw/ip/entropy_src/rtl/entropy_src_pkg.sv index 0cf45c0bb4745..41f96d3b00b41 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_pkg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_reg_pkg.sv b/hw/ip/entropy_src/rtl/entropy_src_reg_pkg.sv index c2f9dd405ef47..e6433d7564792 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_reg_pkg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_reg_top.sv b/hw/ip/entropy_src/rtl/entropy_src_reg_top.sv index 8da3ba336b3a7..2f763378b4484 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_reg_top.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_repcnt_ht.sv b/hw/ip/entropy_src/rtl/entropy_src_repcnt_ht.sv index d1dbd0e0a4d84..e3abbb1bcd0a7 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_repcnt_ht.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_repcnt_ht.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_repcnts_ht.sv b/hw/ip/entropy_src/rtl/entropy_src_repcnts_ht.sv index 4a4dbf32c6e6b..c550da7189d49 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_repcnts_ht.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_repcnts_ht.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/entropy_src/rtl/entropy_src_watermark_reg.sv b/hw/ip/entropy_src/rtl/entropy_src_watermark_reg.sv index ae9cd1022c39c..c17f75ff919b1 100644 --- a/hw/ip/entropy_src/rtl/entropy_src_watermark_reg.sv +++ b/hw/ip/entropy_src/rtl/entropy_src_watermark_reg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/BUILD b/hw/ip/flash_ctrl/BUILD index 1f9b33d3e1be5..2bf74f6257aa3 100644 --- a/hw/ip/flash_ctrl/BUILD +++ b/hw/ip/flash_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/data/BUILD b/hw/ip/flash_ctrl/data/BUILD index a575ab8778c05..9ea6dbd81c22e 100644 --- a/hw/ip/flash_ctrl/data/BUILD +++ b/hw/ip/flash_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/data/flash_ctrl.hjson b/hw/ip/flash_ctrl/data/flash_ctrl.hjson index f37fd102ece06..d553db7100262 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl.hjson +++ b/hw/ip/flash_ctrl/data/flash_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/data/flash_ctrl.hjson.tpl b/hw/ip/flash_ctrl/data/flash_ctrl.hjson.tpl index 4331e3d106956..28f23c10fb0d3 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl.hjson.tpl +++ b/hw/ip/flash_ctrl/data/flash_ctrl.hjson.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/data/flash_ctrl.sv.tpl b/hw/ip/flash_ctrl/data/flash_ctrl.sv.tpl index ccda5a518c8d8..a2bdb576b1d83 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl.sv.tpl +++ b/hw/ip/flash_ctrl/data/flash_ctrl.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/data/flash_ctrl_pkg.sv.tpl b/hw/ip/flash_ctrl/data/flash_ctrl_pkg.sv.tpl index 0f72bf5b5d50f..b6938c5e75928 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl_pkg.sv.tpl +++ b/hw/ip/flash_ctrl/data/flash_ctrl_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/data/flash_ctrl_region_cfg.sv.tpl b/hw/ip/flash_ctrl/data/flash_ctrl_region_cfg.sv.tpl index eb97ab149f684..c6d6be91737fa 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl_region_cfg.sv.tpl +++ b/hw/ip/flash_ctrl/data/flash_ctrl_region_cfg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/data/flash_ctrl_sec_cm_testplan.hjson b/hw/ip/flash_ctrl/data/flash_ctrl_sec_cm_testplan.hjson index 1d5eb57c2c2ab..475e3caa8d6df 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/flash_ctrl/data/flash_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/data/flash_ctrl_testplan.hjson b/hw/ip/flash_ctrl/data/flash_ctrl_testplan.hjson index 8880606c47320..65fb4a0195628 100644 --- a/hw/ip/flash_ctrl/data/flash_ctrl_testplan.hjson +++ b/hw/ip/flash_ctrl/data/flash_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov.core b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov.core index e2d707ae8a9f0..4e9334346153e 100644 --- a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov.core +++ b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_ctrl_cov" diff --git a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov_bind.sv b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov_bind.sv index fde924c6ee69c..4c20c8dd9142f 100644 --- a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov_bind.sv +++ b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_phy_cov_if.sv b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_phy_cov_if.sv index 5868456bf5dd5..cc067fd7c695c 100644 --- a/hw/ip/flash_ctrl/dv/cov/flash_ctrl_phy_cov_if.sv +++ b/hw/ip/flash_ctrl/dv/cov/flash_ctrl_phy_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_dv_if.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_dv_if.sv index 3b3faae3283cf..9c4aa2ee67c87 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_dv_if.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_dv_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 interface flash_ctrl_dv_if ( diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_eflash_ral_pkg.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_eflash_ral_pkg.sv index eb136f2624ca9..98129d8469230 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_eflash_ral_pkg.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_eflash_ral_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.core b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.core index 89d9dbcdf9266..83f74e435ca8f 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.core +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_ctrl_env:0.1" diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.sv index 38bd0f76831f3..b33df7ad5ba1e 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cfg.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cfg.sv index 0b288446d39ca..c7da397827b10 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cfg.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 typedef class flash_ctrl_scoreboard; diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cov.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cov.sv index da578bf76cea4..ca5071524ca8d 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cov.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_pkg.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_pkg.sv index 41194488ee94a..3e0a305f80db1 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_pkg.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_if.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_if.sv index a872539c3b28e..91247d0194841 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_if.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_mem_if.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_mem_if.sv index e5d931fb70efe..b8a2be9ce414c 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_mem_if.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_mem_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 import flash_ctrl_pkg::*; diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_otf_scoreboard.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_otf_scoreboard.sv index ac8a5d924a1dc..bfbcf4ca9d438 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_otf_scoreboard.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_otf_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_scoreboard.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_scoreboard.sv index 68c07373404c0..7c91f12d86d5b 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_scoreboard.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 import alert_esc_agent_pkg::*; diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_seq_cfg.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_seq_cfg.sv index eed00c9fd1b25..7b4baa47201cf 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_seq_cfg.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_seq_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_ctrl_virtual_sequencer.sv b/hw/ip/flash_ctrl/dv/env/flash_ctrl_virtual_sequencer.sv index 18c268c466cb3..80837cdaaf34e 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_ctrl_virtual_sequencer.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_mem_addr_attrs.sv b/hw/ip/flash_ctrl/dv/env/flash_mem_addr_attrs.sv index f07f81556d847..d77b5874d5a5f 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_mem_addr_attrs.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_mem_addr_attrs.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_mem_bkdr_util.sv b/hw/ip/flash_ctrl/dv/env/flash_mem_bkdr_util.sv index 840a46b0678e3..1bd56ed97f96c 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_mem_bkdr_util.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_mem_bkdr_util.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_otf_item.sv b/hw/ip/flash_ctrl/dv/env/flash_otf_item.sv index e68efaf89d822..049b801946626 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_otf_item.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_otf_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_otf_mem_entry.sv b/hw/ip/flash_ctrl/dv/env/flash_otf_mem_entry.sv index d332c2cd6c143..b94a6adb29546 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_otf_mem_entry.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_otf_mem_entry.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/flash_otf_read_entry.sv b/hw/ip/flash_ctrl/dv/env/flash_otf_read_entry.sv index b707db444638d..a2752dec0cc7d 100644 --- a/hw/ip/flash_ctrl/dv/env/flash_otf_read_entry.sv +++ b/hw/ip/flash_ctrl/dv/env/flash_otf_read_entry.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_access_after_disable_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_access_after_disable_vseq.sv index 9ca4863b75a26..98eaca109b63e 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_access_after_disable_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_access_after_disable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_base_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_base_vseq.sv index bcbe6df860071..1972651c9feae 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_base_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_callback_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_callback_vseq.sv index 3e6bdd0cade9b..5f5ea6a6b8133 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_callback_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_callback_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_common_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_common_vseq.sv index a3cb743a0a834..de107cecd0705 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_common_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_config_regwen_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_config_regwen_vseq.sv index 09ad64a8352cc..874b7aade7f8f 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_config_regwen_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_config_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_connect_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_connect_vseq.sv index 7e217522978af..3c30eb4d3514b 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_connect_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_connect_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_derr_detect_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_derr_detect_vseq.sv index e16c52249c214..c297cd9240937 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_derr_detect_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_derr_detect_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_disable_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_disable_vseq.sv index 992aaf81eae46..70b6b926ffcaa 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_disable_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_disable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_erase_suspend_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_erase_suspend_vseq.sv index 4eba3b724e121..b3a8368ba366b 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_erase_suspend_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_erase_suspend_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_err_base_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_err_base_vseq.sv index 6f6c67047c891..87119453f98c1 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_err_base_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_err_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_mp_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_mp_vseq.sv index 09d57bf88888e..20e6e8b8f284d 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_mp_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_mp_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_type_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_type_vseq.sv index c0c3b0905cdd5..c6d2a5ca4bc60 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_type_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_type_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_win_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_win_vseq.sv index 445b9294ff593..25384997ace2f 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_win_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_error_prog_win_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_fetch_code_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_fetch_code_vseq.sv index b6545c2567e26..c2d5ad7bdb9ec 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_fetch_code_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_fetch_code_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_filesystem_support_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_filesystem_support_vseq.sv index 0f4b9e9e3f321..6bbb8ed73d60a 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_filesystem_support_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_filesystem_support_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_full_mem_access_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_full_mem_access_vseq.sv index d5b5e756d8567..a4feb20992894 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_full_mem_access_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_full_mem_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_ctrl_arb_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_ctrl_arb_vseq.sv index 3c802a8b0336c..99bbf06d57e2b 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_ctrl_arb_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_ctrl_arb_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_dir_rd_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_dir_rd_vseq.sv index e167efa1dc003..93062db35ce50 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_dir_rd_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_host_dir_rd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_prog_rma_wipe_err_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_prog_rma_wipe_err_vseq.sv index 5aae219c32f15..c1a6d6da7b269 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_prog_rma_wipe_err_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_prog_rma_wipe_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_read_seed_err_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_read_seed_err_vseq.sv index a439c3715aa17..8dbc4abfe36cc 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_read_seed_err_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_read_seed_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_err_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_err_vseq.sv index e6c226cd325d3..e44a505f7c01d 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_err_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_reset_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_reset_vseq.sv index b18798697acf1..417b501d8a4e4 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_reset_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_vseq.sv index 7409a86cbdde6..84be771aec608 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_rma_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_sec_otp_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_sec_otp_vseq.sv index 70da43fed6496..ee2753550a477 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_sec_otp_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_hw_sec_otp_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_info_part_access_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_info_part_access_vseq.sv index bbdabcd7e3a0a..4884a5fa2735e 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_info_part_access_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_info_part_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_integrity_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_integrity_vseq.sv index def61e550c490..a648ba764869c 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_integrity_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_integrity_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_rd_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_rd_vseq.sv index 5b665cf8b2777..e2720f9f9e0fa 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_rd_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_rd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_wr_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_wr_vseq.sv index 2d00fb3e0611c..9c6ac93281605 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_wr_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_intr_wr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_invalid_op_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_invalid_op_vseq.sv index c490e1ce32556..a8d78e0dd120f 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_invalid_op_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_invalid_op_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_lcmgr_intg_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_lcmgr_intg_vseq.sv index 0636b7f83792b..f09d7fbf1ffe5 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_lcmgr_intg_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_lcmgr_intg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_legacy_base_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_legacy_base_vseq.sv index 6b13f26cb926c..bd9eca0201807 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_legacy_base_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_legacy_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mid_op_rst_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mid_op_rst_vseq.sv index c63725a9765bd..753a98db785a1 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mid_op_rst_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mid_op_rst_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mp_regions_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mp_regions_vseq.sv index 800f0244395c0..d9137cc5f8210 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mp_regions_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_mp_regions_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otf_base_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otf_base_vseq.sv index 0d1bedf75ee6f..9b64695314f2a 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otf_base_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otf_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otp_reset_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otp_reset_vseq.sv index 8016aea254e88..bb9f76905e47a 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otp_reset_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_otp_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_oversize_error_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_oversize_error_vseq.sv index f9427e98aaac5..4a98ef6bfceb4 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_oversize_error_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_oversize_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_ack_consistency_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_ack_consistency_vseq.sv index df1b2a88fcec5..a24e2df39b3a9 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_ack_consistency_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_ack_consistency_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_redun_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_redun_vseq.sv index ac4755477dc86..fcd2b0cafce28 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_redun_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_redun_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_vseq.sv index 1e96fcf434358..3dead7f07c9ff 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_arb_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_host_grant_err_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_host_grant_err_vseq.sv index c8bcb0cd47ff4..c6033fed955e4 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_host_grant_err_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_phy_host_grant_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_prog_reset_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_prog_reset_vseq.sv index 7735e47a6142e..4bf5abef6aa8f 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_prog_reset_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_prog_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_base_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_base_vseq.sv index 21935b32736d4..8fc50fb609b16 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_base_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_vseq.sv index 73be1d05f664f..66d7da4dce252 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rand_ops_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_buff_evict_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_buff_evict_vseq.sv index 27baa26fbd479..8106cf90e31aa 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_buff_evict_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_buff_evict_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_ooo_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_ooo_vseq.sv index d6e4a2cb250fa..f04a78fb08ece 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_ooo_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_ooo_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_path_intg_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_path_intg_vseq.sv index 2d202820282a2..c1b5a33e435fd 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_path_intg_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rd_path_intg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_re_evict_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_re_evict_vseq.sv index 35253bb68e20a..e9dde5bde627c 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_re_evict_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_re_evict_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_rnd_wd_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_rnd_wd_vseq.sv index a9d94beff9593..68ed716c77865 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_rnd_wd_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_rnd_wd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_word_sweep_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_word_sweep_vseq.sv index 472a43b6d287c..5bdf107fffb7c 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_word_sweep_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_read_word_sweep_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_ro_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_ro_vseq.sv index ce08f8f1f585b..2bf17e23b1bd9 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_ro_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_ro_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_evict_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_evict_vseq.sv index c682c6c73c14a..51a78248208b7 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_evict_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_evict_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_rnd_wd_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_rnd_wd_vseq.sv index 6bd8a9d3bd05f..9674aecb45199 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_rnd_wd_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_rnd_wd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_vseq.sv index 71f2eab8c25ad..7ccbf5027058c 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_rw_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_address_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_address_vseq.sv index 7c4d233a015b9..459082d0e2b92 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_address_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_address_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_counter_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_counter_vseq.sv index 81475de2a60d9..24893c8e63cad 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_counter_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_serr_counter_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_hw_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_hw_vseq.sv index 486ed3d3ba54e..19ed82a5d52f8 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_hw_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_hw_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_vseq.sv index ab23efbf50cdf..0baf42f1d1561 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_stress_all_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_stress_all_vseq.sv index 5f4a78e4b5780..96d5a392a873f 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_stress_all_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_sw_op_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_sw_op_vseq.sv index 2abc71d5fb613..d05460e29cd3b 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_sw_op_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_sw_op_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_vseq_list.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_vseq_list.sv index ff02f4054c497..72edfe1634691 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_vseq_list.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wo_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wo_vseq.sv index 9b7e59136499e..70b972f30b160 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wo_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wo_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wr_path_intg_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wr_path_intg_vseq.sv index 33a4e5c2d949a..595215857a978 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wr_path_intg_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_wr_path_intg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_rnd_wd_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_rnd_wd_vseq.sv index 7644790ec4def..4528bf682d4bb 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_rnd_wd_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_rnd_wd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_word_sweep_vseq.sv b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_word_sweep_vseq.sv index 61593fe5197a0..5662d4bd975bf 100644 --- a/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_word_sweep_vseq.sv +++ b/hw/ip/flash_ctrl/dv/env/seq_lib/flash_ctrl_write_word_sweep_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/flash_ctrl_base_sim_cfg.hjson b/hw/ip/flash_ctrl/dv/flash_ctrl_base_sim_cfg.hjson index b50a0528726f9..c197b1bd207d9 100644 --- a/hw/ip/flash_ctrl/dv/flash_ctrl_base_sim_cfg.hjson +++ b/hw/ip/flash_ctrl/dv/flash_ctrl_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/flash_ctrl/dv/flash_ctrl_sim.core b/hw/ip/flash_ctrl/dv/flash_ctrl_sim.core index b76c17f470795..def2e83a11f20 100644 --- a/hw/ip/flash_ctrl/dv/flash_ctrl_sim.core +++ b/hw/ip/flash_ctrl/dv/flash_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_ctrl_sim:0.1" diff --git a/hw/ip/flash_ctrl/dv/flash_ctrl_sim_cfg.hjson b/hw/ip/flash_ctrl/dv/flash_ctrl_sim_cfg.hjson index 83e59c2c135a9..ef0feb79a0e59 100644 --- a/hw/ip/flash_ctrl/dv/flash_ctrl_sim_cfg.hjson +++ b/hw/ip/flash_ctrl/dv/flash_ctrl_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/sva/flash_ctrl_bind.sv b/hw/ip/flash_ctrl/dv/sva/flash_ctrl_bind.sv index d390d21cda13c..e1658e8e7af7a 100644 --- a/hw/ip/flash_ctrl/dv/sva/flash_ctrl_bind.sv +++ b/hw/ip/flash_ctrl/dv/sva/flash_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/sva/flash_ctrl_sva.core b/hw/ip/flash_ctrl/dv/sva/flash_ctrl_sva.core index a3650882eaa70..2ff54cb5cbee2 100644 --- a/hw/ip/flash_ctrl/dv/sva/flash_ctrl_sva.core +++ b/hw/ip/flash_ctrl/dv/sva/flash_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_ctrl_sva:0.1" diff --git a/hw/ip/flash_ctrl/dv/tb/tb.sv b/hw/ip/flash_ctrl/dv/tb/tb.sv index 7327bed1f34e6..b8b741f5c9942 100644 --- a/hw/ip/flash_ctrl/dv/tb/tb.sv +++ b/hw/ip/flash_ctrl/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_base_test.sv b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_base_test.sv index 6f7d88a476e69..b4266e1eb7720 100644 --- a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_base_test.sv +++ b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test.core b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test.core index 37a8ecebe714f..3931558df10e6 100644 --- a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test.core +++ b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:flash_ctrl_test:0.1" diff --git a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test_pkg.sv b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test_pkg.sv index 21a113753e1b0..28587f83aafea 100644 --- a/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test_pkg.sv +++ b/hw/ip/flash_ctrl/dv/tests/flash_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/flash_ctrl/flash_ctrl.core b/hw/ip/flash_ctrl/flash_ctrl.core index c50e794871700..bcc298dec4f59 100644 --- a/hw/ip/flash_ctrl/flash_ctrl.core +++ b/hw/ip/flash_ctrl/flash_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:flash_ctrl:0.1" diff --git a/hw/ip/flash_ctrl/flash_ctrl_pkg.core b/hw/ip/flash_ctrl/flash_ctrl_pkg.core index 461ba7f711247..d455881aeaffb 100644 --- a/hw/ip/flash_ctrl/flash_ctrl_pkg.core +++ b/hw/ip/flash_ctrl/flash_ctrl_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:flash_ctrl_pkg:0.1" diff --git a/hw/ip/flash_ctrl/flash_ctrl_prim_reg_top.core b/hw/ip/flash_ctrl/flash_ctrl_prim_reg_top.core index 9643afdcf220d..a866669ba305d 100644 --- a/hw/ip/flash_ctrl/flash_ctrl_prim_reg_top.core +++ b/hw/ip/flash_ctrl/flash_ctrl_prim_reg_top.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:flash_ctrl_prim_reg_top:1.0" diff --git a/hw/ip/flash_ctrl/lint/flash_ctrl.vlt b/hw/ip/flash_ctrl/lint/flash_ctrl.vlt index f136925a88b06..106142f021040 100644 --- a/hw/ip/flash_ctrl/lint/flash_ctrl.vlt +++ b/hw/ip/flash_ctrl/lint/flash_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/lint/flash_ctrl.waiver b/hw/ip/flash_ctrl/lint/flash_ctrl.waiver index 5d4f762d2c0c4..29fb67e8dbe9c 100644 --- a/hw/ip/flash_ctrl/lint/flash_ctrl.waiver +++ b/hw/ip/flash_ctrl/lint/flash_ctrl.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.vlt b/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.vlt index 8759d89f65baa..ae80069d14160 100644 --- a/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.vlt +++ b/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.waiver b/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.waiver index 2c3f7083aa76c..fbb90a471ae9d 100644 --- a/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.waiver +++ b/hw/ip/flash_ctrl/lint/flash_ctrl_pkg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl.sv index d74e1c60edab1..73f94d9ddd091 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_arb.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_arb.sv index a8cab378e171d..89ef2afaebf21 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_arb.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_arb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_core_reg_top.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_core_reg_top.sv index 4a1fb77dfdd57..528f180044f1f 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_core_reg_top.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_core_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_erase.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_erase.sv index 2e6a3c8b4ce1c..f321e60ff3a2f 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_erase.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_erase.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_info_cfg.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_info_cfg.sv index a20533839e11c..3f2b025873801 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_info_cfg.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_info_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_lcmgr.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_lcmgr.sv index 0d45b899dac97..06e4dc8583970 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_lcmgr.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_lcmgr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_mem_reg_top.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_mem_reg_top.sv index fa994a097a5ea..cf4c192a651d4 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_mem_reg_top.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_mem_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_pkg.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_pkg.sv index e142cba06581e..800ca84a1ba25 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_pkg.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_prim_reg_top.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_prim_reg_top.sv index 588b8b090d6b1..a3cb82dd1f229 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_prim_reg_top.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_prim_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_prog.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_prog.sv index 1a5535bb399bf..aaa1514cfd1df 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_prog.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_prog.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_rd.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_rd.sv index 9e6d2678f9084..a06ebc2e17e3f 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_rd.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_rd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_reg_pkg.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_reg_pkg.sv index ce85d5c54e981..457639b5c1e6c 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_reg_pkg.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_ctrl_region_cfg.sv b/hw/ip/flash_ctrl/rtl/flash_ctrl_region_cfg.sv index ad187f3bf4fb9..af75e5a29834f 100644 --- a/hw/ip/flash_ctrl/rtl/flash_ctrl_region_cfg.sv +++ b/hw/ip/flash_ctrl/rtl/flash_ctrl_region_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_mp.sv b/hw/ip/flash_ctrl/rtl/flash_mp.sv index a7760c14646b5..8fea1679c9866 100644 --- a/hw/ip/flash_ctrl/rtl/flash_mp.sv +++ b/hw/ip/flash_ctrl/rtl/flash_mp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_mp_data_region_sel.sv b/hw/ip/flash_ctrl/rtl/flash_mp_data_region_sel.sv index f4ae8740d3fb7..c159b524c42eb 100644 --- a/hw/ip/flash_ctrl/rtl/flash_mp_data_region_sel.sv +++ b/hw/ip/flash_ctrl/rtl/flash_mp_data_region_sel.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy.sv b/hw/ip/flash_ctrl/rtl/flash_phy.sv index 43dbc5b088247..b8a5aef3c3aa3 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_core.sv b/hw/ip/flash_ctrl/rtl/flash_phy_core.sv index 0300e90a9486a..920a41afb1758 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_core.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_erase.sv b/hw/ip/flash_ctrl/rtl/flash_phy_erase.sv index a2f3da3109fe7..42bcbe6ebb963 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_erase.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_erase.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_pkg.sv b/hw/ip/flash_ctrl/rtl/flash_phy_pkg.sv index 158d932a2b85f..41593bd3e2d77 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_pkg.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_prog.sv b/hw/ip/flash_ctrl/rtl/flash_phy_prog.sv index 68c104c9b618d..fe0e622c03dbc 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_prog.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_prog.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_rd.sv b/hw/ip/flash_ctrl/rtl/flash_phy_rd.sv index 01bd08a66bce5..5f297fe01435a 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_rd.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_rd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_rd_buf_dep.sv b/hw/ip/flash_ctrl/rtl/flash_phy_rd_buf_dep.sv index 106ae734f71bb..736f840c87554 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_rd_buf_dep.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_rd_buf_dep.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_rd_buffers.sv b/hw/ip/flash_ctrl/rtl/flash_phy_rd_buffers.sv index 0ac371742910a..7052b240c63f3 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_rd_buffers.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_rd_buffers.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/rtl/flash_phy_scramble.sv b/hw/ip/flash_ctrl/rtl/flash_phy_scramble.sv index fcfcc014f0fe4..3ea297c5d56bc 100644 --- a/hw/ip/flash_ctrl/rtl/flash_phy_scramble.sv +++ b/hw/ip/flash_ctrl/rtl/flash_phy_scramble.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/flash_ctrl/util/flash_ctrl_gen.py b/hw/ip/flash_ctrl/util/flash_ctrl_gen.py index be226ed345da2..c04bf885da31b 100755 --- a/hw/ip/flash_ctrl/util/flash_ctrl_gen.py +++ b/hw/ip/flash_ctrl/util/flash_ctrl_gen.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""Flash Controller Generator diff --git a/hw/ip/gpio/BUILD b/hw/ip/gpio/BUILD index 09081cc752626..9324fb2d22746 100644 --- a/hw/ip/gpio/BUILD +++ b/hw/ip/gpio/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/data/BUILD b/hw/ip/gpio/data/BUILD index ea96fcf78312e..d6fc1a9811704 100644 --- a/hw/ip/gpio/data/BUILD +++ b/hw/ip/gpio/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/data/gpio.hjson b/hw/ip/gpio/data/gpio.hjson index 2eb7ac9e3bf2e..54a1eb99cd281 100644 --- a/hw/ip/gpio/data/gpio.hjson +++ b/hw/ip/gpio/data/gpio.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/gpio/data/gpio_sec_cm_testplan.hjson b/hw/ip/gpio/data/gpio_sec_cm_testplan.hjson index bce9e9e1bec3c..b0e79320187e9 100644 --- a/hw/ip/gpio/data/gpio_sec_cm_testplan.hjson +++ b/hw/ip/gpio/data/gpio_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/data/gpio_testplan.hjson b/hw/ip/gpio/data/gpio_testplan.hjson index 2fa7afb62db89..c8588cb3854e6 100644 --- a/hw/ip/gpio/data/gpio_testplan.hjson +++ b/hw/ip/gpio/data/gpio_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/gpio/dv/cov/gpio_cov_excl.el b/hw/ip/gpio/dv/cov/gpio_cov_excl.el index 3ef271f720203..b5ca5b0568b37 100644 --- a/hw/ip/gpio/dv/cov/gpio_cov_excl.el +++ b/hw/ip/gpio/dv/cov/gpio_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/gpio/dv/env/gpio_env.core b/hw/ip/gpio/dv/env/gpio_env.core index 67b4f47db3f01..da4d4389683e1 100644 --- a/hw/ip/gpio/dv/env/gpio_env.core +++ b/hw/ip/gpio/dv/env/gpio_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:gpio_env:0.1" diff --git a/hw/ip/gpio/dv/env/gpio_env.sv b/hw/ip/gpio/dv/env/gpio_env.sv index b1d154ced4d0c..111ac6c7a7e16 100644 --- a/hw/ip/gpio/dv/env/gpio_env.sv +++ b/hw/ip/gpio/dv/env/gpio_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/gpio_env_cfg.sv b/hw/ip/gpio/dv/env/gpio_env_cfg.sv index ded567f8c10fc..c8af480a21b31 100644 --- a/hw/ip/gpio/dv/env/gpio_env_cfg.sv +++ b/hw/ip/gpio/dv/env/gpio_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/gpio_env_cov.sv b/hw/ip/gpio/dv/env/gpio_env_cov.sv index c69630bdba5b4..a51ed5eb9f191 100644 --- a/hw/ip/gpio/dv/env/gpio_env_cov.sv +++ b/hw/ip/gpio/dv/env/gpio_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/gpio_env_pkg.sv b/hw/ip/gpio/dv/env/gpio_env_pkg.sv index 27c0831d030ff..1c94585f24835 100644 --- a/hw/ip/gpio/dv/env/gpio_env_pkg.sv +++ b/hw/ip/gpio/dv/env/gpio_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/gpio_scoreboard.sv b/hw/ip/gpio/dv/env/gpio_scoreboard.sv index 563806a4b7cf1..26f53a7abbe94 100644 --- a/hw/ip/gpio/dv/env/gpio_scoreboard.sv +++ b/hw/ip/gpio/dv/env/gpio_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 class gpio_scoreboard extends cip_base_scoreboard #(.CFG_T (gpio_env_cfg), diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_base_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_base_vseq.sv index f66fc965f955f..59bc607bc54cb 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_base_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_common_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_common_vseq.sv index 4ba5f95d7318c..468d992ea5dd7 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_common_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_dout_din_regs_random_rw_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_dout_din_regs_random_rw_vseq.sv index 288e019e1a28b..2b4503cd40eb6 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_dout_din_regs_random_rw_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_dout_din_regs_random_rw_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_filter_stress_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_filter_stress_vseq.sv index 9fce20d28c97c..cf3a37aa48b1e 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_filter_stress_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_filter_stress_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_full_random_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_full_random_vseq.sv index 9cb44025fda5d..dd18500fb5c7b 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_full_random_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_full_random_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_intr_rand_pgm_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_intr_rand_pgm_vseq.sv index 57e242d89e73e..65456d0d1903e 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_intr_rand_pgm_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_intr_rand_pgm_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_intr_with_filter_rand_intr_event_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_intr_with_filter_rand_intr_event_vseq.sv index b3d20d4fa0eb0..573a5c72c8887 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_intr_with_filter_rand_intr_event_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_intr_with_filter_rand_intr_event_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_rand_intr_trigger_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_rand_intr_trigger_vseq.sv index aecf23d53f032..87dc63ab1d13b 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_rand_intr_trigger_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_rand_intr_trigger_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_random_dout_din_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_random_dout_din_vseq.sv index 935914c2d0bfd..ec512d6ca7ca6 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_random_dout_din_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_random_dout_din_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_random_long_reg_writes_reg_reads_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_random_long_reg_writes_reg_reads_vseq.sv index b692fc2097b32..49bb5fb687725 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_random_long_reg_writes_reg_reads_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_random_long_reg_writes_reg_reads_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_smoke_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_smoke_vseq.sv index 3798eeaa8382e..ca7cbefa2a544 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_smoke_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_stress_all_vseq.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_stress_all_vseq.sv index b39ba9f14b96c..81231d297fc9e 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_stress_all_vseq.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/env/seq_lib/gpio_vseq_list.sv b/hw/ip/gpio/dv/env/seq_lib/gpio_vseq_list.sv index 7a81433f0111f..fd508cad328b8 100644 --- a/hw/ip/gpio/dv/env/seq_lib/gpio_vseq_list.sv +++ b/hw/ip/gpio/dv/env/seq_lib/gpio_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/gpio_sim.core b/hw/ip/gpio/dv/gpio_sim.core index 9320ff90cc8da..a33d0dc74f66e 100644 --- a/hw/ip/gpio/dv/gpio_sim.core +++ b/hw/ip/gpio/dv/gpio_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:gpio_sim:0.1" diff --git a/hw/ip/gpio/dv/gpio_sim_cfg.hjson b/hw/ip/gpio/dv/gpio_sim_cfg.hjson index e074a475a14fa..6a8910a766954 100644 --- a/hw/ip/gpio/dv/gpio_sim_cfg.hjson +++ b/hw/ip/gpio/dv/gpio_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/gpio/dv/sva/gpio_bind.sv b/hw/ip/gpio/dv/sva/gpio_bind.sv index ac86242b7bb18..7920e6dc2a1c5 100644 --- a/hw/ip/gpio/dv/sva/gpio_bind.sv +++ b/hw/ip/gpio/dv/sva/gpio_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/sva/gpio_sva.core b/hw/ip/gpio/dv/sva/gpio_sva.core index 15da63a2cface..a8538acd04fa8 100644 --- a/hw/ip/gpio/dv/sva/gpio_sva.core +++ b/hw/ip/gpio/dv/sva/gpio_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:gpio_sva:0.1" diff --git a/hw/ip/gpio/dv/tb/tb.sv b/hw/ip/gpio/dv/tb/tb.sv index 7299d15bdd6a5..651063c15d43d 100644 --- a/hw/ip/gpio/dv/tb/tb.sv +++ b/hw/ip/gpio/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/gpio/dv/tests/gpio_base_test.sv b/hw/ip/gpio/dv/tests/gpio_base_test.sv index f6954585744cb..e12c37d4c78ee 100644 --- a/hw/ip/gpio/dv/tests/gpio_base_test.sv +++ b/hw/ip/gpio/dv/tests/gpio_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/dv/tests/gpio_test.core b/hw/ip/gpio/dv/tests/gpio_test.core index c5d569b532f79..b0c0cddc8288d 100644 --- a/hw/ip/gpio/dv/tests/gpio_test.core +++ b/hw/ip/gpio/dv/tests/gpio_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:gpio_test:0.1" diff --git a/hw/ip/gpio/dv/tests/gpio_test_pkg.sv b/hw/ip/gpio/dv/tests/gpio_test_pkg.sv index f0d158fce67d7..437a9cd410912 100644 --- a/hw/ip/gpio/dv/tests/gpio_test_pkg.sv +++ b/hw/ip/gpio/dv/tests/gpio_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/gpio/gpio.core b/hw/ip/gpio/gpio.core index 6a089595564dd..9727dd878c46a 100644 --- a/hw/ip/gpio/gpio.core +++ b/hw/ip/gpio/gpio.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:gpio:0.1" diff --git a/hw/ip/gpio/lint/gpio.vlt b/hw/ip/gpio/lint/gpio.vlt index b03b73fd66fbd..12ff241a8d4c7 100644 --- a/hw/ip/gpio/lint/gpio.vlt +++ b/hw/ip/gpio/lint/gpio.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/gpio/lint/gpio.waiver b/hw/ip/gpio/lint/gpio.waiver index c618c2e29ad5a..9fb11fa1f1698 100644 --- a/hw/ip/gpio/lint/gpio.waiver +++ b/hw/ip/gpio/lint/gpio.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/gpio/rtl/gpio.sv b/hw/ip/gpio/rtl/gpio.sv index 3a4901b31b41d..72f14e0de8726 100644 --- a/hw/ip/gpio/rtl/gpio.sv +++ b/hw/ip/gpio/rtl/gpio.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/gpio/rtl/gpio_reg_pkg.sv b/hw/ip/gpio/rtl/gpio_reg_pkg.sv index 69ff30374ea2a..5c56d86dc8098 100644 --- a/hw/ip/gpio/rtl/gpio_reg_pkg.sv +++ b/hw/ip/gpio/rtl/gpio_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/gpio/rtl/gpio_reg_top.sv b/hw/ip/gpio/rtl/gpio_reg_top.sv index 8b5959d9e29fa..7fb63025ba53b 100644 --- a/hw/ip/gpio/rtl/gpio_reg_top.sv +++ b/hw/ip/gpio/rtl/gpio_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/BUILD b/hw/ip/hmac/BUILD index 9ba35a4bff1b1..f53511918f58b 100644 --- a/hw/ip/hmac/BUILD +++ b/hw/ip/hmac/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/data/BUILD b/hw/ip/hmac/data/BUILD index 1716ddff5867d..22ea7c301279d 100644 --- a/hw/ip/hmac/data/BUILD +++ b/hw/ip/hmac/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/data/hmac.hjson b/hw/ip/hmac/data/hmac.hjson index 156ecb0f7191d..994286342cf4e 100644 --- a/hw/ip/hmac/data/hmac.hjson +++ b/hw/ip/hmac/data/hmac.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/hmac/data/hmac_sec_cm_testplan.hjson b/hw/ip/hmac/data/hmac_sec_cm_testplan.hjson index 1dee4dcdbaedc..73ad565574a92 100644 --- a/hw/ip/hmac/data/hmac_sec_cm_testplan.hjson +++ b/hw/ip/hmac/data/hmac_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/data/hmac_testplan.hjson b/hw/ip/hmac/data/hmac_testplan.hjson index c9d587af1105a..b44469d4ea2fc 100644 --- a/hw/ip/hmac/data/hmac_testplan.hjson +++ b/hw/ip/hmac/data/hmac_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/hmac/dv/cov/hmac_cov_excl.el b/hw/ip/hmac/dv/cov/hmac_cov_excl.el index 1c56e5c3a7494..cba71d634c680 100644 --- a/hw/ip/hmac/dv/cov/hmac_cov_excl.el +++ b/hw/ip/hmac/dv/cov/hmac_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/hmac/dv/cov/hmac_unr_excl.el b/hw/ip/hmac/dv/cov/hmac_unr_excl.el index 62728867b1043..dfa1faa6a3901 100644 --- a/hw/ip/hmac/dv/cov/hmac_unr_excl.el +++ b/hw/ip/hmac/dv/cov/hmac_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.c b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.c index ee01cf033672d..f4fcca19e041a 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.c +++ b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.core b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.core index 80431f469a40e..53b0ba4ca39a5 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.core +++ b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi.core @@ -1,5 +1,5 @@ CAPI=2: -#Copyright lowRISC contributors. +#Copyright lowRISC contributors (OpenTitan project). #Licensed under the Apache License, Version 2.0, see LICENSE for details. #SPDX - License - Identifier : Apache - 2.0 name: "lowrisc:dv:cryptoc_dpi:0.1" diff --git a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi_pkg.sv b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi_pkg.sv index d2faffee5842f..7e0a6fb67b5d7 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi_pkg.sv +++ b/hw/ip/hmac/dv/cryptoc_dpi/cryptoc_dpi_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.c b/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.c index 199cde73428e6..b9d358c5e7c3a 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.c +++ b/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.h b/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.h index 7cdaea52885c6..65af75c6cba2b 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.h +++ b/hw/ip/hmac/dv/cryptoc_dpi/hmac_wrap.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/cryptoc_dpi/main.c b/hw/ip/hmac/dv/cryptoc_dpi/main.c index d3a8dfcf73181..c7707c627261c 100644 --- a/hw/ip/hmac/dv/cryptoc_dpi/main.c +++ b/hw/ip/hmac/dv/cryptoc_dpi/main.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/dv/env/hmac_env.core b/hw/ip/hmac/dv/env/hmac_env.core index 7664bbf5abdaf..3b145cc37c054 100644 --- a/hw/ip/hmac/dv/env/hmac_env.core +++ b/hw/ip/hmac/dv/env/hmac_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:hmac_env:0.1" diff --git a/hw/ip/hmac/dv/env/hmac_env.sv b/hw/ip/hmac/dv/env/hmac_env.sv index cf52c9836d014..cf66966285554 100644 --- a/hw/ip/hmac/dv/env/hmac_env.sv +++ b/hw/ip/hmac/dv/env/hmac_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/hmac_env_cfg.sv b/hw/ip/hmac/dv/env/hmac_env_cfg.sv index eb193e408c820..8b7d9d960606b 100644 --- a/hw/ip/hmac/dv/env/hmac_env_cfg.sv +++ b/hw/ip/hmac/dv/env/hmac_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/hmac_env_cov.sv b/hw/ip/hmac/dv/env/hmac_env_cov.sv index a3ebbd85b671a..d13536cdceb7c 100644 --- a/hw/ip/hmac/dv/env/hmac_env_cov.sv +++ b/hw/ip/hmac/dv/env/hmac_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/hmac_env_pkg.sv b/hw/ip/hmac/dv/env/hmac_env_pkg.sv index 1a57df6da39d4..d16e86d79e7e2 100644 --- a/hw/ip/hmac/dv/env/hmac_env_pkg.sv +++ b/hw/ip/hmac/dv/env/hmac_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/hmac_if.sv b/hw/ip/hmac/dv/env/hmac_if.sv index e2313ec590976..e82e0871bb03b 100644 --- a/hw/ip/hmac/dv/env/hmac_if.sv +++ b/hw/ip/hmac/dv/env/hmac_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/hmac_scoreboard.sv b/hw/ip/hmac/dv/env/hmac_scoreboard.sv index ff409e095ce45..02c24ba0bb434 100644 --- a/hw/ip/hmac/dv/env/hmac_scoreboard.sv +++ b/hw/ip/hmac/dv/env/hmac_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_back_pressure_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_back_pressure_vseq.sv index 487b343f9d227..e10c534d68234 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_back_pressure_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_back_pressure_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_base_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_base_vseq.sv index 4ef307a0995d8..967e9897d8963 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_base_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_burst_wr_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_burst_wr_vseq.sv index 4aa271ff007b8..c3cedf2442974 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_burst_wr_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_burst_wr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_common_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_common_vseq.sv index 23d4dbc13ac59..02d785f027b84 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_common_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_datapath_stress_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_datapath_stress_vseq.sv index 050315fbcbec4..75c83e753fb57 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_datapath_stress_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_datapath_stress_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_error_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_error_vseq.sv index 2fe19a2baec2e..5370328be9356 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_error_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_long_msg_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_long_msg_vseq.sv index ae86a259d7880..af34b000e13de 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_long_msg_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_long_msg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_smoke_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_smoke_vseq.sv index 80c9ff320400a..cc65708f58c84 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_smoke_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_stress_all_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_stress_all_vseq.sv index 6e6bc85021862..9c0601d4696ab 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_stress_all_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_hmac_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_hmac_vseq.sv index 1dedb90273e21..9cf93b1b307ab 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_hmac_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_hmac_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_sha_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_sha_vseq.sv index b9c54113b668d..c4c38e4f16536 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_sha_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_test_vectors_sha_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_vseq_list.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_vseq_list.sv index e23e3824b6564..34b8044ed32c9 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_vseq_list.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/env/seq_lib/hmac_wipe_secret_vseq.sv b/hw/ip/hmac/dv/env/seq_lib/hmac_wipe_secret_vseq.sv index 68391ae64140a..68f5ac9fb3c0d 100644 --- a/hw/ip/hmac/dv/env/seq_lib/hmac_wipe_secret_vseq.sv +++ b/hw/ip/hmac/dv/env/seq_lib/hmac_wipe_secret_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/hmac_sim.core b/hw/ip/hmac/dv/hmac_sim.core index c913f02eee227..4f10b907b318c 100644 --- a/hw/ip/hmac/dv/hmac_sim.core +++ b/hw/ip/hmac/dv/hmac_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:hmac_sim:0.1" diff --git a/hw/ip/hmac/dv/hmac_sim_cfg.hjson b/hw/ip/hmac/dv/hmac_sim_cfg.hjson index de2489ce6bc65..f8995ac228d24 100644 --- a/hw/ip/hmac/dv/hmac_sim_cfg.hjson +++ b/hw/ip/hmac/dv/hmac_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/hmac/dv/sva/hmac_bind.sv b/hw/ip/hmac/dv/sva/hmac_bind.sv index 311c566609ac6..3cc4359918041 100644 --- a/hw/ip/hmac/dv/sva/hmac_bind.sv +++ b/hw/ip/hmac/dv/sva/hmac_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/sva/hmac_sva.core b/hw/ip/hmac/dv/sva/hmac_sva.core index 5d395d4b6ac2e..ca707d62d44f5 100644 --- a/hw/ip/hmac/dv/sva/hmac_sva.core +++ b/hw/ip/hmac/dv/sva/hmac_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:hmac_sva:0.1" diff --git a/hw/ip/hmac/dv/tb/tb.sv b/hw/ip/hmac/dv/tb/tb.sv index 344671818da91..840ee4eb7a974 100644 --- a/hw/ip/hmac/dv/tb/tb.sv +++ b/hw/ip/hmac/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/dv/tests/hmac_base_test.sv b/hw/ip/hmac/dv/tests/hmac_base_test.sv index ad43d206ea861..f6aef221d681d 100644 --- a/hw/ip/hmac/dv/tests/hmac_base_test.sv +++ b/hw/ip/hmac/dv/tests/hmac_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/dv/tests/hmac_test.core b/hw/ip/hmac/dv/tests/hmac_test.core index d9ba41b2673c8..d6d75db9dd6dc 100644 --- a/hw/ip/hmac/dv/tests/hmac_test.core +++ b/hw/ip/hmac/dv/tests/hmac_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:hmac_test:0.1" diff --git a/hw/ip/hmac/dv/tests/hmac_test_pkg.sv b/hw/ip/hmac/dv/tests/hmac_test_pkg.sv index fb66d650a3c41..791f0813538a4 100644 --- a/hw/ip/hmac/dv/tests/hmac_test_pkg.sv +++ b/hw/ip/hmac/dv/tests/hmac_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/hmac.core b/hw/ip/hmac/hmac.core index dc2707ccabb84..97d1c14899975 100644 --- a/hw/ip/hmac/hmac.core +++ b/hw/ip/hmac/hmac.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:hmac:0.1" diff --git a/hw/ip/hmac/lint/hmac.vlt b/hw/ip/hmac/lint/hmac.vlt index 840af960c2c71..d57c68cccc35f 100644 --- a/hw/ip/hmac/lint/hmac.vlt +++ b/hw/ip/hmac/lint/hmac.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/lint/hmac.waiver b/hw/ip/hmac/lint/hmac.waiver index f7d31cec76411..7c9af5c9517e6 100644 --- a/hw/ip/hmac/lint/hmac.waiver +++ b/hw/ip/hmac/lint/hmac.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/hmac/model/hmac_model.py b/hw/ip/hmac/model/hmac_model.py index 48a730a2a6718..bc0af6b7b5aa0 100755 --- a/hw/ip/hmac/model/hmac_model.py +++ b/hw/ip/hmac/model/hmac_model.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 """HMAC-SHA256 Python implementation diff --git a/hw/ip/hmac/model/run_test.sh b/hw/ip/hmac/model/run_test.sh index 366fdcccc3233..9bb1a02d61cf8 100755 --- a/hw/ip/hmac/model/run_test.sh +++ b/hw/ip/hmac/model/run_test.sh @@ -1,6 +1,6 @@ #!/usr/bin/env bash # -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/hmac/rtl/hmac.sv b/hw/ip/hmac/rtl/hmac.sv index 8bcce38a6241c..e9de24b1fc241 100644 --- a/hw/ip/hmac/rtl/hmac.sv +++ b/hw/ip/hmac/rtl/hmac.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/rtl/hmac_core.sv b/hw/ip/hmac/rtl/hmac_core.sv index 9834eeff16aec..91e8b7facf997 100644 --- a/hw/ip/hmac/rtl/hmac_core.sv +++ b/hw/ip/hmac/rtl/hmac_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/rtl/hmac_reg_pkg.sv b/hw/ip/hmac/rtl/hmac_reg_pkg.sv index 93b56a71ce7a4..29d606f92aebe 100644 --- a/hw/ip/hmac/rtl/hmac_reg_pkg.sv +++ b/hw/ip/hmac/rtl/hmac_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/rtl/hmac_reg_top.sv b/hw/ip/hmac/rtl/hmac_reg_top.sv index edb1169156506..6487aaea166da 100644 --- a/hw/ip/hmac/rtl/hmac_reg_top.sv +++ b/hw/ip/hmac/rtl/hmac_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/hmac/syn/constraints.sdc b/hw/ip/hmac/syn/constraints.sdc index b0d69eb126143..8692bb447b846 100644 --- a/hw/ip/hmac/syn/constraints.sdc +++ b/hw/ip/hmac/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/hmac/syn/hmac_syn_cfg.hjson b/hw/ip/hmac/syn/hmac_syn_cfg.hjson index 42e64167caf53..6103facd060d0 100644 --- a/hw/ip/hmac/syn/hmac_syn_cfg.hjson +++ b/hw/ip/hmac/syn/hmac_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/i2c/BUILD b/hw/ip/i2c/BUILD index 88b874d8792f9..c047e371e3901 100644 --- a/hw/ip/i2c/BUILD +++ b/hw/ip/i2c/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/data/BUILD b/hw/ip/i2c/data/BUILD index 90e589ecd42c6..20ef3f525239c 100644 --- a/hw/ip/i2c/data/BUILD +++ b/hw/ip/i2c/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/data/i2c.hjson b/hw/ip/i2c/data/i2c.hjson index dc2aee9eb59e5..5cb1bb708a16a 100644 --- a/hw/ip/i2c/data/i2c.hjson +++ b/hw/ip/i2c/data/i2c.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/data/i2c_sec_cm_testplan.hjson b/hw/ip/i2c/data/i2c_sec_cm_testplan.hjson index f12030e8aa4c7..04f85e1861bb8 100644 --- a/hw/ip/i2c/data/i2c_sec_cm_testplan.hjson +++ b/hw/ip/i2c/data/i2c_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/data/i2c_testplan.hjson b/hw/ip/i2c/data/i2c_testplan.hjson index dc384660fa454..4146a15907869 100644 --- a/hw/ip/i2c/data/i2c_testplan.hjson +++ b/hw/ip/i2c/data/i2c_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/i2c/dv/cov/cov_excl.cfg b/hw/ip/i2c/dv/cov/cov_excl.cfg index 5b5740b6a996e..0589954aa05f8 100644 --- a/hw/ip/i2c/dv/cov/cov_excl.cfg +++ b/hw/ip/i2c/dv/cov/cov_excl.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/cov/i2c_cov_excl.el b/hw/ip/i2c/dv/cov/i2c_cov_excl.el index d22cc593568f1..e56ade25fcb80 100644 --- a/hw/ip/i2c/dv/cov/i2c_cov_excl.el +++ b/hw/ip/i2c/dv/cov/i2c_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/dv/env/i2c_dv_if.sv b/hw/ip/i2c/dv/env/i2c_dv_if.sv index b2edfa0990e29..9bc1d79fc9609 100644 --- a/hw/ip/i2c/dv/env/i2c_dv_if.sv +++ b/hw/ip/i2c/dv/env/i2c_dv_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_env.core b/hw/ip/i2c/dv/env/i2c_env.core index 33a3e13bfee54..b0e1caa873472 100644 --- a/hw/ip/i2c/dv/env/i2c_env.core +++ b/hw/ip/i2c/dv/env/i2c_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:i2c_env:0.1" diff --git a/hw/ip/i2c/dv/env/i2c_env.sv b/hw/ip/i2c/dv/env/i2c_env.sv index 802057f65b3a6..29a7b37b0a24a 100644 --- a/hw/ip/i2c/dv/env/i2c_env.sv +++ b/hw/ip/i2c/dv/env/i2c_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_env_cfg.sv b/hw/ip/i2c/dv/env/i2c_env_cfg.sv index e3c683203fcbe..1a23a8f7299df 100644 --- a/hw/ip/i2c/dv/env/i2c_env_cfg.sv +++ b/hw/ip/i2c/dv/env/i2c_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 typedef class i2c_scoreboard; diff --git a/hw/ip/i2c/dv/env/i2c_env_cov.sv b/hw/ip/i2c/dv/env/i2c_env_cov.sv index bdaef4bb0c3d8..b4bfd28fa421c 100644 --- a/hw/ip/i2c/dv/env/i2c_env_cov.sv +++ b/hw/ip/i2c/dv/env/i2c_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_env_pkg.sv b/hw/ip/i2c/dv/env/i2c_env_pkg.sv index dbdcd165c29f5..31c8dbabcda1d 100644 --- a/hw/ip/i2c/dv/env/i2c_env_pkg.sv +++ b/hw/ip/i2c/dv/env/i2c_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_scoreboard.sv b/hw/ip/i2c/dv/env/i2c_scoreboard.sv index 510d2b63cb331..d9015f7765e1c 100644 --- a/hw/ip/i2c/dv/env/i2c_scoreboard.sv +++ b/hw/ip/i2c/dv/env/i2c_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_seq_cfg.sv b/hw/ip/i2c/dv/env/i2c_seq_cfg.sv index 192313c46057c..46d3924ac1b1a 100644 --- a/hw/ip/i2c/dv/env/i2c_seq_cfg.sv +++ b/hw/ip/i2c/dv/env/i2c_seq_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/i2c_virtual_sequencer.sv b/hw/ip/i2c/dv/env/i2c_virtual_sequencer.sv index 7269603ad7d15..c5fd803352623 100644 --- a/hw/ip/i2c/dv/env/i2c_virtual_sequencer.sv +++ b/hw/ip/i2c/dv/env/i2c_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_base_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_base_vseq.sv index fafeec22157b1..0482915759e08 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_base_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_common_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_common_vseq.sv index dd13527be3b3b..438d5ee8dce44 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_common_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_glitch_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_glitch_vseq.sv index 83a7defca73d4..0d75580573ae7 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_glitch_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_glitch_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_error_intr_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_error_intr_vseq.sv index 0d6a75c80d17d..ccfdd93f2e777 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_error_intr_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_error_intr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_fmt_empty_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_fmt_empty_vseq.sv index 57b0ccbf2b3b9..01eea28fc89b6 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_fmt_empty_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_fmt_empty_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_full_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_full_vseq.sv index 52bb8730e8a9b..58a28b28a4dae 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_full_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_full_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_overflow_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_overflow_vseq.sv index f5318495a8f3d..8a2808830f1e5 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_overflow_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_overflow_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_fmt_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_fmt_vseq.sv index 7f86c768d4c99..9df2b583e8d62 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_fmt_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_fmt_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_rx_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_rx_vseq.sv index 170bf0c82b679..3981181644692 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_rx_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_reset_rx_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_watermark_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_watermark_vseq.sv index 52caac4d22199..d35706544edd7 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_watermark_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_fifo_watermark_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_may_nack_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_may_nack_vseq.sv index c2c49464cda66..0ec83b673cb0e 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_may_nack_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_may_nack_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_mode_toggle_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_mode_toggle_vseq.sv index ee2dd87c872b7..b7ffc7e8f0318 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_mode_toggle_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_mode_toggle_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_override_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_override_vseq.sv index 0be0e2cdc828c..f84c8cfb84221 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_override_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_override_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_perf_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_perf_vseq.sv index 864f1cf961dce..7fa6bd7313f70 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_perf_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_perf_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_rx_oversample_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_rx_oversample_vseq.sv index c3b9dd7287e74..adf0fa05a0170 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_rx_oversample_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_rx_oversample_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_smoke_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_smoke_vseq.sv index 77d66263e2581..3dd6e4db2318b 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_smoke_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_stress_all_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_stress_all_vseq.sv index 08f6929ad8ba5..35fa32fc41517 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_stress_all_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_stretch_timeout_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_stretch_timeout_vseq.sv index 3d0414a0e1b66..3da8afdfac7c3 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_stretch_timeout_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_stretch_timeout_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_host_timeout_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_host_timeout_vseq.sv index 7a4cd300dcc0f..9e9fc15276e58 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_host_timeout_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_host_timeout_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_rx_tx_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_rx_tx_vseq.sv index e377f358ce7f4..2366e16a0f06c 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_rx_tx_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_rx_tx_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_ack_stop_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_ack_stop_vseq.sv index 85f94978584c0..3317d20e48334 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_ack_stop_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_ack_stop_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_acq_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_acq_vseq.sv index 026ea84bd84c7..2d5e06e249c09 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_acq_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_acq_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_tx_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_tx_vseq.sv index e3f80a17a31aa..684238a8f6f9c 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_tx_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_fifo_reset_tx_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_hrst_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_hrst_vseq.sv index 68e9a3a65d902..25270f2f4fa18 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_hrst_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_hrst_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_perf_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_perf_vseq.sv index 81ec194463882..42fb9448332bf 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_perf_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_perf_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_runtime_base_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_runtime_base_vseq.sv index 6b0d74ec4d696..0e19e433cfa6b 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_runtime_base_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_runtime_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_smoke_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_smoke_vseq.sv index 45462f13d09f8..2961b3f550590 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_smoke_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_all_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_all_vseq.sv index 55e0a20c94e8b..14688c19d26a1 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_all_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_rd_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_rd_vseq.sv index 9664fdbf06ae7..c75e29843a9a9 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_rd_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_rd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_wr_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_wr_vseq.sv index d969dc193dac8..f52248b57e6d0 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_wr_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stress_wr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stretch_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stretch_vseq.sv index 19db51879eb4d..f4aeb3a0aec70 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_stretch_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_stretch_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_target_timeout_vseq.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_target_timeout_vseq.sv index 85de6a7f7d7b9..9ddf71f4e1275 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_target_timeout_vseq.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_target_timeout_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/env/seq_lib/i2c_vseq_list.sv b/hw/ip/i2c/dv/env/seq_lib/i2c_vseq_list.sv index 9a1d9e537f9b6..8031ba44fccb7 100644 --- a/hw/ip/i2c/dv/env/seq_lib/i2c_vseq_list.sv +++ b/hw/ip/i2c/dv/env/seq_lib/i2c_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/i2c_sim.core b/hw/ip/i2c/dv/i2c_sim.core index c54f40ce7c86c..d89e637ed5ab0 100644 --- a/hw/ip/i2c/dv/i2c_sim.core +++ b/hw/ip/i2c/dv/i2c_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:i2c_sim:0.1" diff --git a/hw/ip/i2c/dv/i2c_sim_cfg.hjson b/hw/ip/i2c/dv/i2c_sim_cfg.hjson index b6d66f83046e4..29a5979873551 100644 --- a/hw/ip/i2c/dv/i2c_sim_cfg.hjson +++ b/hw/ip/i2c/dv/i2c_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/i2c/dv/sva/i2c_bind.sv b/hw/ip/i2c/dv/sva/i2c_bind.sv index 4c7559d79d325..a7b6e302ff6a3 100644 --- a/hw/ip/i2c/dv/sva/i2c_bind.sv +++ b/hw/ip/i2c/dv/sva/i2c_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/sva/i2c_protocol_cov.sv b/hw/ip/i2c/dv/sva/i2c_protocol_cov.sv index 81458ca9b30fa..5b727c92bd5fc 100644 --- a/hw/ip/i2c/dv/sva/i2c_protocol_cov.sv +++ b/hw/ip/i2c/dv/sva/i2c_protocol_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/sva/i2c_sva.core b/hw/ip/i2c/dv/sva/i2c_sva.core index ff798c8ae1dc4..7336df8486802 100644 --- a/hw/ip/i2c/dv/sva/i2c_sva.core +++ b/hw/ip/i2c/dv/sva/i2c_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:i2c_sva:0.1" diff --git a/hw/ip/i2c/dv/tb/i2c_port_conv.sv b/hw/ip/i2c/dv/tb/i2c_port_conv.sv index b93d54d3d33b1..7335db9a14518 100644 --- a/hw/ip/i2c/dv/tb/i2c_port_conv.sv +++ b/hw/ip/i2c/dv/tb/i2c_port_conv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/dv/tb/tb.sv b/hw/ip/i2c/dv/tb/tb.sv index 8f060b18edf94..de04860e97919 100644 --- a/hw/ip/i2c/dv/tb/tb.sv +++ b/hw/ip/i2c/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/dv/tests/i2c_base_test.sv b/hw/ip/i2c/dv/tests/i2c_base_test.sv index dc3f1b776ff1c..eaf97e0b86353 100644 --- a/hw/ip/i2c/dv/tests/i2c_base_test.sv +++ b/hw/ip/i2c/dv/tests/i2c_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/dv/tests/i2c_test.core b/hw/ip/i2c/dv/tests/i2c_test.core index c2fa5ca76cd2f..a29ae3e6873c4 100644 --- a/hw/ip/i2c/dv/tests/i2c_test.core +++ b/hw/ip/i2c/dv/tests/i2c_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:i2c_test:0.1" diff --git a/hw/ip/i2c/dv/tests/i2c_test_pkg.sv b/hw/ip/i2c/dv/tests/i2c_test_pkg.sv index c8eb55795134e..3767701178548 100644 --- a/hw/ip/i2c/dv/tests/i2c_test_pkg.sv +++ b/hw/ip/i2c/dv/tests/i2c_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/i2c.core b/hw/ip/i2c/i2c.core index 096196d86b145..acb8cffda4a98 100644 --- a/hw/ip/i2c/i2c.core +++ b/hw/ip/i2c/i2c.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:i2c:0.1" diff --git a/hw/ip/i2c/i2c_pkg.core b/hw/ip/i2c/i2c_pkg.core index a7fb1621bf1f0..231e3729a2de4 100644 --- a/hw/ip/i2c/i2c_pkg.core +++ b/hw/ip/i2c/i2c_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:i2c_pkg:0.1" diff --git a/hw/ip/i2c/lint/i2c.vlt b/hw/ip/i2c/lint/i2c.vlt index 9ef8138715eb5..bc2dac0e1143f 100644 --- a/hw/ip/i2c/lint/i2c.vlt +++ b/hw/ip/i2c/lint/i2c.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/lint/i2c.waiver b/hw/ip/i2c/lint/i2c.waiver index 4c26ade626149..8312e52c66502 100644 --- a/hw/ip/i2c/lint/i2c.waiver +++ b/hw/ip/i2c/lint/i2c.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/i2c/rtl/i2c.sv b/hw/ip/i2c/rtl/i2c.sv index bd328c87ce39f..90c3170efcd52 100644 --- a/hw/ip/i2c/rtl/i2c.sv +++ b/hw/ip/i2c/rtl/i2c.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_core.sv b/hw/ip/i2c/rtl/i2c_core.sv index bf627a753369a..13a0dd634af6d 100644 --- a/hw/ip/i2c/rtl/i2c_core.sv +++ b/hw/ip/i2c/rtl/i2c_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_fifo_sync_sram_adapter.sv b/hw/ip/i2c/rtl/i2c_fifo_sync_sram_adapter.sv index fc14285cd684e..4783525706a47 100644 --- a/hw/ip/i2c/rtl/i2c_fifo_sync_sram_adapter.sv +++ b/hw/ip/i2c/rtl/i2c_fifo_sync_sram_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_fifos.sv b/hw/ip/i2c/rtl/i2c_fifos.sv index fd45cb5394cff..c3633649e085d 100644 --- a/hw/ip/i2c/rtl/i2c_fifos.sv +++ b/hw/ip/i2c/rtl/i2c_fifos.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/i2c/rtl/i2c_fsm.sv b/hw/ip/i2c/rtl/i2c_fsm.sv index d351caff140d7..b5fc844c716f8 100644 --- a/hw/ip/i2c/rtl/i2c_fsm.sv +++ b/hw/ip/i2c/rtl/i2c_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_pkg.sv b/hw/ip/i2c/rtl/i2c_pkg.sv index 5ef116c73e4df..7da88152add67 100644 --- a/hw/ip/i2c/rtl/i2c_pkg.sv +++ b/hw/ip/i2c/rtl/i2c_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_reg_pkg.sv b/hw/ip/i2c/rtl/i2c_reg_pkg.sv index 78c3a0840472c..0c98d78f7f759 100644 --- a/hw/ip/i2c/rtl/i2c_reg_pkg.sv +++ b/hw/ip/i2c/rtl/i2c_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/i2c/rtl/i2c_reg_top.sv b/hw/ip/i2c/rtl/i2c_reg_top.sv index 9bd617b3df941..4908658969fe5 100644 --- a/hw/ip/i2c/rtl/i2c_reg_top.sv +++ b/hw/ip/i2c/rtl/i2c_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/BUILD b/hw/ip/keymgr/BUILD index dff7ff3fb1b4e..58d989e04b028 100644 --- a/hw/ip/keymgr/BUILD +++ b/hw/ip/keymgr/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/data/BUILD b/hw/ip/keymgr/data/BUILD index 053906d0e2970..6f44e147f4c7f 100644 --- a/hw/ip/keymgr/data/BUILD +++ b/hw/ip/keymgr/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/data/keymgr.hjson b/hw/ip/keymgr/data/keymgr.hjson index 790d6c5030265..33f90381a0fd8 100644 --- a/hw/ip/keymgr/data/keymgr.hjson +++ b/hw/ip/keymgr/data/keymgr.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/keymgr/data/keymgr_sec_cm_testplan.hjson b/hw/ip/keymgr/data/keymgr_sec_cm_testplan.hjson index 2bc336ad9d521..c97234c3619a5 100644 --- a/hw/ip/keymgr/data/keymgr_sec_cm_testplan.hjson +++ b/hw/ip/keymgr/data/keymgr_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/data/keymgr_testplan.hjson b/hw/ip/keymgr/data/keymgr_testplan.hjson index c69735dc50f12..5ad5eac75b59a 100644 --- a/hw/ip/keymgr/data/keymgr_testplan.hjson +++ b/hw/ip/keymgr/data/keymgr_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/keymgr/dv/cov/keymgr_cov_bind.sv b/hw/ip/keymgr/dv/cov/keymgr_cov_bind.sv index b88de13fc9bff..1419de2c44912 100644 --- a/hw/ip/keymgr/dv/cov/keymgr_cov_bind.sv +++ b/hw/ip/keymgr/dv/cov/keymgr_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/dv/cov/keymgr_cov_excl.el b/hw/ip/keymgr/dv/cov/keymgr_cov_excl.el index 1849f994a95e5..ebc31b03f6e90 100644 --- a/hw/ip/keymgr/dv/cov/keymgr_cov_excl.el +++ b/hw/ip/keymgr/dv/cov/keymgr_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/cov/keymgr_cover.cfg b/hw/ip/keymgr/dv/cov/keymgr_cover.cfg index ccad5dc28e175..91c83a5793540 100644 --- a/hw/ip/keymgr/dv/cov/keymgr_cover.cfg +++ b/hw/ip/keymgr/dv/cov/keymgr_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_env.core b/hw/ip/keymgr/dv/env/keymgr_env.core index 08388add816ff..879368c22ea38 100644 --- a/hw/ip/keymgr/dv/env/keymgr_env.core +++ b/hw/ip/keymgr/dv/env/keymgr_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:keymgr_env:0.1" diff --git a/hw/ip/keymgr/dv/env/keymgr_env.sv b/hw/ip/keymgr/dv/env/keymgr_env.sv index 39a74434594d2..78e144f7a8e89 100644 --- a/hw/ip/keymgr/dv/env/keymgr_env.sv +++ b/hw/ip/keymgr/dv/env/keymgr_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_env_cfg.sv b/hw/ip/keymgr/dv/env/keymgr_env_cfg.sv index cdd285614fbba..fead85c9f725b 100644 --- a/hw/ip/keymgr/dv/env/keymgr_env_cfg.sv +++ b/hw/ip/keymgr/dv/env/keymgr_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_env_cov.sv b/hw/ip/keymgr/dv/env/keymgr_env_cov.sv index 410d7d370003b..2838ed429baca 100644 --- a/hw/ip/keymgr/dv/env/keymgr_env_cov.sv +++ b/hw/ip/keymgr/dv/env/keymgr_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv b/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv index 9f9a4c67d9051..a7b165f965c3c 100644 --- a/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv +++ b/hw/ip/keymgr/dv/env/keymgr_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_if.sv b/hw/ip/keymgr/dv/env/keymgr_if.sv index 8da6ca67dd77a..28a7610020017 100644 --- a/hw/ip/keymgr/dv/env/keymgr_if.sv +++ b/hw/ip/keymgr/dv/env/keymgr_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv b/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv index b5127d65e060f..112544e7c86de 100644 --- a/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv +++ b/hw/ip/keymgr/dv/env/keymgr_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/keymgr_virtual_sequencer.sv b/hw/ip/keymgr/dv/env/keymgr_virtual_sequencer.sv index 8f76e3963e1da..0f80e658e6167 100644 --- a/hw/ip/keymgr/dv/env/keymgr_virtual_sequencer.sv +++ b/hw/ip/keymgr/dv/env/keymgr_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_base_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_base_vseq.sv index 8cbbde204ae87..7d41f14c55fbd 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_base_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_cfg_regwen_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_cfg_regwen_vseq.sv index ffec5a0878246..06404c95ebe3c 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_cfg_regwen_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_cfg_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_common_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_common_vseq.sv index 1a3a24bddf2dc..3abdd90fdd8f3 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_common_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_custom_cm_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_custom_cm_vseq.sv index df8c926a2c307..7bf46103f86e2 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_custom_cm_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_custom_cm_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_direct_to_disabled_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_direct_to_disabled_vseq.sv index c06304749657b..4fbad645b2d35 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_direct_to_disabled_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_direct_to_disabled_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_hwsw_invalid_input_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_hwsw_invalid_input_vseq.sv index 4af73f48eb3ae..2d72ed701d800 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_hwsw_invalid_input_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_hwsw_invalid_input_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_kmac_rsp_err_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_kmac_rsp_err_vseq.sv index 542efda999205..24d7bd864cfa8 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_kmac_rsp_err_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_kmac_rsp_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_lc_disable_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_lc_disable_vseq.sv index 3cec845f545e7..9cdc4eca89582 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_lc_disable_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_lc_disable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_random_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_random_vseq.sv index 49ca61c331526..18081c9bcca78 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_random_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_random_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_one_intf_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_one_intf_vseq.sv index 59ae85cfce861..24f82ea7c7089 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_one_intf_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_one_intf_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_protect_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_protect_vseq.sv index 95bb01bd1b109..bd62568331e3c 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_protect_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_protect_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_vseq.sv index 58ef131fe2a87..750e0a8fddcca 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sideload_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_smoke_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_smoke_vseq.sv index 9af30a7f0025a..275fc9f08a086 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_smoke_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_stress_all_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_stress_all_vseq.sv index 9c214f257e1d4..28931f9e11620 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_stress_all_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sw_invalid_input_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sw_invalid_input_vseq.sv index f30bdba9496db..fce0d354cde2b 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sw_invalid_input_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sw_invalid_input_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sync_async_fault_cross_vseq.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sync_async_fault_cross_vseq.sv index 2a3751bde5760..ba252abec6430 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_sync_async_fault_cross_vseq.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_sync_async_fault_cross_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/env/seq_lib/keymgr_vseq_list.sv b/hw/ip/keymgr/dv/env/seq_lib/keymgr_vseq_list.sv index 7cc0e00eff788..4a641698389ed 100644 --- a/hw/ip/keymgr/dv/env/seq_lib/keymgr_vseq_list.sv +++ b/hw/ip/keymgr/dv/env/seq_lib/keymgr_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/keymgr_sim.core b/hw/ip/keymgr/dv/keymgr_sim.core index 35dc49135eb75..8498bf82c324b 100644 --- a/hw/ip/keymgr/dv/keymgr_sim.core +++ b/hw/ip/keymgr/dv/keymgr_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:keymgr_sim:0.1" diff --git a/hw/ip/keymgr/dv/keymgr_sim_cfg.hjson b/hw/ip/keymgr/dv/keymgr_sim_cfg.hjson index 28293ec332af5..d1dc0e040c96f 100644 --- a/hw/ip/keymgr/dv/keymgr_sim_cfg.hjson +++ b/hw/ip/keymgr/dv/keymgr_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/keymgr/dv/sva/keymgr_bind.sv b/hw/ip/keymgr/dv/sva/keymgr_bind.sv index 3e21c4c1cd0a4..5da93b3a9cf27 100644 --- a/hw/ip/keymgr/dv/sva/keymgr_bind.sv +++ b/hw/ip/keymgr/dv/sva/keymgr_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/sva/keymgr_sva.core b/hw/ip/keymgr/dv/sva/keymgr_sva.core index 4a15ebd3f8948..dd3801f50b1f9 100644 --- a/hw/ip/keymgr/dv/sva/keymgr_sva.core +++ b/hw/ip/keymgr/dv/sva/keymgr_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:keymgr_sva:0.1" diff --git a/hw/ip/keymgr/dv/tb.sv b/hw/ip/keymgr/dv/tb.sv index 45a948fd81f12..93095ad54f1aa 100644 --- a/hw/ip/keymgr/dv/tb.sv +++ b/hw/ip/keymgr/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/dv/tests/keymgr_base_test.sv b/hw/ip/keymgr/dv/tests/keymgr_base_test.sv index 21d3d460de6eb..925ef483f372e 100644 --- a/hw/ip/keymgr/dv/tests/keymgr_base_test.sv +++ b/hw/ip/keymgr/dv/tests/keymgr_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/dv/tests/keymgr_test.core b/hw/ip/keymgr/dv/tests/keymgr_test.core index 29c41fefe91a1..5cda00be4175b 100644 --- a/hw/ip/keymgr/dv/tests/keymgr_test.core +++ b/hw/ip/keymgr/dv/tests/keymgr_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:keymgr_test:0.1" diff --git a/hw/ip/keymgr/dv/tests/keymgr_test_pkg.sv b/hw/ip/keymgr/dv/tests/keymgr_test_pkg.sv index c0d767995d95f..6183becf164a0 100644 --- a/hw/ip/keymgr/dv/tests/keymgr_test_pkg.sv +++ b/hw/ip/keymgr/dv/tests/keymgr_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/keymgr/keymgr.core b/hw/ip/keymgr/keymgr.core index f90be4d74e6a2..7d049b58f74a3 100644 --- a/hw/ip/keymgr/keymgr.core +++ b/hw/ip/keymgr/keymgr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:keymgr:0.1" diff --git a/hw/ip/keymgr/keymgr_pkg.core b/hw/ip/keymgr/keymgr_pkg.core index 8e2b5bb53814c..0a2f95da78e11 100644 --- a/hw/ip/keymgr/keymgr_pkg.core +++ b/hw/ip/keymgr/keymgr_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:keymgr_pkg:0.1" diff --git a/hw/ip/keymgr/lint/keymgr.vlt b/hw/ip/keymgr/lint/keymgr.vlt index d8aff5f9b3bd4..12a6dab3c94ab 100644 --- a/hw/ip/keymgr/lint/keymgr.vlt +++ b/hw/ip/keymgr/lint/keymgr.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/lint/keymgr.waiver b/hw/ip/keymgr/lint/keymgr.waiver index 5e5d4157d553e..61e85f8771226 100644 --- a/hw/ip/keymgr/lint/keymgr.waiver +++ b/hw/ip/keymgr/lint/keymgr.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/keymgr/rtl/keymgr.sv b/hw/ip/keymgr/rtl/keymgr.sv index cbe3a0bbc9db2..6dd8fc2cbd3e6 100644 --- a/hw/ip/keymgr/rtl/keymgr.sv +++ b/hw/ip/keymgr/rtl/keymgr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_cfg_en.sv b/hw/ip/keymgr/rtl/keymgr_cfg_en.sv index e092111673922..293453a366df2 100644 --- a/hw/ip/keymgr/rtl/keymgr_cfg_en.sv +++ b/hw/ip/keymgr/rtl/keymgr_cfg_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_ctrl.sv b/hw/ip/keymgr/rtl/keymgr_ctrl.sv index b03dd78b381ba..41c041f03d623 100644 --- a/hw/ip/keymgr/rtl/keymgr_ctrl.sv +++ b/hw/ip/keymgr/rtl/keymgr_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_data_en_state.sv b/hw/ip/keymgr/rtl/keymgr_data_en_state.sv index 36079987bd6ff..dae763c98b854 100644 --- a/hw/ip/keymgr/rtl/keymgr_data_en_state.sv +++ b/hw/ip/keymgr/rtl/keymgr_data_en_state.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_err.sv b/hw/ip/keymgr/rtl/keymgr_err.sv index 09fe8e55045fc..90b677c8fe599 100644 --- a/hw/ip/keymgr/rtl/keymgr_err.sv +++ b/hw/ip/keymgr/rtl/keymgr_err.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_input_checks.sv b/hw/ip/keymgr/rtl/keymgr_input_checks.sv index 77420cf2f7b55..dc155299de83d 100644 --- a/hw/ip/keymgr/rtl/keymgr_input_checks.sv +++ b/hw/ip/keymgr/rtl/keymgr_input_checks.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_kmac_if.sv b/hw/ip/keymgr/rtl/keymgr_kmac_if.sv index c414581990d5c..f20279dbf7648 100644 --- a/hw/ip/keymgr/rtl/keymgr_kmac_if.sv +++ b/hw/ip/keymgr/rtl/keymgr_kmac_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_op_state_ctrl.sv b/hw/ip/keymgr/rtl/keymgr_op_state_ctrl.sv index 42c6f14ff858c..1406ea11dc7b1 100644 --- a/hw/ip/keymgr/rtl/keymgr_op_state_ctrl.sv +++ b/hw/ip/keymgr/rtl/keymgr_op_state_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_pkg.sv b/hw/ip/keymgr/rtl/keymgr_pkg.sv index d00071197ad0c..dbc00cd58bb36 100644 --- a/hw/ip/keymgr/rtl/keymgr_pkg.sv +++ b/hw/ip/keymgr/rtl/keymgr_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv b/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv index cd9d742397004..a39f4e65e8f92 100644 --- a/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv +++ b/hw/ip/keymgr/rtl/keymgr_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_reg_top.sv b/hw/ip/keymgr/rtl/keymgr_reg_top.sv index 803777a3bbae0..6793f5bbc533a 100644 --- a/hw/ip/keymgr/rtl/keymgr_reg_top.sv +++ b/hw/ip/keymgr/rtl/keymgr_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_reseed_ctrl.sv b/hw/ip/keymgr/rtl/keymgr_reseed_ctrl.sv index 369c6d491ff4d..4e30e1c6a1bc3 100644 --- a/hw/ip/keymgr/rtl/keymgr_reseed_ctrl.sv +++ b/hw/ip/keymgr/rtl/keymgr_reseed_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_sideload_key.sv b/hw/ip/keymgr/rtl/keymgr_sideload_key.sv index befe71de01dc9..79b1d38d71066 100644 --- a/hw/ip/keymgr/rtl/keymgr_sideload_key.sv +++ b/hw/ip/keymgr/rtl/keymgr_sideload_key.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/rtl/keymgr_sideload_key_ctrl.sv b/hw/ip/keymgr/rtl/keymgr_sideload_key_ctrl.sv index 8a8b35cd9468a..c06f5cad3a2a5 100644 --- a/hw/ip/keymgr/rtl/keymgr_sideload_key_ctrl.sv +++ b/hw/ip/keymgr/rtl/keymgr_sideload_key_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/keymgr/syn/constraints.sdc b/hw/ip/keymgr/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/keymgr/syn/constraints.sdc +++ b/hw/ip/keymgr/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/keymgr/syn/keymgr_gtech_syn_cfg.hjson b/hw/ip/keymgr/syn/keymgr_gtech_syn_cfg.hjson index 0235432bcc001..42e97acfa666a 100644 --- a/hw/ip/keymgr/syn/keymgr_gtech_syn_cfg.hjson +++ b/hw/ip/keymgr/syn/keymgr_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/keymgr/syn/keymgr_syn_cfg.hjson b/hw/ip/keymgr/syn/keymgr_syn_cfg.hjson index 7568124329d4e..81db68a6db084 100644 --- a/hw/ip/keymgr/syn/keymgr_syn_cfg.hjson +++ b/hw/ip/keymgr/syn/keymgr_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/keymgr/syn/post_elab_gtech.tcl b/hw/ip/keymgr/syn/post_elab_gtech.tcl index d2e9a7984aa71..d8f8676a11705 100644 --- a/hw/ip/keymgr/syn/post_elab_gtech.tcl +++ b/hw/ip/keymgr/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/kmac/BUILD b/hw/ip/kmac/BUILD index 6a27072d30938..5a610086d0267 100644 --- a/hw/ip/kmac/BUILD +++ b/hw/ip/kmac/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/data/BUILD b/hw/ip/kmac/data/BUILD index 343d9d1a67827..5d91248bc073e 100644 --- a/hw/ip/kmac/data/BUILD +++ b/hw/ip/kmac/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/data/kmac.hjson b/hw/ip/kmac/data/kmac.hjson index 505c2bea7b4a1..39f2d515c3c40 100644 --- a/hw/ip/kmac/data/kmac.hjson +++ b/hw/ip/kmac/data/kmac.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/data/kmac_sec_cm_testplan.hjson b/hw/ip/kmac/data/kmac_sec_cm_testplan.hjson index 174d754fce6f7..17628c71a560f 100644 --- a/hw/ip/kmac/data/kmac_sec_cm_testplan.hjson +++ b/hw/ip/kmac/data/kmac_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/data/kmac_testplan.hjson b/hw/ip/kmac/data/kmac_testplan.hjson index 1c4ec7258eb46..40d3b467bc497 100644 --- a/hw/ip/kmac/data/kmac_testplan.hjson +++ b/hw/ip/kmac/data/kmac_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/kmac/dv/cov/kmac_cov.core b/hw/ip/kmac/dv/cov/kmac_cov.core index b810f7e5b4e8a..8b5fef36e97e0 100644 --- a/hw/ip/kmac/dv/cov/kmac_cov.core +++ b/hw/ip/kmac/dv/cov/kmac_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_cov" diff --git a/hw/ip/kmac/dv/cov/kmac_cov_bind.sv b/hw/ip/kmac/dv/cov/kmac_cov_bind.sv index 9ea350043b6e2..d402499056190 100644 --- a/hw/ip/kmac/dv/cov/kmac_cov_bind.sv +++ b/hw/ip/kmac/dv/cov/kmac_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/cov/kmac_cov_if.sv b/hw/ip/kmac/dv/cov/kmac_cov_if.sv index 179fa0ca59407..8bd3d446447b5 100644 --- a/hw/ip/kmac/dv/cov/kmac_cov_if.sv +++ b/hw/ip/kmac/dv/cov/kmac_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/cov/kmac_masked_terminal_st_excl.el b/hw/ip/kmac/dv/cov/kmac_masked_terminal_st_excl.el index 2e3c601c9f702..894b180c105c1 100644 --- a/hw/ip/kmac/dv/cov/kmac_masked_terminal_st_excl.el +++ b/hw/ip/kmac/dv/cov/kmac_masked_terminal_st_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/dv/cov/kmac_unmasked_cov_excl.el b/hw/ip/kmac/dv/cov/kmac_unmasked_cov_excl.el index dfee66bb0ed4f..44073a2f6289b 100644 --- a/hw/ip/kmac/dv/cov/kmac_unmasked_cov_excl.el +++ b/hw/ip/kmac/dv/cov/kmac_unmasked_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/cov/kmac_unmasked_terminal_st_excl.el b/hw/ip/kmac/dv/cov/kmac_unmasked_terminal_st_excl.el index b1503dc3cd2f5..e5f446d671892 100644 --- a/hw/ip/kmac/dv/cov/kmac_unmasked_terminal_st_excl.el +++ b/hw/ip/kmac/dv/cov/kmac_unmasked_terminal_st_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/dv/cov/sha3pad_assert_if.sv b/hw/ip/kmac/dv/cov/sha3pad_assert_if.sv index 0d0e47c3fd10c..6217b500dabae 100644 --- a/hw/ip/kmac/dv/cov/sha3pad_assert_if.sv +++ b/hw/ip/kmac/dv/cov/sha3pad_assert_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/dpi/digestpp_dpi.cc b/hw/ip/kmac/dv/dpi/digestpp_dpi.cc index e9f2eb5f44877..3ca6cdb1cbbc9 100644 --- a/hw/ip/kmac/dv/dpi/digestpp_dpi.cc +++ b/hw/ip/kmac/dv/dpi/digestpp_dpi.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/dpi/digestpp_dpi.core b/hw/ip/kmac/dv/dpi/digestpp_dpi.core index f34d95b04e05c..97365ae6c9572 100644 --- a/hw/ip/kmac/dv/dpi/digestpp_dpi.core +++ b/hw/ip/kmac/dv/dpi/digestpp_dpi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:digestpp_dpi:0.1" diff --git a/hw/ip/kmac/dv/dpi/digestpp_dpi_pkg.sv b/hw/ip/kmac/dv/dpi/digestpp_dpi_pkg.sv index 49a7788122c7e..918245eabc676 100644 --- a/hw/ip/kmac/dv/dpi/digestpp_dpi_pkg.sv +++ b/hw/ip/kmac/dv/dpi/digestpp_dpi_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.lock.hjson b/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.lock.hjson index 98f30d3829c17..b7a238e4458b5 100644 --- a/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.lock.hjson +++ b/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.lock.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.vendor.hjson b/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.vendor.hjson index 7af073a539602..96c96d1c50d1f 100644 --- a/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.vendor.hjson +++ b/hw/ip/kmac/dv/dpi/vendor/kerukuro_digestpp.vendor.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/kmac/dv/env/kmac_env.core b/hw/ip/kmac/dv/env/kmac_env.core index eaec507dae4b9..8b32d163870cd 100644 --- a/hw/ip/kmac/dv/env/kmac_env.core +++ b/hw/ip/kmac/dv/env/kmac_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_env:0.1" diff --git a/hw/ip/kmac/dv/env/kmac_env.sv b/hw/ip/kmac/dv/env/kmac_env.sv index 6dac0c13236bd..b218b86bd1a6f 100644 --- a/hw/ip/kmac/dv/env/kmac_env.sv +++ b/hw/ip/kmac/dv/env/kmac_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_env_cfg.sv b/hw/ip/kmac/dv/env/kmac_env_cfg.sv index f0a7cd4a6c53c..35fd5cff40581 100644 --- a/hw/ip/kmac/dv/env/kmac_env_cfg.sv +++ b/hw/ip/kmac/dv/env/kmac_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_env_cov.sv b/hw/ip/kmac/dv/env/kmac_env_cov.sv index b6421c70b9bf0..a846c3da6110d 100644 --- a/hw/ip/kmac/dv/env/kmac_env_cov.sv +++ b/hw/ip/kmac/dv/env/kmac_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_env_pkg.sv b/hw/ip/kmac/dv/env/kmac_env_pkg.sv index b8d5c27ff2d8e..50ce63db18b26 100644 --- a/hw/ip/kmac/dv/env/kmac_env_pkg.sv +++ b/hw/ip/kmac/dv/env/kmac_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_if.sv b/hw/ip/kmac/dv/env/kmac_if.sv index 8a2bf58534394..710b085e160db 100644 --- a/hw/ip/kmac/dv/env/kmac_if.sv +++ b/hw/ip/kmac/dv/env/kmac_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_scoreboard.sv b/hw/ip/kmac/dv/env/kmac_scoreboard.sv index 8dd4ab7e5cb07..65b44ba27e983 100644 --- a/hw/ip/kmac/dv/env/kmac_scoreboard.sv +++ b/hw/ip/kmac/dv/env/kmac_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/kmac_virtual_sequencer.sv b/hw/ip/kmac/dv/env/kmac_virtual_sequencer.sv index 151a85b41337f..9fd88043e4d82 100644 --- a/hw/ip/kmac/dv/env/kmac_virtual_sequencer.sv +++ b/hw/ip/kmac/dv/env/kmac_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_app_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_app_vseq.sv index 5ae531bfb4377..4cc702fdae311 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_app_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_app_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_app_with_partial_data_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_app_with_partial_data_vseq.sv index c98b21c22df48..d89a39db901b9 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_app_with_partial_data_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_app_with_partial_data_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_base_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_base_vseq.sv index 0c2cf96530e46..a659fc9aa3b76 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_base_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_burst_write_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_burst_write_vseq.sv index aa31a51b428fb..8eec114babd34 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_burst_write_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_burst_write_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_common_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_common_vseq.sv index 523d244fee687..ff1f2fc0f5a32 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_common_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_edn_timeout_error_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_edn_timeout_error_vseq.sv index fcbefc7ea6d59..f3b5a0323eaa2 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_edn_timeout_error_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_edn_timeout_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_mode_error_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_mode_error_vseq.sv index 25cc968b1f6c8..9888141fabf87 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_mode_error_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_mode_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_ready_error_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_ready_error_vseq.sv index 5322b61183bb9..0eb559b7bf838 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_ready_error_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_ready_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_refresh_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_refresh_vseq.sv index 56a141f23544f..ec7cbc3579774 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_refresh_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_entropy_refresh_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_error_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_error_vseq.sv index 4f8b9b328170b..118f9645e9067 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_error_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_key_error_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_key_error_vseq.sv index 611eb0534ccf0..67d50f348669a 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_key_error_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_key_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_lc_escalation_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_lc_escalation_vseq.sv index c2947b72f543b..bbf9e55d48982 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_lc_escalation_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_lc_escalation_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_long_msg_and_output_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_long_msg_and_output_vseq.sv index 3d64dab02b44f..b383a901ceec3 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_long_msg_and_output_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_long_msg_and_output_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_mubi_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_mubi_vseq.sv index 67cc682a74928..5630ed5c4cc7f 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_mubi_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_mubi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_sideload_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_sideload_vseq.sv index 82c20d78cab84..3eeec8363a923 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_sideload_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_sideload_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_smoke_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_smoke_vseq.sv index ba5205dc115ee..ddb1021baf948 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_smoke_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_stress_all_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_stress_all_vseq.sv index 3d242886fd834..bb1cd42de1e09 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_stress_all_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_base_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_base_vseq.sv index 02eb0ee794237..f57d70949fac7 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_base_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_vseq.sv index c4a5d79b43024..46fd02788207c 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_xof_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_xof_vseq.sv index 214495f2d35bd..8fed78cd3bd17 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_xof_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_kmac_xof_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_sha3_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_sha3_vseq.sv index 570bb3cb80193..a065117e1cb7d 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_sha3_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_sha3_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_shake_vseq.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_shake_vseq.sv index 61a7af98ce1dc..cf630431b99a9 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_shake_vseq.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_test_vectors_shake_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/env/seq_lib/kmac_vseq_list.sv b/hw/ip/kmac/dv/env/seq_lib/kmac_vseq_list.sv index 7ecee1caa7698..e46ad912dd071 100644 --- a/hw/ip/kmac/dv/env/seq_lib/kmac_vseq_list.sv +++ b/hw/ip/kmac/dv/env/seq_lib/kmac_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/kmac_base_sim_cfg.hjson b/hw/ip/kmac/dv/kmac_base_sim_cfg.hjson index 5714070d50057..8a04b33c93361 100644 --- a/hw/ip/kmac/dv/kmac_base_sim_cfg.hjson +++ b/hw/ip/kmac/dv/kmac_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/kmac/dv/kmac_masked_sim_cfg.hjson b/hw/ip/kmac/dv/kmac_masked_sim_cfg.hjson index 97950a8819fe2..d339bb098bd10 100644 --- a/hw/ip/kmac/dv/kmac_masked_sim_cfg.hjson +++ b/hw/ip/kmac/dv/kmac_masked_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/kmac_sim.core b/hw/ip/kmac/dv/kmac_sim.core index d781553de9a5e..17fbd3d8a8a78 100644 --- a/hw/ip/kmac/dv/kmac_sim.core +++ b/hw/ip/kmac/dv/kmac_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_sim:0.1" diff --git a/hw/ip/kmac/dv/kmac_unmasked_sim_cfg.hjson b/hw/ip/kmac/dv/kmac_unmasked_sim_cfg.hjson index d713afe33ae9b..8b68da19ce18f 100644 --- a/hw/ip/kmac/dv/kmac_unmasked_sim_cfg.hjson +++ b/hw/ip/kmac/dv/kmac_unmasked_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/sva/kmac_bind.sv b/hw/ip/kmac/dv/sva/kmac_bind.sv index aaa2b900e7b94..2c8a2b695f198 100644 --- a/hw/ip/kmac/dv/sva/kmac_bind.sv +++ b/hw/ip/kmac/dv/sva/kmac_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/sva/kmac_sva.core b/hw/ip/kmac/dv/sva/kmac_sva.core index 129818f245558..4f6241b140d93 100644 --- a/hw/ip/kmac/dv/sva/kmac_sva.core +++ b/hw/ip/kmac/dv/sva/kmac_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_sva:0.1" diff --git a/hw/ip/kmac/dv/tb.sv b/hw/ip/kmac/dv/tb.sv index a4e2fef39b10a..30a03dbedfff2 100644 --- a/hw/ip/kmac/dv/tb.sv +++ b/hw/ip/kmac/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/tests/kmac_base_test.sv b/hw/ip/kmac/dv/tests/kmac_base_test.sv index e45d045d4d12e..879b222113d43 100644 --- a/hw/ip/kmac/dv/tests/kmac_base_test.sv +++ b/hw/ip/kmac/dv/tests/kmac_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/dv/tests/kmac_test.core b/hw/ip/kmac/dv/tests/kmac_test.core index 823309f31984c..2b9eced0d603c 100644 --- a/hw/ip/kmac/dv/tests/kmac_test.core +++ b/hw/ip/kmac/dv/tests/kmac_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:kmac_test:0.1" diff --git a/hw/ip/kmac/dv/tests/kmac_test_pkg.sv b/hw/ip/kmac/dv/tests/kmac_test_pkg.sv index b103535bb0602..fa048371da341 100644 --- a/hw/ip/kmac/dv/tests/kmac_test_pkg.sv +++ b/hw/ip/kmac/dv/tests/kmac_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/fpv/keccak_2share_fpv.core b/hw/ip/kmac/fpv/keccak_2share_fpv.core index 5e2a1727291f7..8589f43b4edcc 100644 --- a/hw/ip/kmac/fpv/keccak_2share_fpv.core +++ b/hw/ip/kmac/fpv/keccak_2share_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:keccak_2share_fpv:0.1" diff --git a/hw/ip/kmac/fpv/keccak_round_fpv.core b/hw/ip/kmac/fpv/keccak_round_fpv.core index 5d2b8cf84cb36..5c16768584a2b 100644 --- a/hw/ip/kmac/fpv/keccak_round_fpv.core +++ b/hw/ip/kmac/fpv/keccak_round_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:keccak_round_fpv:0.1" diff --git a/hw/ip/kmac/fpv/sha3_fpv.core b/hw/ip/kmac/fpv/sha3_fpv.core index 6d9568e270212..7804830ae520c 100644 --- a/hw/ip/kmac/fpv/sha3_fpv.core +++ b/hw/ip/kmac/fpv/sha3_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:sha3_fpv:0.1" diff --git a/hw/ip/kmac/fpv/sha3pad_fpv.core b/hw/ip/kmac/fpv/sha3pad_fpv.core index c500307ccfb4b..c8d4c4cd71870 100644 --- a/hw/ip/kmac/fpv/sha3pad_fpv.core +++ b/hw/ip/kmac/fpv/sha3pad_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:sha3pad_fpv:0.1" diff --git a/hw/ip/kmac/fpv/tb/keccak_2share_fpv.sv b/hw/ip/kmac/fpv/tb/keccak_2share_fpv.sv index 7523d1175158e..436877481eb2a 100644 --- a/hw/ip/kmac/fpv/tb/keccak_2share_fpv.sv +++ b/hw/ip/kmac/fpv/tb/keccak_2share_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/fpv/tb/keccak_round_fpv.sv b/hw/ip/kmac/fpv/tb/keccak_round_fpv.sv index fca35a53fe5bb..09aef5d14020d 100644 --- a/hw/ip/kmac/fpv/tb/keccak_round_fpv.sv +++ b/hw/ip/kmac/fpv/tb/keccak_round_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/fpv/tb/sha3_fpv.sv b/hw/ip/kmac/fpv/tb/sha3_fpv.sv index 45013dade604b..c01357d9d42c9 100644 --- a/hw/ip/kmac/fpv/tb/sha3_fpv.sv +++ b/hw/ip/kmac/fpv/tb/sha3_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/fpv/tb/sha3pad_fpv.sv b/hw/ip/kmac/fpv/tb/sha3pad_fpv.sv index 5d0a8539e0794..d38b86591674f 100644 --- a/hw/ip/kmac/fpv/tb/sha3pad_fpv.sv +++ b/hw/ip/kmac/fpv/tb/sha3pad_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/kmac.core b/hw/ip/kmac/kmac.core index 835e60144194a..c4472c4e1ddea 100644 --- a/hw/ip/kmac/kmac.core +++ b/hw/ip/kmac/kmac.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:kmac:0.1" diff --git a/hw/ip/kmac/kmac_pkg.core b/hw/ip/kmac/kmac_pkg.core index e92ea1a20520f..832fc03924979 100644 --- a/hw/ip/kmac/kmac_pkg.core +++ b/hw/ip/kmac/kmac_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:kmac_pkg:0.1" diff --git a/hw/ip/kmac/kmac_reduced.core b/hw/ip/kmac/kmac_reduced.core index 6a87fc4f1430f..85e69dde472c1 100644 --- a/hw/ip/kmac/kmac_reduced.core +++ b/hw/ip/kmac/kmac_reduced.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:kmac_reduced:0.1" diff --git a/hw/ip/kmac/lint/kmac.vlt b/hw/ip/kmac/lint/kmac.vlt index e32bb34425d35..c7e19ce910663 100644 --- a/hw/ip/kmac/lint/kmac.vlt +++ b/hw/ip/kmac/lint/kmac.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/lint/kmac.waiver b/hw/ip/kmac/lint/kmac.waiver index 7f49c1ae9de2f..31b87c4c4ae72 100644 --- a/hw/ip/kmac/lint/kmac.waiver +++ b/hw/ip/kmac/lint/kmac.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/kmac/lint/sha3.vlt b/hw/ip/kmac/lint/sha3.vlt index 3d2ac1008a325..5fd3ed4e9372f 100644 --- a/hw/ip/kmac/lint/sha3.vlt +++ b/hw/ip/kmac/lint/sha3.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/lint/sha3.waiver b/hw/ip/kmac/lint/sha3.waiver index 47987dfb1f409..b1f77c64eeb66 100644 --- a/hw/ip/kmac/lint/sha3.waiver +++ b/hw/ip/kmac/lint/sha3.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/kmac/pre_dv/keccak_round/keccak_round.core b/hw/ip/kmac/pre_dv/keccak_round/keccak_round.core index b3dcbed85bc0f..77d9df499aacc 100644 --- a/hw/ip/kmac/pre_dv/keccak_round/keccak_round.core +++ b/hw/ip/kmac/pre_dv/keccak_round/keccak_round.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:keccak_round" diff --git a/hw/ip/kmac/pre_dv/kmac_reduced_tb/cpp/kmac_reduced_tb.cc b/hw/ip/kmac/pre_dv/kmac_reduced_tb/cpp/kmac_reduced_tb.cc index 9cc2d45da0088..2993e4997c94e 100644 --- a/hw/ip/kmac/pre_dv/kmac_reduced_tb/cpp/kmac_reduced_tb.cc +++ b/hw/ip/kmac/pre_dv/kmac_reduced_tb/cpp/kmac_reduced_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_dv/kmac_reduced_tb/kmac_reduced_tb.core b/hw/ip/kmac/pre_dv/kmac_reduced_tb/kmac_reduced_tb.core index 32f632009076f..e116b150cba94 100644 --- a/hw/ip/kmac/pre_dv/kmac_reduced_tb/kmac_reduced_tb.core +++ b/hw/ip/kmac/pre_dv/kmac_reduced_tb/kmac_reduced_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:kmac_reduced_tb" diff --git a/hw/ip/kmac/pre_dv/kmac_reduced_tb/rtl/kmac_reduced_tb.sv b/hw/ip/kmac/pre_dv/kmac_reduced_tb/rtl/kmac_reduced_tb.sv index 6ab7f558bd4e3..b22ad759227c6 100644 --- a/hw/ip/kmac/pre_dv/kmac_reduced_tb/rtl/kmac_reduced_tb.sv +++ b/hw/ip/kmac/pre_dv/kmac_reduced_tb/rtl/kmac_reduced_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/pre_sca/alma/cpp/verilator_tb_keccak_2share.cpp b/hw/ip/kmac/pre_sca/alma/cpp/verilator_tb_keccak_2share.cpp index f577ec34f3f03..cf84dc323972a 100644 --- a/hw/ip/kmac/pre_sca/alma/cpp/verilator_tb_keccak_2share.cpp +++ b/hw/ip/kmac/pre_sca/alma/cpp/verilator_tb_keccak_2share.cpp @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Copyright IAIK. // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_sca/alma/verify_kmac.sh b/hw/ip/kmac/pre_sca/alma/verify_kmac.sh index 1a90d25384ae1..ebd983136885a 100755 --- a/hw/ip/kmac/pre_sca/alma/verify_kmac.sh +++ b/hw/ip/kmac/pre_sca/alma/verify_kmac.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_sca/prolead/evaluate.sh b/hw/ip/kmac/pre_sca/prolead/evaluate.sh index bcbb75925ae33..2e78575919cbb 100755 --- a/hw/ip/kmac/pre_sca/prolead/evaluate.sh +++ b/hw/ip/kmac/pre_sca/prolead/evaluate.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_sca/prolead/kmac_reduced_config.set b/hw/ip/kmac/pre_sca/prolead/kmac_reduced_config.set index c548d87de45b9..439b19c5a7349 100644 --- a/hw/ip/kmac/pre_sca/prolead/kmac_reduced_config.set +++ b/hw/ip/kmac/pre_sca/prolead/kmac_reduced_config.set @@ -1,4 +1,4 @@ -% Copyright lowRISC contributors. +% Copyright lowRISC contributors (OpenTitan project). % Copyright (c) 2022 ChairImpSec. All rights reserved. % SPDX-License-Identifier: BSD-3-Clause % diff --git a/hw/ip/kmac/pre_syn/kmac_lr_synth_conf.tcl b/hw/ip/kmac/pre_syn/kmac_lr_synth_conf.tcl index b1304fc8062ea..1947aec6c634b 100644 --- a/hw/ip/kmac/pre_syn/kmac_lr_synth_conf.tcl +++ b/hw/ip/kmac/pre_syn/kmac_lr_synth_conf.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_syn/syn_setup.example.sh b/hw/ip/kmac/pre_syn/syn_setup.example.sh index 7a938107622e8..14c929eb06cc7 100644 --- a/hw/ip/kmac/pre_syn/syn_setup.example.sh +++ b/hw/ip/kmac/pre_syn/syn_setup.example.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_syn/syn_yosys.sh b/hw/ip/kmac/pre_syn/syn_yosys.sh index 353712648ea38..81d555cd96cec 100755 --- a/hw/ip/kmac/pre_syn/syn_yosys.sh +++ b/hw/ip/kmac/pre_syn/syn_yosys.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_syn/tcl/lr_synth_flow_var_setup.tcl b/hw/ip/kmac/pre_syn/tcl/lr_synth_flow_var_setup.tcl index 6946a0ef1ce3c..4c24a495e6686 100644 --- a/hw/ip/kmac/pre_syn/tcl/lr_synth_flow_var_setup.tcl +++ b/hw/ip/kmac/pre_syn/tcl/lr_synth_flow_var_setup.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/pre_syn/tcl/yosys_run_synth.tcl b/hw/ip/kmac/pre_syn/tcl/yosys_run_synth.tcl index 15dd7deb216d0..9ff97b0fe3604 100644 --- a/hw/ip/kmac/pre_syn/tcl/yosys_run_synth.tcl +++ b/hw/ip/kmac/pre_syn/tcl/yosys_run_synth.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/kmac/rtl/keccak_2share.sv b/hw/ip/kmac/rtl/keccak_2share.sv index 4823a0d2e7b88..bb0dfa6965c65 100644 --- a/hw/ip/kmac/rtl/keccak_2share.sv +++ b/hw/ip/kmac/rtl/keccak_2share.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/keccak_round.sv b/hw/ip/kmac/rtl/keccak_round.sv index 69e4c39c4cd52..cd61243881cc3 100644 --- a/hw/ip/kmac/rtl/keccak_round.sv +++ b/hw/ip/kmac/rtl/keccak_round.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac.sv b/hw/ip/kmac/rtl/kmac.sv index 17918c4b28319..6b6e30f32f121 100644 --- a/hw/ip/kmac/rtl/kmac.sv +++ b/hw/ip/kmac/rtl/kmac.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_app.sv b/hw/ip/kmac/rtl/kmac_app.sv index cd93fdf1bbaa7..2adc018435d8f 100644 --- a/hw/ip/kmac/rtl/kmac_app.sv +++ b/hw/ip/kmac/rtl/kmac_app.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_core.sv b/hw/ip/kmac/rtl/kmac_core.sv index 0d6c5212b2475..368a12db77853 100644 --- a/hw/ip/kmac/rtl/kmac_core.sv +++ b/hw/ip/kmac/rtl/kmac_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_entropy.sv b/hw/ip/kmac/rtl/kmac_entropy.sv index 0255f2a6a25e1..99d286967f9df 100644 --- a/hw/ip/kmac/rtl/kmac_entropy.sv +++ b/hw/ip/kmac/rtl/kmac_entropy.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_errchk.sv b/hw/ip/kmac/rtl/kmac_errchk.sv index bdf00f2e911b5..c57691cf8c528 100644 --- a/hw/ip/kmac/rtl/kmac_errchk.sv +++ b/hw/ip/kmac/rtl/kmac_errchk.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_msgfifo.sv b/hw/ip/kmac/rtl/kmac_msgfifo.sv index 469960a26bcb2..0d67aa131087a 100644 --- a/hw/ip/kmac/rtl/kmac_msgfifo.sv +++ b/hw/ip/kmac/rtl/kmac_msgfifo.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_pkg.sv b/hw/ip/kmac/rtl/kmac_pkg.sv index b852e6666b0ec..7ca8c0aedf22f 100644 --- a/hw/ip/kmac/rtl/kmac_pkg.sv +++ b/hw/ip/kmac/rtl/kmac_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_reduced.sv b/hw/ip/kmac/rtl/kmac_reduced.sv index 52f899fb697b8..3a143573d9d3d 100644 --- a/hw/ip/kmac/rtl/kmac_reduced.sv +++ b/hw/ip/kmac/rtl/kmac_reduced.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_reg_pkg.sv b/hw/ip/kmac/rtl/kmac_reg_pkg.sv index 6d5dcfdab19bc..ab513fc0a6b3c 100644 --- a/hw/ip/kmac/rtl/kmac_reg_pkg.sv +++ b/hw/ip/kmac/rtl/kmac_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_reg_top.sv b/hw/ip/kmac/rtl/kmac_reg_top.sv index 925745ae4bc11..c5b8012ded4b5 100644 --- a/hw/ip/kmac/rtl/kmac_reg_top.sv +++ b/hw/ip/kmac/rtl/kmac_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/kmac_staterd.sv b/hw/ip/kmac/rtl/kmac_staterd.sv index dccf5d74579a6..b2ad9f866be07 100644 --- a/hw/ip/kmac/rtl/kmac_staterd.sv +++ b/hw/ip/kmac/rtl/kmac_staterd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/sha3.sv b/hw/ip/kmac/rtl/sha3.sv index 070a61a0c9d64..9b203beab04fe 100644 --- a/hw/ip/kmac/rtl/sha3.sv +++ b/hw/ip/kmac/rtl/sha3.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/sha3_pkg.sv b/hw/ip/kmac/rtl/sha3_pkg.sv index 8d7a36e7f051b..ea22cfa8769c8 100644 --- a/hw/ip/kmac/rtl/sha3_pkg.sv +++ b/hw/ip/kmac/rtl/sha3_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/rtl/sha3pad.sv b/hw/ip/kmac/rtl/sha3pad.sv index 0a043795865df..50ce322bdc415 100644 --- a/hw/ip/kmac/rtl/sha3pad.sv +++ b/hw/ip/kmac/rtl/sha3pad.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/kmac/sha3.core b/hw/ip/kmac/sha3.core index 9eb0bf08bc3ea..6892a7cadd333 100644 --- a/hw/ip/kmac/sha3.core +++ b/hw/ip/kmac/sha3.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:sha3:0.1" diff --git a/hw/ip/kmac/syn/constraints.sdc b/hw/ip/kmac/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/kmac/syn/constraints.sdc +++ b/hw/ip/kmac/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/kmac/syn/kmac_gtech_syn_cfg.hjson b/hw/ip/kmac/syn/kmac_gtech_syn_cfg.hjson index 922f780c48336..ff9e84923759f 100644 --- a/hw/ip/kmac/syn/kmac_gtech_syn_cfg.hjson +++ b/hw/ip/kmac/syn/kmac_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/kmac/syn/kmac_syn_cfg.hjson b/hw/ip/kmac/syn/kmac_syn_cfg.hjson index 4c2d09d0da736..d4a3b62ef4f76 100644 --- a/hw/ip/kmac/syn/kmac_syn_cfg.hjson +++ b/hw/ip/kmac/syn/kmac_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/kmac/syn/post_elab_gtech.tcl b/hw/ip/kmac/syn/post_elab_gtech.tcl index d2e9a7984aa71..d8f8676a11705 100644 --- a/hw/ip/kmac/syn/post_elab_gtech.tcl +++ b/hw/ip/kmac/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/BUILD b/hw/ip/lc_ctrl/BUILD index 847302c416914..e2bbe5224f7ed 100644 --- a/hw/ip/lc_ctrl/BUILD +++ b/hw/ip/lc_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/data/BUILD b/hw/ip/lc_ctrl/data/BUILD index 8e067946f3a34..33e159840bcd8 100644 --- a/hw/ip/lc_ctrl/data/BUILD +++ b/hw/ip/lc_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/data/lc_ctrl.hjson b/hw/ip/lc_ctrl/data/lc_ctrl.hjson index ddcc621fc85c8..d5b0a890cfa26 100644 --- a/hw/ip/lc_ctrl/data/lc_ctrl.hjson +++ b/hw/ip/lc_ctrl/data/lc_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/lc_ctrl/data/lc_ctrl_sec_cm_testplan.hjson b/hw/ip/lc_ctrl/data/lc_ctrl_sec_cm_testplan.hjson index fc9b6c9559a50..c021b5e637554 100644 --- a/hw/ip/lc_ctrl/data/lc_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/lc_ctrl/data/lc_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/data/lc_ctrl_state.hjson b/hw/ip/lc_ctrl/data/lc_ctrl_state.hjson index 9c9a62604b479..1cf4e7b023b26 100644 --- a/hw/ip/lc_ctrl/data/lc_ctrl_state.hjson +++ b/hw/ip/lc_ctrl/data/lc_ctrl_state.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/data/lc_ctrl_testplan.hjson b/hw/ip/lc_ctrl/data/lc_ctrl_testplan.hjson index e77b55b5f7bd6..dd4aa9a210e59 100644 --- a/hw/ip/lc_ctrl/data/lc_ctrl_testplan.hjson +++ b/hw/ip/lc_ctrl/data/lc_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov.core b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov.core index 4524ac5d075b8..5ce88aa186dc5 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov.core +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_cov" diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov_bind.sv b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov_bind.sv index 0b0ac316517e7..73711d46edc7a 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov_bind.sv +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cover.cfg b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cover.cfg index 459b71cb5dad8..90d9339f48e26 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cover.cfg +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Specific coverage configuration for the Lifecycle Controller diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_fsm_cov_if.sv b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_fsm_cov_if.sv index fe246b0ca25ee..03b6628dba508 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_fsm_cov_if.sv +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_fsm_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_terminal_st_excl.el b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_terminal_st_excl.el index 76947488f7fd8..990c23b3bb194 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_terminal_st_excl.el +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_terminal_st_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_disabled_unr_exclude.el b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_disabled_unr_exclude.el index 8d97bd3873b0c..bfa30b2792320 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_disabled_unr_exclude.el +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_disabled_unr_exclude.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_enabled_unr_exclude.el b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_enabled_unr_exclude.el index ff3881fdeca90..a6b7c5de7d1d0 100644 --- a/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_enabled_unr_exclude.el +++ b/hw/ip/lc_ctrl/dv/cov/lc_ctrl_volatile_unlock_enabled_unr_exclude.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_pkg.core b/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_pkg.core index fc062ff325c99..9431efc5b4102 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_pkg.core +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_dv_utils:0.1" diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_utils_pkg.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_utils_pkg.sv index 3960fb54d542f..69cfe49b73f35 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_utils_pkg.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_dv_utils_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.core b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.core index 77047166db38e..2091b977f3cd7 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.core +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_env:0.1" diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.sv index c62660e0ad840..80328aa178485 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 class lc_ctrl_env extends cip_base_env #( diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cfg.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cfg.sv index b5f44d4513e7c..e2d7aeb8c3413 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cfg.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cov.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cov.sv index 2a742a67c2767..3a7fd32890985 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cov.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_pkg.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_pkg.sv index 64be256ba453a..25599a48e89df 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_pkg.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_if.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_if.sv index ba5a983a18c15..372075c807e9c 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_if.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_parameters_cfg.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_parameters_cfg.sv index be5daac93f60a..27d13fcbd28f4 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_parameters_cfg.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_parameters_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Object to store LC_CTRL parameter values to be sent from TB to environament diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_scoreboard.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_scoreboard.sv index 9f8118df553e5..3555c0f35dda5 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_scoreboard.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/lc_ctrl_virtual_sequencer.sv b/hw/ip/lc_ctrl/dv/env/lc_ctrl_virtual_sequencer.sv index daae480e05025..310f4ca63d1aa 100644 --- a/hw/ip/lc_ctrl/dv/env/lc_ctrl_virtual_sequencer.sv +++ b/hw/ip/lc_ctrl/dv/env/lc_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_base_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_base_vseq.sv index 7510a9cfcd91e..4cf7f67ff60f3 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_base_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_claim_transition_if_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_claim_transition_if_vseq.sv index ace920333f004..5d9bc6c59f02e 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_claim_transition_if_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_claim_transition_if_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_common_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_common_vseq.sv index d2ec1849b4c27..050c2d4789356 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_common_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_errors_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_errors_vseq.sv index c156b8fab8def..3c18a628d44ee 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_errors_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_errors_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_access_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_access_vseq.sv index aaa55fb8033ac..a941948dd6de0 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_access_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // JTAG and TL CSR test diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_priority_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_priority_vseq.sv index 6804a72c15008..cd4850220313d 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_priority_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_jtag_priority_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Verify the JTAG has priority over TL for the Mutex diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_lc_errors_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_lc_errors_vseq.sv index f49aeb599dbd6..4fae17e4d540f 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_lc_errors_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_lc_errors_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_prog_failure_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_prog_failure_vseq.sv index 9944a7413fbc3..78b556cd43087 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_prog_failure_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_prog_failure_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_regwen_during_op_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_regwen_during_op_vseq.sv index 456bd64ffa3a3..24a845692d564 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_regwen_during_op_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_regwen_during_op_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_mubi_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_mubi_vseq.sv index daa07a5c9d2d4..2745533a72845 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_mubi_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_mubi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_digest_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_digest_vseq.sv index 4346d5ccf1c3a..c12abd0edb2ba 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_digest_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_digest_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Token mux error injection test diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_mux_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_mux_vseq.sv index 3262c75e82a33..1a01d13a94236 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_mux_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_sec_token_mux_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Token mux error injection test diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_security_escalation_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_security_escalation_vseq.sv index 817ca0e968d68..348e3c0c2a1ce 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_security_escalation_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_security_escalation_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Attempt second transition before reset diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_smoke_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_smoke_vseq.sv index 212487f75cf93..31eb9c7aa5435 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_smoke_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_failure_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_failure_vseq.sv index d48bf6f8fb3df..1751177ea810b 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_failure_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_failure_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // State failure test sequence diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_post_trans_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_post_trans_vseq.sv index 14a460bc8f487..6d6e419889f60 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_post_trans_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_state_post_trans_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // Attempt second transition before reset diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_stress_all_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_stress_all_vseq.sv index 32915e5422945..42a54efd37401 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_stress_all_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_volatile_unlock_smoke_vseq.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_volatile_unlock_smoke_vseq.sv index 9c9dd3a2641f0..eff76d0845fb0 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_volatile_unlock_smoke_vseq.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_volatile_unlock_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_vseq_list.sv b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_vseq_list.sv index b2d35263511fa..49f038bbeadea 100644 --- a/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_vseq_list.sv +++ b/hw/ip/lc_ctrl/dv/env/seq_lib/lc_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/lc_ctrl_base_sim_cfg.hjson b/hw/ip/lc_ctrl/dv/lc_ctrl_base_sim_cfg.hjson index ca8c8ae36c352..636b1e695fed5 100644 --- a/hw/ip/lc_ctrl/dv/lc_ctrl_base_sim_cfg.hjson +++ b/hw/ip/lc_ctrl/dv/lc_ctrl_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/lc_ctrl/dv/lc_ctrl_sim.core b/hw/ip/lc_ctrl/dv/lc_ctrl_sim.core index 6b8dd55c3c7bd..e2998ef9eb93f 100644 --- a/hw/ip/lc_ctrl/dv/lc_ctrl_sim.core +++ b/hw/ip/lc_ctrl/dv/lc_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_sim:0.1" diff --git a/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_disabled_sim_cfg.hjson b/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_disabled_sim_cfg.hjson index 0ce5fc12362d5..1e2324585355a 100644 --- a/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_disabled_sim_cfg.hjson +++ b/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_disabled_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_enabled_sim_cfg.hjson b/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_enabled_sim_cfg.hjson index 0998848d8634a..da48bf4cdc381 100644 --- a/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_enabled_sim_cfg.hjson +++ b/hw/ip/lc_ctrl/dv/lc_ctrl_volatile_unlock_enabled_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/sva/lc_ctrl_bind.sv b/hw/ip/lc_ctrl/dv/sva/lc_ctrl_bind.sv index cc1137dc02923..44bfa1c7c4077 100644 --- a/hw/ip/lc_ctrl/dv/sva/lc_ctrl_bind.sv +++ b/hw/ip/lc_ctrl/dv/sva/lc_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/sva/lc_ctrl_sva.core b/hw/ip/lc_ctrl/dv/sva/lc_ctrl_sva.core index 01acc210a49db..334a83a8f212e 100644 --- a/hw/ip/lc_ctrl/dv/sva/lc_ctrl_sva.core +++ b/hw/ip/lc_ctrl/dv/sva/lc_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_sva:0.1" diff --git a/hw/ip/lc_ctrl/dv/tb.sv b/hw/ip/lc_ctrl/dv/tb.sv index c03dde75c7573..65adb50972ea6 100644 --- a/hw/ip/lc_ctrl/dv/tb.sv +++ b/hw/ip/lc_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_base_test.sv b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_base_test.sv index 83e9d0470a37a..bea7be604bc0b 100644 --- a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_base_test.sv +++ b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test.core b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test.core index 6ab24e0f6b146..4495d3b33b965 100644 --- a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test.core +++ b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:lc_ctrl_test:0.1" diff --git a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test_pkg.sv b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test_pkg.sv index 8f4fe46134c56..dc25bc15edcda 100644 --- a/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test_pkg.sv +++ b/hw/ip/lc_ctrl/dv/tests/lc_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/lc_ctrl/lc_ctrl.core b/hw/ip/lc_ctrl/lc_ctrl.core index c1efa3b8984df..6be70b7aac045 100644 --- a/hw/ip/lc_ctrl/lc_ctrl.core +++ b/hw/ip/lc_ctrl/lc_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:lc_ctrl:0.1" diff --git a/hw/ip/lc_ctrl/lc_ctrl_pkg.core b/hw/ip/lc_ctrl/lc_ctrl_pkg.core index 1fa85f8bb02b5..425b2c7baee30 100644 --- a/hw/ip/lc_ctrl/lc_ctrl_pkg.core +++ b/hw/ip/lc_ctrl/lc_ctrl_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:lc_ctrl_pkg:0.1" diff --git a/hw/ip/lc_ctrl/lc_ctrl_state_pkg.core b/hw/ip/lc_ctrl/lc_ctrl_state_pkg.core index 8d44fd0b28f19..1b424bca1e258 100644 --- a/hw/ip/lc_ctrl/lc_ctrl_state_pkg.core +++ b/hw/ip/lc_ctrl/lc_ctrl_state_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:lc_ctrl_state_pkg:0.1" diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl.vbl b/hw/ip/lc_ctrl/lint/lc_ctrl.vbl index b34bbb182ba5b..5a92bee36899e 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl.vbl +++ b/hw/ip/lc_ctrl/lint/lc_ctrl.vbl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl.vlt b/hw/ip/lc_ctrl/lint/lc_ctrl.vlt index 92306e0cef59c..defb96b81d782 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl.vlt +++ b/hw/ip/lc_ctrl/lint/lc_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl.waiver b/hw/ip/lc_ctrl/lint/lc_ctrl.waiver index f793c243f3635..ad78aabc4b836 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl.waiver +++ b/hw/ip/lc_ctrl/lint/lc_ctrl.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vbl b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vbl index 7ceed19194857..88dacd6117e9e 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vbl +++ b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vbl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vlt b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vlt index 9708bfeaa9482..cbd9e4770cec1 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vlt +++ b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.waiver b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.waiver index 70ee105f3b78d..e004c5c8c44db 100644 --- a/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.waiver +++ b/hw/ip/lc_ctrl/lint/lc_ctrl_pkg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl.sv index 18b6a5d1298bc..af8917c10e308 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_fsm.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_fsm.sv index d476f5356354f..ece3772929446 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_fsm.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_kmac_if.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_kmac_if.sv index d397de4470940..35d42be2fb7a0 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_kmac_if.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_kmac_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_pkg.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_pkg.sv index 790f1d66ffe87..fd41f49229504 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_pkg.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv index f3fbbb76c5544..6f0ed17a92f58 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_top.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_top.sv index a6ee7ffbe3aa6..a1029880a03d4 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_top.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_signal_decode.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_signal_decode.sv index f52820ad69fcd..3b105906716db 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_signal_decode.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_signal_decode.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_decode.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_decode.sv index 942a0f5fd0736..17fda415d058b 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_decode.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_decode.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv index 22316183f4f52..bf677bbd977f4 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv.tpl b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv.tpl index 48444fc2dcd61..a71d6ad54683a 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv.tpl +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_transition.sv b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_transition.sv index 673b14c4c99a4..fbfb1a8d7f262 100644 --- a/hw/ip/lc_ctrl/rtl/lc_ctrl_state_transition.sv +++ b/hw/ip/lc_ctrl/rtl/lc_ctrl_state_transition.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/lc_ctrl/syn/constraints.sdc b/hw/ip/lc_ctrl/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/lc_ctrl/syn/constraints.sdc +++ b/hw/ip/lc_ctrl/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/lc_ctrl/syn/lc_ctrl_gtech_syn_cfg.hjson b/hw/ip/lc_ctrl/syn/lc_ctrl_gtech_syn_cfg.hjson index 901e6ade783d4..404b49eda17f2 100644 --- a/hw/ip/lc_ctrl/syn/lc_ctrl_gtech_syn_cfg.hjson +++ b/hw/ip/lc_ctrl/syn/lc_ctrl_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/lc_ctrl/syn/lc_ctrl_syn_cfg.hjson b/hw/ip/lc_ctrl/syn/lc_ctrl_syn_cfg.hjson index c593f0d183dcd..b263c8a8d81e4 100644 --- a/hw/ip/lc_ctrl/syn/lc_ctrl_syn_cfg.hjson +++ b/hw/ip/lc_ctrl/syn/lc_ctrl_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/lc_ctrl/syn/post_elab_gtech.tcl b/hw/ip/lc_ctrl/syn/post_elab_gtech.tcl index d2e9a7984aa71..d8f8676a11705 100644 --- a/hw/ip/lc_ctrl/syn/post_elab_gtech.tcl +++ b/hw/ip/lc_ctrl/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otbn/BUILD b/hw/ip/otbn/BUILD index ba54a6a1d7121..1e6f1150d98e7 100644 --- a/hw/ip/otbn/BUILD +++ b/hw/ip/otbn/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/BUILD b/hw/ip/otbn/data/BUILD index 8f748b5507cc4..0304066a06ff2 100644 --- a/hw/ip/otbn/data/BUILD +++ b/hw/ip/otbn/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/base-insns.yml b/hw/ip/otbn/data/base-insns.yml index 8b249ddc52f46..f661946fa905d 100644 --- a/hw/ip/otbn/data/base-insns.yml +++ b/hw/ip/otbn/data/base-insns.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/bignum-insns.yml b/hw/ip/otbn/data/bignum-insns.yml index 7567ca776f81f..54487c1d7b4c5 100644 --- a/hw/ip/otbn/data/bignum-insns.yml +++ b/hw/ip/otbn/data/bignum-insns.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/csr.yml b/hw/ip/otbn/data/csr.yml index b69ea323c2b7a..33838ce3d1748 100644 --- a/hw/ip/otbn/data/csr.yml +++ b/hw/ip/otbn/data/csr.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/enc-schemes.yml b/hw/ip/otbn/data/enc-schemes.yml index c21de8e70bc5a..adb992897d47a 100644 --- a/hw/ip/otbn/data/enc-schemes.yml +++ b/hw/ip/otbn/data/enc-schemes.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/insns.yml b/hw/ip/otbn/data/insns.yml index 93ca7ca973725..c948c24f297bb 100644 --- a/hw/ip/otbn/data/insns.yml +++ b/hw/ip/otbn/data/insns.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/otbn.hjson b/hw/ip/otbn/data/otbn.hjson index 5f9c07b2719fb..a0a7619240a39 100644 --- a/hw/ip/otbn/data/otbn.hjson +++ b/hw/ip/otbn/data/otbn.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/data/otbn.ld.tpl b/hw/ip/otbn/data/otbn.ld.tpl index 69165e47f9309..b4d0cd308f787 100644 --- a/hw/ip/otbn/data/otbn.ld.tpl +++ b/hw/ip/otbn/data/otbn.ld.tpl @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/data/otbn_sec_cm_testplan.hjson b/hw/ip/otbn/data/otbn_sec_cm_testplan.hjson index 0a4e30ffc76d5..22587ab2425c4 100644 --- a/hw/ip/otbn/data/otbn_sec_cm_testplan.hjson +++ b/hw/ip/otbn/data/otbn_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/data/otbn_testplan.hjson b/hw/ip/otbn/data/otbn_testplan.hjson index d35e68dbfe102..7107b44207cda 100644 --- a/hw/ip/otbn/data/otbn_testplan.hjson +++ b/hw/ip/otbn/data/otbn_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/data/wsr.yml b/hw/ip/otbn/data/wsr.yml index 572acda6f23cb..ac729705269a4 100644 --- a/hw/ip/otbn/data/wsr.yml +++ b/hw/ip/otbn/data/wsr.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/memutil/otbn_memutil.cc b/hw/ip/otbn/dv/memutil/otbn_memutil.cc index 9ba495f88fc68..9bc04c89eb909 100644 --- a/hw/ip/otbn/dv/memutil/otbn_memutil.cc +++ b/hw/ip/otbn/dv/memutil/otbn_memutil.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/memutil/otbn_memutil.core b/hw/ip/otbn/dv/memutil/otbn_memutil.core index af658f89c8a02..4a63b79356739 100644 --- a/hw/ip/otbn/dv/memutil/otbn_memutil.core +++ b/hw/ip/otbn/dv/memutil/otbn_memutil.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/memutil/otbn_memutil.h b/hw/ip/otbn/dv/memutil/otbn_memutil.h index dc14aadc9b029..8cefc2fb6e390 100644 --- a/hw/ip/otbn/dv/memutil/otbn_memutil.h +++ b/hw/ip/otbn/dv/memutil/otbn_memutil.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MEMUTIL_OTBN_MEMUTIL_H_ diff --git a/hw/ip/otbn/dv/memutil/otbn_memutil_pkg.sv b/hw/ip/otbn/dv/memutil/otbn_memutil_pkg.sv index 681ee2765e6b3..a9365b7d8c48d 100644 --- a/hw/ip/otbn/dv/memutil/otbn_memutil_pkg.sv +++ b/hw/ip/otbn/dv/memutil/otbn_memutil_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/memutil/otbn_memutil_sim_opts.hjson b/hw/ip/otbn/dv/memutil/otbn_memutil_sim_opts.hjson index 48288c2cc19bb..0b020c8b62625 100644 --- a/hw/ip/otbn/dv/memutil/otbn_memutil_sim_opts.hjson +++ b/hw/ip/otbn/dv/memutil/otbn_memutil_sim_opts.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/dv/memutil/sv_utils.h b/hw/ip/otbn/dv/memutil/sv_utils.h index 2b480b9808e9f..d7e07539383d1 100644 --- a/hw/ip/otbn/dv/memutil/sv_utils.h +++ b/hw/ip/otbn/dv/memutil/sv_utils.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MEMUTIL_SV_UTILS_H_ diff --git a/hw/ip/otbn/dv/model/iss_wrapper.cc b/hw/ip/otbn/dv/model/iss_wrapper.cc index fc52546358a0d..84361209a4737 100644 --- a/hw/ip/otbn/dv/model/iss_wrapper.cc +++ b/hw/ip/otbn/dv/model/iss_wrapper.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/iss_wrapper.h b/hw/ip/otbn/dv/model/iss_wrapper.h index 468d86f6e0175..45eb5a60de941 100644 --- a/hw/ip/otbn/dv/model/iss_wrapper.h +++ b/hw/ip/otbn/dv/model/iss_wrapper.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MODEL_ISS_WRAPPER_H_ diff --git a/hw/ip/otbn/dv/model/otbn_core_model.sv b/hw/ip/otbn/dv/model/otbn_core_model.sv index 251e81175a5b7..bbd1f45cf80a2 100644 --- a/hw/ip/otbn/dv/model/otbn_core_model.sv +++ b/hw/ip/otbn/dv/model/otbn_core_model.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_model.cc b/hw/ip/otbn/dv/model/otbn_model.cc index 3064c5d712b0c..fccea3f8b7ac8 100644 --- a/hw/ip/otbn/dv/model/otbn_model.cc +++ b/hw/ip/otbn/dv/model/otbn_model.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_model.core b/hw/ip/otbn/dv/model/otbn_model.core index 7da76ec741326..3cbd27957cac9 100644 --- a/hw/ip/otbn/dv/model/otbn_model.core +++ b/hw/ip/otbn/dv/model/otbn_model.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_model:0.1" diff --git a/hw/ip/otbn/dv/model/otbn_model.h b/hw/ip/otbn/dv/model/otbn_model.h index 8352a600555d0..c50d45838b7c8 100644 --- a/hw/ip/otbn/dv/model/otbn_model.h +++ b/hw/ip/otbn/dv/model/otbn_model.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MODEL_OTBN_MODEL_H_ diff --git a/hw/ip/otbn/dv/model/otbn_model_dpi.h b/hw/ip/otbn/dv/model/otbn_model_dpi.h index 4ae0715c8fcb5..58321fd9f0d87 100644 --- a/hw/ip/otbn/dv/model/otbn_model_dpi.h +++ b/hw/ip/otbn/dv/model/otbn_model_dpi.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MODEL_OTBN_MODEL_DPI_H_ diff --git a/hw/ip/otbn/dv/model/otbn_model_dpi.svh b/hw/ip/otbn/dv/model/otbn_model_dpi.svh index ae31a183040bb..1a3abaa4bd265 100644 --- a/hw/ip/otbn/dv/model/otbn_model_dpi.svh +++ b/hw/ip/otbn/dv/model/otbn_model_dpi.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_rf_snooper_if.sv b/hw/ip/otbn/dv/model/otbn_rf_snooper_if.sv index f031100fffae3..fb65e44d35e10 100644 --- a/hw/ip/otbn/dv/model/otbn_rf_snooper_if.sv +++ b/hw/ip/otbn/dv/model/otbn_rf_snooper_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_stack_snooper_if.sv b/hw/ip/otbn/dv/model/otbn_stack_snooper_if.sv index 4b4be44f26af8..6040cb69f2713 100644 --- a/hw/ip/otbn/dv/model/otbn_stack_snooper_if.sv +++ b/hw/ip/otbn/dv/model/otbn_stack_snooper_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_trace_checker.cc b/hw/ip/otbn/dv/model/otbn_trace_checker.cc index a060df5707021..cb21df00998fd 100644 --- a/hw/ip/otbn/dv/model/otbn_trace_checker.cc +++ b/hw/ip/otbn/dv/model/otbn_trace_checker.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_trace_checker.h b/hw/ip/otbn/dv/model/otbn_trace_checker.h index 311d89e225cdb..321814bca38d4 100644 --- a/hw/ip/otbn/dv/model/otbn_trace_checker.h +++ b/hw/ip/otbn/dv/model/otbn_trace_checker.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MODEL_OTBN_TRACE_CHECKER_H_ diff --git a/hw/ip/otbn/dv/model/otbn_trace_entry.cc b/hw/ip/otbn/dv/model/otbn_trace_entry.cc index af1944cc18489..911502d74f442 100644 --- a/hw/ip/otbn/dv/model/otbn_trace_entry.cc +++ b/hw/ip/otbn/dv/model/otbn_trace_entry.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/model/otbn_trace_entry.h b/hw/ip/otbn/dv/model/otbn_trace_entry.h index 6822095172f60..924df9700b885 100644 --- a/hw/ip/otbn/dv/model/otbn_trace_entry.h +++ b/hw/ip/otbn/dv/model/otbn_trace_entry.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_MODEL_OTBN_TRACE_ENTRY_H_ diff --git a/hw/ip/otbn/dv/otbnsim/BUILD b/hw/ip/otbn/dv/otbnsim/BUILD index c06201aea930e..cf1eb727e2fee 100644 --- a/hw/ip/otbn/dv/otbnsim/BUILD +++ b/hw/ip/otbn/dv/otbnsim/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/Makefile b/hw/ip/otbn/dv/otbnsim/Makefile index bd7bd1a9d3cc9..0d2b90c88e592 100644 --- a/hw/ip/otbn/dv/otbnsim/Makefile +++ b/hw/ip/otbn/dv/otbnsim/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/BUILD b/hw/ip/otbn/dv/otbnsim/sim/BUILD index 4e3cc61b36619..bc2a6b9752bc2 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/BUILD +++ b/hw/ip/otbn/dv/otbnsim/sim/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/__init__.py b/hw/ip/otbn/dv/otbnsim/sim/__init__.py index d1d06fa50ef1e..4d215cd298c07 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/__init__.py +++ b/hw/ip/otbn/dv/otbnsim/sim/__init__.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/constants.py b/hw/ip/otbn/dv/otbnsim/sim/constants.py index 542c529440272..d553d22abc9a0 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/constants.py +++ b/hw/ip/otbn/dv/otbnsim/sim/constants.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/csr.py b/hw/ip/otbn/dv/otbnsim/sim/csr.py index d3dae867e6907..c49d5380527cc 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/csr.py +++ b/hw/ip/otbn/dv/otbnsim/sim/csr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/decode.py b/hw/ip/otbn/dv/otbnsim/sim/decode.py index 1e19d1c2f86ab..ab93c9aac6a86 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/decode.py +++ b/hw/ip/otbn/dv/otbnsim/sim/decode.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/dmem.py b/hw/ip/otbn/dv/otbnsim/sim/dmem.py index f3bc1cf3a049a..603a3a5a9ba43 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/dmem.py +++ b/hw/ip/otbn/dv/otbnsim/sim/dmem.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/edn_client.py b/hw/ip/otbn/dv/otbnsim/sim/edn_client.py index 5c28656e6904a..b0c840d1629f9 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/edn_client.py +++ b/hw/ip/otbn/dv/otbnsim/sim/edn_client.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/ext_regs.py b/hw/ip/otbn/dv/otbnsim/sim/ext_regs.py index 7d869ae6ff74f..c559b94cfedca 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/ext_regs.py +++ b/hw/ip/otbn/dv/otbnsim/sim/ext_regs.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/flags.py b/hw/ip/otbn/dv/otbnsim/sim/flags.py index bb3c74276c300..9dae8eb07f3f5 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/flags.py +++ b/hw/ip/otbn/dv/otbnsim/sim/flags.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/gpr.py b/hw/ip/otbn/dv/otbnsim/sim/gpr.py index 56ef166812204..556e951e1844f 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/gpr.py +++ b/hw/ip/otbn/dv/otbnsim/sim/gpr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/insn.py b/hw/ip/otbn/dv/otbnsim/sim/insn.py index bf6488db0c65b..2fec5b0e4f5bb 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/insn.py +++ b/hw/ip/otbn/dv/otbnsim/sim/insn.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/isa.py b/hw/ip/otbn/dv/otbnsim/sim/isa.py index ff844f3dcb6b7..1df37750a7c66 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/isa.py +++ b/hw/ip/otbn/dv/otbnsim/sim/isa.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/load_elf.py b/hw/ip/otbn/dv/otbnsim/sim/load_elf.py index 4b8d20ac6746c..68a5abded7621 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/load_elf.py +++ b/hw/ip/otbn/dv/otbnsim/sim/load_elf.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/loop.py b/hw/ip/otbn/dv/otbnsim/sim/loop.py index 8cf172d96567f..65a7bbffa4da3 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/loop.py +++ b/hw/ip/otbn/dv/otbnsim/sim/loop.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/reg.py b/hw/ip/otbn/dv/otbnsim/sim/reg.py index f74777cb26284..7aaae27bfa680 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/reg.py +++ b/hw/ip/otbn/dv/otbnsim/sim/reg.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/sim.py b/hw/ip/otbn/dv/otbnsim/sim/sim.py index 8f842849c304c..3f0f12c02afda 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/sim.py +++ b/hw/ip/otbn/dv/otbnsim/sim/sim.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/standalonesim.py b/hw/ip/otbn/dv/otbnsim/sim/standalonesim.py index c89938c1acb7a..23b69fd602a06 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/standalonesim.py +++ b/hw/ip/otbn/dv/otbnsim/sim/standalonesim.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/state.py b/hw/ip/otbn/dv/otbnsim/sim/state.py index 956c81f0b0e58..bb658c14e32d1 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/state.py +++ b/hw/ip/otbn/dv/otbnsim/sim/state.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/stats.py b/hw/ip/otbn/dv/otbnsim/sim/stats.py index 5fab12d73cbdb..da9ec5fa2ec9d 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/stats.py +++ b/hw/ip/otbn/dv/otbnsim/sim/stats.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/trace.py b/hw/ip/otbn/dv/otbnsim/sim/trace.py index fcb097a931a40..d83065c0428f1 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/trace.py +++ b/hw/ip/otbn/dv/otbnsim/sim/trace.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/sim/wsr.py b/hw/ip/otbn/dv/otbnsim/sim/wsr.py index 86b6254da6ee1..6b9b3bc2a3875 100644 --- a/hw/ip/otbn/dv/otbnsim/sim/wsr.py +++ b/hw/ip/otbn/dv/otbnsim/sim/wsr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/standalone.py b/hw/ip/otbn/dv/otbnsim/standalone.py index 3c14f8d5b730b..44db995fe6461 100755 --- a/hw/ip/otbn/dv/otbnsim/standalone.py +++ b/hw/ip/otbn/dv/otbnsim/standalone.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/stepped.py b/hw/ip/otbn/dv/otbnsim/stepped.py index b8e860513a63a..0f97404abbe3e 100755 --- a/hw/ip/otbn/dv/otbnsim/stepped.py +++ b/hw/ip/otbn/dv/otbnsim/stepped.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/conftest.py b/hw/ip/otbn/dv/otbnsim/test/conftest.py index 3e63f98c6b62c..45b9bfba242c1 100644 --- a/hw/ip/otbn/dv/otbnsim/test/conftest.py +++ b/hw/ip/otbn/dv/otbnsim/test/conftest.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.exp b/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.exp index 87a18c9b922ba..0d1ccc91d18b6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.s b/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.s index 6a2a2509d9a69..4dbbbb84c0f81 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/add.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.exp b/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.exp index 5178df9f84eac..00ea08c44a00d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.s b/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.s index 4fc545edce3d3..e9cc9316dc41f 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/addi.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.exp b/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.exp index 2544c214e1bda..33fd8530daeb5 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.s b/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.s index b978254c41030..347861f53168e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/insns/bnlid.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.exp b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.exp index 4a1a61da73770..38f9ed91c93fc 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.s b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.s index afe565a737004..ee165e727dd9d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-end-loop.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.exp b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.exp index 211ba054ad312..a0d029ebb4d2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.s b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.s index 8646154734e52..14610f46679b7 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-overflow.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.exp b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.exp index d8ae2bf4daf9c..4d98127edc6f3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.s b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.s index d7700853f98e8..94725fe7741d8 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loop-errs/loop-zero.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.exp b/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.exp index c7265804db6b7..0e9afadb475df 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.s b/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.s index da7cc38c5b934..907a97351095d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/loops/loops.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.exp index b458204c3441b..ec3fbc37f1572 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.s index af9ce83af333a..97713e718ce22 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.exp index bfc541513a9d4..b86a09d602bee 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.s index 447573b9fa372..379422259cfb0 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/beq-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.exp index b458204c3441b..ec3fbc37f1572 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.s index db11364ff19a9..a658e40b6eb46 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.exp index a7130000ade14..11c5d341aeb70 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.s index 44c8b8831d1ee..8b94a0301ef4a 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bne-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.exp index 004e1f52558b0..61c1b148aef2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.s index 123179a72b1f4..1bc9d586dbed3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.exp index 6044f56b99983..5a7af1b6213d6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.s index 94c59354abd24..7ef2db41df40b 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.exp index cce5e9510fcb9..513e2263daa0a 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.s index 5dcc18fa23ca5..bb752914c27ae 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-2.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.s index ded3991ef661a..4cdc93101e57d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-3.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.exp index fefe5ab042279..7ac4111618406 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.s index 517b0b28b41d0..9a216babff8b9 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-4.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.exp index 1d05ed83cb838..aa284458cff23 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.s index b69a802840998..04d500ec71cca 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-5.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.s index 049a3f42bd39a..a2957d27f5326 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-6.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.exp index fefe5ab042279..7ac4111618406 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.s index 159590c9892fa..c0313f5a0c621 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-7.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.exp index d9af60ff0505c..8a56a0171cd5d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.s index a075972e7f533..950c3054d03c3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnlid-8.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.exp index 004e1f52558b0..61c1b148aef2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.s index d7ebbd3a953cf..f0ff78b1e8f6a 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.exp index 6044f56b99983..5a7af1b6213d6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.s index fd17b62f3b1d4..4b962570255a8 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.exp index 6044f56b99983..5a7af1b6213d6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.s index 176082b101710..699ca36a60a43 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-2.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.s index f70c5d106c596..8d1004287c060 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-3.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.s index 947d8554bc699..4249b3e469923 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-4.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.s index b4c297c50b484..20e366fd08786 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnmovr-5.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.exp index 004e1f52558b0..61c1b148aef2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.s index 5bc5d7975b2be..b1208b9ed0efb 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.exp index 6044f56b99983..5a7af1b6213d6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.s index f719acbd5bbfa..4fc1a25136384 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.exp index 76448633811d2..dfa664d29c76a 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.s index 6e363039bdb6a..117ce4298d350 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-2.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.s index 1ab62147a64e0..184cf576ad1f8 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-3.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.exp index fefe5ab042279..7ac4111618406 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.s index 8720ff377dbab..00a591863c932 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-4.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.exp index 1d05ed83cb838..aa284458cff23 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.s index 9e5b149cbf9d7..c27f4fc74c7df 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-5.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.exp index 6cac6b696b3a9..fc3a487aeb5be 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.s index dd6969f05ca6d..9215a56aeebe1 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-6.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.exp index fefe5ab042279..7ac4111618406 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.s index 83a9286b97da6..83db20e578123 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-7.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.exp index d9af60ff0505c..8a56a0171cd5d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.s index 260e273911875..4049b28584f5e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/bnsid-8.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.exp index 004e1f52558b0..61c1b148aef2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.s index f9f109e4da674..e9220585bcec0 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.exp index 38a081b5e1402..f95a793bf6dd3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.s index f2e2abf1ebaf4..386aad4fa52fc 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrs-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.exp index 004e1f52558b0..61c1b148aef2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.s index bd207c0d593f9..87ce370777037 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.exp index 38a081b5e1402..f95a793bf6dd3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.s index 54e2f5c993cb5..b5a8f6aa34d2e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/csrrw-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.exp index 8427238ad7a70..0eb95fe19a042 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.s index bb82df0b1613b..8b4e1fa593459 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.exp index 8c44ee79257f2..157a7a61bcd9c 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.s index 543eee1c216c8..e78ea01f02b2d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.exp index bfc541513a9d4..b86a09d602bee 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.s index e512be4a044e0..82aaa566775e6 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-2.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.exp index cd0d1ac828430..46a53ad92ded3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.s index 4bd6e4cdc6b67..0e37708e92bbe 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jal-3.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.exp index b458204c3441b..ec3fbc37f1572 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.s index db02a0dd425da..8a9d3656d43c1 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.exp index 8427238ad7a70..0eb95fe19a042 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.s index f7e68b8ffb30d..aacaa53735c27 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.exp index 8c44ee79257f2..157a7a61bcd9c 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.s index da30f5c3d8859..9f2d941171a88 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-2.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.exp index bfc541513a9d4..b86a09d602bee 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.s index 5a7ab2b16e9c2..7552f1872e464 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-3.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.exp index cd0d1ac828430..46a53ad92ded3 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.s index 0a32357fa5ac0..08fe69ebb7b9b 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/jalr-4.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.exp index b458204c3441b..ec3fbc37f1572 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.s index 1c38c78ee50d9..fe8472867f01e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.exp index 50ae416960521..4a14f9c17998f 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.s index 5fb5e59192ddc..ec06863981f9b 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loop-1.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.exp index 50ae416960521..4a14f9c17998f 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.s index 7615c14479c7c..6dc96d878be03 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/loopi-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.exp index cb959db309e66..804c01c61396c 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.s index 68f675f72e3fe..012c713bc5535 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/lw-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.exp b/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.exp index 1364643e8592a..fee391feee8d8 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.s b/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.s index 57a794c637ed2..2886dd573ee73 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/multi/sw-0.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.exp b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.exp index ede5eb7563158..23acae6a39219 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.s b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.s index f6d6ad0e07381..3053d1a565edd 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/bigla.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.exp b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.exp index 1f3fc1253c0cc..32b378713c234 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.s b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.s index f95a71055e412..96500ff0683d2 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/la.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.exp b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.exp index c2294d521a6cb..e862806d754f0 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.s b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.s index f412c4efde48b..6db460a807a3e 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/pseudos/li.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ /* diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.exp b/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.exp index 7c5b0192f6077..29f5a168bd255 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.s b/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.s index 1431aa643e8c4..b25237d1bb159 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/relocs/hilo.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.exp b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.exp index f5b07044cef48..ab636ab45676d 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.s b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.s index 3ad5182b36e58..f1ecd71d81eff 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/direct-call.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.exp b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.exp index d719a1e72f174..cfb49674845b1 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.s b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.s index 588bd36c9a027..0b1a3f48aa0d1 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/subroutines/indirect-call.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.exp b/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.exp index 11f950d71d804..a7dcc643dcc1f 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.s b/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.s index d829ca429eb73..fd7e76a06eed0 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/x1/overflow.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.exp b/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.exp index fbfa4a49b75de..2377b1b176364 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.exp +++ b/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.exp @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.s b/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.s index d4cc2fbefd9af..c35d30cffb466 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.s +++ b/hw/ip/otbn/dv/otbnsim/test/simple/x1/underflow.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/otbnsim/test/simple_test.py b/hw/ip/otbn/dv/otbnsim/test/simple_test.py index fe99161c06d16..1b13089fa1c35 100644 --- a/hw/ip/otbn/dv/otbnsim/test/simple_test.py +++ b/hw/ip/otbn/dv/otbnsim/test/simple_test.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/state_test.py b/hw/ip/otbn/dv/otbnsim/test/state_test.py index b3520703ffba0..5f01047e2bcdf 100644 --- a/hw/ip/otbn/dv/otbnsim/test/state_test.py +++ b/hw/ip/otbn/dv/otbnsim/test/state_test.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/stats_test.py b/hw/ip/otbn/dv/otbnsim/test/stats_test.py index f88ad21db1b61..54f0a3949e704 100644 --- a/hw/ip/otbn/dv/otbnsim/test/stats_test.py +++ b/hw/ip/otbn/dv/otbnsim/test/stats_test.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/otbnsim/test/testutil.py b/hw/ip/otbn/dv/otbnsim/test/testutil.py index 47ca999d797d2..ba2e966d37563 100644 --- a/hw/ip/otbn/dv/otbnsim/test/testutil.py +++ b/hw/ip/otbn/dv/otbnsim/test/testutil.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/Makefile b/hw/ip/otbn/dv/rig/Makefile index da31c9e27c23c..6175c3044cc51 100644 --- a/hw/ip/otbn/dv/rig/Makefile +++ b/hw/ip/otbn/dv/rig/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/otbn-rig b/hw/ip/otbn/dv/rig/otbn-rig index 528f257760528..68fba91c5799d 100755 --- a/hw/ip/otbn/dv/rig/otbn-rig +++ b/hw/ip/otbn/dv/rig/otbn-rig @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/config.py b/hw/ip/otbn/dv/rig/rig/config.py index fd4962b5bf538..e81399d3a66d9 100644 --- a/hw/ip/otbn/dv/rig/rig/config.py +++ b/hw/ip/otbn/dv/rig/rig/config.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/configs/base.yml b/hw/ip/otbn/dv/rig/rig/configs/base.yml index 3ffe4f22516c7..741ca8bdf9481 100644 --- a/hw/ip/otbn/dv/rig/rig/configs/base.yml +++ b/hw/ip/otbn/dv/rig/rig/configs/base.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/configs/default.yml b/hw/ip/otbn/dv/rig/rig/configs/default.yml index ce7576a27027e..b10767a7e033c 100644 --- a/hw/ip/otbn/dv/rig/rig/configs/default.yml +++ b/hw/ip/otbn/dv/rig/rig/configs/default.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/configs/loopy.yml b/hw/ip/otbn/dv/rig/rig/configs/loopy.yml index 2a6e04dc6de6f..96955ca8826cb 100644 --- a/hw/ip/otbn/dv/rig/rig/configs/loopy.yml +++ b/hw/ip/otbn/dv/rig/rig/configs/loopy.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/configs/safe.yml b/hw/ip/otbn/dv/rig/rig/configs/safe.yml index ece0610618227..8ca288b708125 100644 --- a/hw/ip/otbn/dv/rig/rig/configs/safe.yml +++ b/hw/ip/otbn/dv/rig/rig/configs/safe.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/configs/straight-line.yml b/hw/ip/otbn/dv/rig/rig/configs/straight-line.yml index c0228ee07a10f..beb1eb8231de6 100644 --- a/hw/ip/otbn/dv/rig/rig/configs/straight-line.yml +++ b/hw/ip/otbn/dv/rig/rig/configs/straight-line.yml @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_at_end.py b/hw/ip/otbn/dv/rig/rig/gens/bad_at_end.py index 6ff7e8ff51cf5..3d87b214f9cdd 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_at_end.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_at_end.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_bnmovr.py b/hw/ip/otbn/dv/rig/rig/gens/bad_bnmovr.py index 77257b99d5b3b..6364886bd16a2 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_bnmovr.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_bnmovr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_branch.py b/hw/ip/otbn/dv/rig/rig/gens/bad_branch.py index 1beeef1c18f1f..27c5dfa4fefa9 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_branch.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_branch.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_deep_loop.py b/hw/ip/otbn/dv/rig/rig/gens/bad_deep_loop.py index 55dd22c7df595..a22633a4a7770 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_deep_loop.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_deep_loop.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_giant_loop.py b/hw/ip/otbn/dv/rig/rig/gens/bad_giant_loop.py index a420123bb6b85..f6394e3ad8190 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_giant_loop.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_giant_loop.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_insn.py b/hw/ip/otbn/dv/rig/rig/gens/bad_insn.py index 014bc1ab25839..50abd6ad6e95e 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_insn.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_insn.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_ispr.py b/hw/ip/otbn/dv/rig/rig/gens/bad_ispr.py index d776201d4459f..4c643fec3b435 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_ispr.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_ispr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_load_store.py b/hw/ip/otbn/dv/rig/rig/gens/bad_load_store.py index 16ee5156ab18a..1fd750cc0ac9e 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_load_store.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_load_store.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/bad_zero_loop.py b/hw/ip/otbn/dv/rig/rig/gens/bad_zero_loop.py index 7ce3bdd57949b..763e10886b2b4 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/bad_zero_loop.py +++ b/hw/ip/otbn/dv/rig/rig/gens/bad_zero_loop.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/branch.py b/hw/ip/otbn/dv/rig/rig/gens/branch.py index 91862489ec4cb..3566135750210 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/branch.py +++ b/hw/ip/otbn/dv/rig/rig/gens/branch.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/branch_gen.py b/hw/ip/otbn/dv/rig/rig/gens/branch_gen.py index 7b12da3da924e..3b43de169e374 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/branch_gen.py +++ b/hw/ip/otbn/dv/rig/rig/gens/branch_gen.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/call_stack_rw.py b/hw/ip/otbn/dv/rig/rig/gens/call_stack_rw.py index b3e376f3e4dc8..2ec900ca7c83b 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/call_stack_rw.py +++ b/hw/ip/otbn/dv/rig/rig/gens/call_stack_rw.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/ecall.py b/hw/ip/otbn/dv/rig/rig/gens/ecall.py index d2fcdc2fa4759..ea231b6b1f1d7 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/ecall.py +++ b/hw/ip/otbn/dv/rig/rig/gens/ecall.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/edge_load_store.py b/hw/ip/otbn/dv/rig/rig/gens/edge_load_store.py index 2b761cf9891ec..a49022260bcb2 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/edge_load_store.py +++ b/hw/ip/otbn/dv/rig/rig/gens/edge_load_store.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/jump.py b/hw/ip/otbn/dv/rig/rig/gens/jump.py index fcd01413152b8..d77f7f8f43913 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/jump.py +++ b/hw/ip/otbn/dv/rig/rig/gens/jump.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/known_wdr.py b/hw/ip/otbn/dv/rig/rig/gens/known_wdr.py index dfe0c21bd5558..9ff6ca3e41ac4 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/known_wdr.py +++ b/hw/ip/otbn/dv/rig/rig/gens/known_wdr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/loop.py b/hw/ip/otbn/dv/rig/rig/gens/loop.py index dbeceee2baa69..355b7f10dd5a4 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/loop.py +++ b/hw/ip/otbn/dv/rig/rig/gens/loop.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/loop_dup_end.py b/hw/ip/otbn/dv/rig/rig/gens/loop_dup_end.py index a4f55a944d797..b89aa96ee5d74 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/loop_dup_end.py +++ b/hw/ip/otbn/dv/rig/rig/gens/loop_dup_end.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/misaligned_load_store.py b/hw/ip/otbn/dv/rig/rig/gens/misaligned_load_store.py index 6a9339dac5cf0..ca7dfd59f0c12 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/misaligned_load_store.py +++ b/hw/ip/otbn/dv/rig/rig/gens/misaligned_load_store.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/small_val.py b/hw/ip/otbn/dv/rig/rig/gens/small_val.py index 210ccd70b5714..dfd5e8541fb9e 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/small_val.py +++ b/hw/ip/otbn/dv/rig/rig/gens/small_val.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/straight_line_insn.py b/hw/ip/otbn/dv/rig/rig/gens/straight_line_insn.py index 36ccd726eb38c..ccfe79dbbe5ca 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/straight_line_insn.py +++ b/hw/ip/otbn/dv/rig/rig/gens/straight_line_insn.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/gens/untaken_branch.py b/hw/ip/otbn/dv/rig/rig/gens/untaken_branch.py index a4e4a9fc69ec9..797475937e648 100644 --- a/hw/ip/otbn/dv/rig/rig/gens/untaken_branch.py +++ b/hw/ip/otbn/dv/rig/rig/gens/untaken_branch.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/init_data.py b/hw/ip/otbn/dv/rig/rig/init_data.py index 4088420eed2bf..b6b9a9311cff7 100644 --- a/hw/ip/otbn/dv/rig/rig/init_data.py +++ b/hw/ip/otbn/dv/rig/rig/init_data.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/known_mem.py b/hw/ip/otbn/dv/rig/rig/known_mem.py index 89ca6644725d0..92da53eaff1f9 100644 --- a/hw/ip/otbn/dv/rig/rig/known_mem.py +++ b/hw/ip/otbn/dv/rig/rig/known_mem.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/model.py b/hw/ip/otbn/dv/rig/rig/model.py index 0fb68fce718c5..7eff0ca28e403 100644 --- a/hw/ip/otbn/dv/rig/rig/model.py +++ b/hw/ip/otbn/dv/rig/rig/model.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/program.py b/hw/ip/otbn/dv/rig/rig/program.py index d8df2d635b7e0..b9c90dc5c136e 100644 --- a/hw/ip/otbn/dv/rig/rig/program.py +++ b/hw/ip/otbn/dv/rig/rig/program.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/rig.py b/hw/ip/otbn/dv/rig/rig/rig.py index 50debb5f97d47..9dbd22c948527 100644 --- a/hw/ip/otbn/dv/rig/rig/rig.py +++ b/hw/ip/otbn/dv/rig/rig/rig.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/snippet.py b/hw/ip/otbn/dv/rig/rig/snippet.py index af95939a058e0..62194a50c2db1 100644 --- a/hw/ip/otbn/dv/rig/rig/snippet.py +++ b/hw/ip/otbn/dv/rig/rig/snippet.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/snippet_gen.py b/hw/ip/otbn/dv/rig/rig/snippet_gen.py index 2f7b582778a3b..2cea289ddfe58 100644 --- a/hw/ip/otbn/dv/rig/rig/snippet_gen.py +++ b/hw/ip/otbn/dv/rig/rig/snippet_gen.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/rig/rig/snippet_gens.py b/hw/ip/otbn/dv/rig/rig/snippet_gens.py index dafe561cdb5a1..49ae3652b2568 100644 --- a/hw/ip/otbn/dv/rig/rig/snippet_gens.py +++ b/hw/ip/otbn/dv/rig/rig/snippet_gens.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/smoke/BUILD b/hw/ip/otbn/dv/smoke/BUILD index c1267a77b6250..f4756eac94b48 100644 --- a/hw/ip/otbn/dv/smoke/BUILD +++ b/hw/ip/otbn/dv/smoke/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/smoke/run_smoke.sh b/hw/ip/otbn/dv/smoke/run_smoke.sh index 2d743715d94ba..0802a560cc894 100755 --- a/hw/ip/otbn/dv/smoke/run_smoke.sh +++ b/hw/ip/otbn/dv/smoke/run_smoke.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/smoke/smoke_test.s b/hw/ip/otbn/dv/smoke/smoke_test.s index 3562a064dcf45..535f96648b2e7 100644 --- a/hw/ip/otbn/dv/smoke/smoke_test.s +++ b/hw/ip/otbn/dv/smoke/smoke_test.s @@ -1,4 +1,4 @@ -/* Copyright lowRISC contributors. */ +/* Copyright lowRISC contributors (OpenTitan project). */ /* Licensed under the Apache License, Version 2.0, see LICENSE for details. */ /* SPDX-License-Identifier: Apache-2.0 */ diff --git a/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.cc b/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.cc index 061c4637d3488..1fdba606d1d82 100644 --- a/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.cc +++ b/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.h b/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.h index a4a43f3bd76ed..b7dcbafa54395 100644 --- a/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.h +++ b/hw/ip/otbn/dv/tracer/cpp/log_trace_listener.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_listener.h b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_listener.h index 7f3541f123a28..fad4989c96302 100644 --- a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_listener.h +++ b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_listener.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.cc b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.cc index 76fba15a718e2..c8d13287e135a 100644 --- a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.cc +++ b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.h b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.h index e687ff7768be4..674b85d8e0cfe 100644 --- a/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.h +++ b/hw/ip/otbn/dv/tracer/cpp/otbn_trace_source.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 #ifndef OPENTITAN_HW_IP_OTBN_DV_TRACER_CPP_OTBN_TRACE_SOURCE_H_ diff --git a/hw/ip/otbn/dv/tracer/lint/otbn_tracer_waivers.vlt b/hw/ip/otbn/dv/tracer/lint/otbn_tracer_waivers.vlt index 07f6693d7a6e6..051f71555b7fb 100644 --- a/hw/ip/otbn/dv/tracer/lint/otbn_tracer_waivers.vlt +++ b/hw/ip/otbn/dv/tracer/lint/otbn_tracer_waivers.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/otbn_tracer.core b/hw/ip/otbn/dv/tracer/otbn_tracer.core index 99a2ffcd21cc5..40e0483018135 100644 --- a/hw/ip/otbn/dv/tracer/otbn_tracer.core +++ b/hw/ip/otbn/dv/tracer/otbn_tracer.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otbn_tracer" diff --git a/hw/ip/otbn/dv/tracer/otbn_tracer_sim_opts.hjson b/hw/ip/otbn/dv/tracer/otbn_tracer_sim_opts.hjson index 80693ac29edbf..6a26b7483cf03 100644 --- a/hw/ip/otbn/dv/tracer/otbn_tracer_sim_opts.hjson +++ b/hw/ip/otbn/dv/tracer/otbn_tracer_sim_opts.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/dv/tracer/rtl/otbn_trace_if.sv b/hw/ip/otbn/dv/tracer/rtl/otbn_trace_if.sv index b8b3925975a1a..d06652988cc3d 100644 --- a/hw/ip/otbn/dv/tracer/rtl/otbn_trace_if.sv +++ b/hw/ip/otbn/dv/tracer/rtl/otbn_trace_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/tracer/rtl/otbn_tracer.sv b/hw/ip/otbn/dv/tracer/rtl/otbn_tracer.sv index cc51c24c54b37..3f0a65b7dc06c 100644 --- a/hw/ip/otbn/dv/tracer/rtl/otbn_tracer.sv +++ b/hw/ip/otbn/dv/tracer/rtl/otbn_tracer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_alu_bignum_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_alu_bignum_if.sv index f866554bd2229..dc566836873c2 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_alu_bignum_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_alu_bignum_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_controller_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_controller_if.sv index 341ba09cabf00..6858bddb2ab30 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_controller_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_controller_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_env.core b/hw/ip/otbn/dv/uvm/env/otbn_env.core index 316e9ca892f12..5e44f300957bb 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_env.core +++ b/hw/ip/otbn/dv/uvm/env/otbn_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_env:0.1" diff --git a/hw/ip/otbn/dv/uvm/env/otbn_env.sv b/hw/ip/otbn/dv/uvm/env/otbn_env.sv index 0a5c0878dd8ea..17eb3b6cd514c 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_env.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_env_cfg.sv b/hw/ip/otbn/dv/uvm/env/otbn_env_cfg.sv index ff2eea22f101f..cac83a8e05da5 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_env_cfg.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_env_cov.sv b/hw/ip/otbn/dv/uvm/env/otbn_env_cov.sv index eadb325180970..00a1728c31a13 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_env_cov.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_env_pkg.sv b/hw/ip/otbn/dv/uvm/env/otbn_env_pkg.sv index 67ca072c854d2..ad89fb4f30954 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_env_pkg.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_escalate_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_escalate_if.sv index cfdc4fec5be2b..5e4e7d8bff0f0 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_escalate_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_escalate_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_insn_cnt_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_insn_cnt_if.sv index da0db16d9ee9f..f5cd915ff8f4e 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_insn_cnt_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_insn_cnt_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_loop_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_loop_if.sv index 0d6c4c8f93c91..fb737e8946250 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_loop_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_loop_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_mac_bignum_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_mac_bignum_if.sv index 99438bfb748f7..905869d700f86 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_mac_bignum_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_mac_bignum_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_rf_base_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_rf_base_if.sv index ee7ef5bb81806..3dae95b1113de 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_rf_base_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_rf_base_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_rnd_if.sv b/hw/ip/otbn/dv/uvm/env/otbn_rnd_if.sv index f617803e9716c..dd3d0bbbd5a0f 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_rnd_if.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_rnd_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/env/otbn_scoreboard.sv b/hw/ip/otbn/dv/uvm/env/otbn_scoreboard.sv index c37f9f0750910..95c9f1bdb5a21 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_scoreboard.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_test_helpers.cc b/hw/ip/otbn/dv/uvm/env/otbn_test_helpers.cc index 732bd786d9908..63e0cee7b6996 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_test_helpers.cc +++ b/hw/ip/otbn/dv/uvm/env/otbn_test_helpers.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_trace_item.sv b/hw/ip/otbn/dv/uvm/env/otbn_trace_item.sv index 382956c4d1591..b3ada643613c6 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_trace_item.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_trace_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_trace_monitor.sv b/hw/ip/otbn/dv/uvm/env/otbn_trace_monitor.sv index f324e6d7eeae0..306166eb883c7 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_trace_monitor.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_trace_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/otbn_virtual_sequencer.sv b/hw/ip/otbn/dv/uvm/env/otbn_virtual_sequencer.sv index 87e764b2b8849..f412f3650f004 100644 --- a/hw/ip/otbn/dv/uvm/env/otbn_virtual_sequencer.sv +++ b/hw/ip/otbn/dv/uvm/env/otbn_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_alu_bignum_mod_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_alu_bignum_mod_err_vseq.sv index 5198fef666098..798b6af3fc4c0 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_alu_bignum_mod_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_alu_bignum_mod_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_base_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_base_vseq.sv index e88e2fc239d9e..624231a5f1a2b 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_base_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_common_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_common_vseq.sv index 12943c6b0e7da..f75d42c5afd2e 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_common_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_controller_ispr_rdata_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_controller_ispr_rdata_err_vseq.sv index 63025b7f88edf..7e63d45a3fa3d 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_controller_ispr_rdata_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_controller_ispr_rdata_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_ctrl_redun_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_ctrl_redun_vseq.sv index b4fe9b6053ed4..cfadc1f318e7c 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_ctrl_redun_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_ctrl_redun_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_dmem_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_dmem_err_vseq.sv index 393c7a5c0c30d..5b07ac51eacf2 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_dmem_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_dmem_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_escalate_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_escalate_vseq.sv index 3e4429cb917a2..3e27b73233506 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_escalate_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_escalate_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_illegal_mem_acc_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_illegal_mem_acc_vseq.sv index e50e2423a09e1..73172daff7831 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_illegal_mem_acc_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_illegal_mem_acc_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_imem_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_imem_err_vseq.sv index 01b1f5e9da95a..c8ea5f58f011e 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_imem_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_imem_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_intg_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_intg_err_vseq.sv index 5a723f2d0fa7c..49d3f2a48f1b5 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_intg_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_intg_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mac_bignum_acc_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mac_bignum_acc_err_vseq.sv index b5e86bb834cfc..4ee01bab5430c 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mac_bignum_acc_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mac_bignum_acc_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mem_gnt_acc_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mem_gnt_acc_err_vseq.sv index 81a91cbb59848..cbf969563bd67 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mem_gnt_acc_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_mem_gnt_acc_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_multi_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_multi_vseq.sv index ee85196efdd64..9cb49963cb5b3 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_multi_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_multi_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_pc_ctrl_flow_redun_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_pc_ctrl_flow_redun_vseq.sv index efaf6ac889909..d839d8d784bb8 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_pc_ctrl_flow_redun_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_pc_ctrl_flow_redun_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_reset_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_reset_vseq.sv index a26bc6c505091..f5410a453c224 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_reset_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_base_intg_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_base_intg_err_vseq.sv index 844235ed62dab..16cc2c400c96d 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_base_intg_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_base_intg_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_bignum_intg_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_bignum_intg_err_vseq.sv index 41f26b32a6762..46e01bacf910f 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_bignum_intg_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rf_bignum_intg_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rnd_sec_cm_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rnd_sec_cm_vseq.sv index 31a672f196caa..81c56e1a363ec 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rnd_sec_cm_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_rnd_sec_cm_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sec_wipe_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sec_wipe_err_vseq.sv index a8d5f30a43366..46ef376417af5 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sec_wipe_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sec_wipe_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sequential_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sequential_vseq.sv index 3bbdc347feda7..26aad11e0d321 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sequential_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sequential_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_single_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_single_vseq.sv index 1fb9050917f1e..7cbbd3d9fc7ba 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_single_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_single_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_smoke_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_smoke_vseq.sv index 6fdcd0168aae2..d1f18bd326558 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_smoke_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stack_addr_integ_chk_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stack_addr_integ_chk_vseq.sv index 77da85efd189c..34731dd1f83fb 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stack_addr_integ_chk_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stack_addr_integ_chk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stress_all_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stress_all_vseq.sv index 923e787e9680e..b5ae98cc775ac 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stress_all_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_errs_fatal_chk_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_errs_fatal_chk_vseq.sv index 4e852fb75d0fc..9203a9700b46d 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_errs_fatal_chk_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_errs_fatal_chk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_no_acc_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_no_acc_vseq.sv index c71e8decf97dd..9b011483384b8 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_no_acc_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_sw_no_acc_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_urnd_err_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_urnd_err_vseq.sv index 3fd912b9b78fa..7969ee3b81753 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_urnd_err_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_urnd_err_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_vseq_list.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_vseq_list.sv index 1445a4e31d947..5136921872a03 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_vseq_list.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_zero_state_err_urnd_vseq.sv b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_zero_state_err_urnd_vseq.sv index f4f849bdf1d94..ae60458e7b073 100644 --- a/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_zero_state_err_urnd_vseq.sv +++ b/hw/ip/otbn/dv/uvm/env/seq_lib/otbn_zero_state_err_urnd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/gen-binaries.py b/hw/ip/otbn/dv/uvm/gen-binaries.py index 163e7faa8170d..3c26d963bfaf3 100755 --- a/hw/ip/otbn/dv/uvm/gen-binaries.py +++ b/hw/ip/otbn/dv/uvm/gen-binaries.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 '''A helper script to generate a default set of binaries for OTBN testing diff --git a/hw/ip/otbn/dv/uvm/get-toolchain-paths.sh b/hw/ip/otbn/dv/uvm/get-toolchain-paths.sh index 9117137cddc86..647d2879fbe15 100755 --- a/hw/ip/otbn/dv/uvm/get-toolchain-paths.sh +++ b/hw/ip/otbn/dv/uvm/get-toolchain-paths.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.core b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.core index 7fe236e2c3425..838e1ece19b97 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.core +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_model_agent:0.1" diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.sv index a6054ae5697ae..95425b5aad9e4 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_cfg.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_cfg.sv index 43505bddbc920..ff24ca1388ff5 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_cfg.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_pkg.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_pkg.sv index 2fe9b88d91eee..d6eda0c9f1287 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_pkg.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_agent_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_if.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_if.sv index 565b11c384a23..ab23ade023307 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_if.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_item.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_item.sv index 92e29ebb34673..e89bc58fa98ff 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_item.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_monitor.sv b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_monitor.sv index b2ff1aa7b7d77..e8be6e5ad3097 100644 --- a/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_monitor.sv +++ b/hw/ip/otbn/dv/uvm/otbn_model_agent/otbn_model_monitor.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/otbn_sim.core b/hw/ip/otbn/dv/uvm/otbn_sim.core index f8c11bcab910c..38cdac647579f 100644 --- a/hw/ip/otbn/dv/uvm/otbn_sim.core +++ b/hw/ip/otbn/dv/uvm/otbn_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_sim:0.1" diff --git a/hw/ip/otbn/dv/uvm/otbn_sim_cfg.hjson b/hw/ip/otbn/dv/uvm/otbn_sim_cfg.hjson index 2902a4d643582..1f9d57198b751 100644 --- a/hw/ip/otbn/dv/uvm/otbn_sim_cfg.hjson +++ b/hw/ip/otbn/dv/uvm/otbn_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/dv/uvm/sva/otbn_bind.sv b/hw/ip/otbn/dv/uvm/sva/otbn_bind.sv index a0ac26d695b81..6b7732bd3a6d2 100644 --- a/hw/ip/otbn/dv/uvm/sva/otbn_bind.sv +++ b/hw/ip/otbn/dv/uvm/sva/otbn_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/sva/otbn_idle_checker.sv b/hw/ip/otbn/dv/uvm/sva/otbn_idle_checker.sv index d08ef8adb0675..8eb1b8f89bf47 100644 --- a/hw/ip/otbn/dv/uvm/sva/otbn_idle_checker.sv +++ b/hw/ip/otbn/dv/uvm/sva/otbn_idle_checker.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/sva/otbn_sva.core b/hw/ip/otbn/dv/uvm/sva/otbn_sva.core index c7e05b8f38fea..36781a7fb2c03 100644 --- a/hw/ip/otbn/dv/uvm/sva/otbn_sva.core +++ b/hw/ip/otbn/dv/uvm/sva/otbn_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_sva:0.1" diff --git a/hw/ip/otbn/dv/uvm/tb.sv b/hw/ip/otbn/dv/uvm/tb.sv index 40ed3dbb13d29..171277ae02f21 100644 --- a/hw/ip/otbn/dv/uvm/tb.sv +++ b/hw/ip/otbn/dv/uvm/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/dv/uvm/tests/otbn_base_test.sv b/hw/ip/otbn/dv/uvm/tests/otbn_base_test.sv index 3bdfd9b71aa25..27f0360df04c1 100644 --- a/hw/ip/otbn/dv/uvm/tests/otbn_base_test.sv +++ b/hw/ip/otbn/dv/uvm/tests/otbn_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/uvm/tests/otbn_test.core b/hw/ip/otbn/dv/uvm/tests/otbn_test.core index a242972ea7a26..01b09ac1b32f5 100644 --- a/hw/ip/otbn/dv/uvm/tests/otbn_test.core +++ b/hw/ip/otbn/dv/uvm/tests/otbn_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otbn_test:0.1" diff --git a/hw/ip/otbn/dv/uvm/tests/otbn_test_pkg.sv b/hw/ip/otbn/dv/uvm/tests/otbn_test_pkg.sv index 85365161ed673..2f038553d75d4 100644 --- a/hw/ip/otbn/dv/uvm/tests/otbn_test_pkg.sv +++ b/hw/ip/otbn/dv/uvm/tests/otbn_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/verilator/otbn_mock_edn.sv b/hw/ip/otbn/dv/verilator/otbn_mock_edn.sv index 5e1ec5ab953e9..9a2bdbcb3ee14 100644 --- a/hw/ip/otbn/dv/verilator/otbn_mock_edn.sv +++ b/hw/ip/otbn/dv/verilator/otbn_mock_edn.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/verilator/otbn_top_sim.cc b/hw/ip/otbn/dv/verilator/otbn_top_sim.cc index ecda32667ee3d..df1004e12177b 100644 --- a/hw/ip/otbn/dv/verilator/otbn_top_sim.cc +++ b/hw/ip/otbn/dv/verilator/otbn_top_sim.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/verilator/otbn_top_sim.core b/hw/ip/otbn/dv/verilator/otbn_top_sim.core index 658991345d182..2b02aabc7c0a5 100644 --- a/hw/ip/otbn/dv/verilator/otbn_top_sim.core +++ b/hw/ip/otbn/dv/verilator/otbn_top_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otbn_top_sim:0.1" diff --git a/hw/ip/otbn/dv/verilator/otbn_top_sim.sv b/hw/ip/otbn/dv/verilator/otbn_top_sim.sv index 2d734bba743d3..18b09e38ba797 100644 --- a/hw/ip/otbn/dv/verilator/otbn_top_sim.sv +++ b/hw/ip/otbn/dv/verilator/otbn_top_sim.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/verilator/otbn_top_sim_waivers.vlt b/hw/ip/otbn/dv/verilator/otbn_top_sim_waivers.vlt index fc57473698b2c..350760a0a2752 100644 --- a/hw/ip/otbn/dv/verilator/otbn_top_sim_waivers.vlt +++ b/hw/ip/otbn/dv/verilator/otbn_top_sim_waivers.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/dv/verilator/run-some.py b/hw/ip/otbn/dv/verilator/run-some.py index 13e79dd60c086..8bff5388a2616 100755 --- a/hw/ip/otbn/dv/verilator/run-some.py +++ b/hw/ip/otbn/dv/verilator/run-some.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/lint/otbn.vlt b/hw/ip/otbn/lint/otbn.vlt index e67fecebf7159..0fcdea5762c07 100644 --- a/hw/ip/otbn/lint/otbn.vlt +++ b/hw/ip/otbn/lint/otbn.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/lint/otbn.waiver b/hw/ip/otbn/lint/otbn.waiver index fffca1be62516..d9f7b88f7670c 100644 --- a/hw/ip/otbn/lint/otbn.waiver +++ b/hw/ip/otbn/lint/otbn.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otbn/otbn.core b/hw/ip/otbn/otbn.core index 4813a0094a0d8..903dd14828697 100644 --- a/hw/ip/otbn/otbn.core +++ b/hw/ip/otbn/otbn.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otbn:0.1" diff --git a/hw/ip/otbn/otbn_pkg.core b/hw/ip/otbn/otbn_pkg.core index 6794a0018d11a..da2493fb08496 100644 --- a/hw/ip/otbn/otbn_pkg.core +++ b/hw/ip/otbn/otbn_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otbn_pkg:0.1" diff --git a/hw/ip/otbn/pre_sca/alma/rtl/otbn_top_coco.v b/hw/ip/otbn/pre_sca/alma/rtl/otbn_top_coco.v index f02fe3791a371..f9fda5d211b68 100644 --- a/hw/ip/otbn/pre_sca/alma/rtl/otbn_top_coco.v +++ b/hw/ip/otbn/pre_sca/alma/rtl/otbn_top_coco.v @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_sca/alma/rtl/ram_1p.v b/hw/ip/otbn/pre_sca/alma/rtl/ram_1p.v index a4a588adb9cce..34cc0a3e3d0c5 100644 --- a/hw/ip/otbn/pre_sca/alma/rtl/ram_1p.v +++ b/hw/ip/otbn/pre_sca/alma/rtl/ram_1p.v @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_sca/alma/verify_otbn.sh b/hw/ip/otbn/pre_sca/alma/verify_otbn.sh index 95dfd36bd8d92..55ea4178013ef 100755 --- a/hw/ip/otbn/pre_sca/alma/verify_otbn.sh +++ b/hw/ip/otbn/pre_sca/alma/verify_otbn.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_syn/otbn_lr_synth_conf.tcl b/hw/ip/otbn/pre_syn/otbn_lr_synth_conf.tcl index b1304fc8062ea..1947aec6c634b 100644 --- a/hw/ip/otbn/pre_syn/otbn_lr_synth_conf.tcl +++ b/hw/ip/otbn/pre_syn/otbn_lr_synth_conf.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_syn/syn_setup.example.sh b/hw/ip/otbn/pre_syn/syn_setup.example.sh index dc9b2176b98ba..e13107c0a9c3d 100644 --- a/hw/ip/otbn/pre_syn/syn_setup.example.sh +++ b/hw/ip/otbn/pre_syn/syn_setup.example.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_syn/syn_yosys.sh b/hw/ip/otbn/pre_syn/syn_yosys.sh index fa21e81ff595e..2bcf50880c16d 100755 --- a/hw/ip/otbn/pre_syn/syn_yosys.sh +++ b/hw/ip/otbn/pre_syn/syn_yosys.sh @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_syn/tcl/lr_synth_flow_var_setup.tcl b/hw/ip/otbn/pre_syn/tcl/lr_synth_flow_var_setup.tcl index c41ec2b844596..660c133ade8d9 100644 --- a/hw/ip/otbn/pre_syn/tcl/lr_synth_flow_var_setup.tcl +++ b/hw/ip/otbn/pre_syn/tcl/lr_synth_flow_var_setup.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/pre_syn/tcl/yosys_run_synth.tcl b/hw/ip/otbn/pre_syn/tcl/yosys_run_synth.tcl index f356ae8f41153..cde3b616ef075 100644 --- a/hw/ip/otbn/pre_syn/tcl/yosys_run_synth.tcl +++ b/hw/ip/otbn/pre_syn/tcl/yosys_run_synth.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn.sv b/hw/ip/otbn/rtl/otbn.sv index c344844955b47..94e81c0195a70 100644 --- a/hw/ip/otbn/rtl/otbn.sv +++ b/hw/ip/otbn/rtl/otbn.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_alu_base.sv b/hw/ip/otbn/rtl/otbn_alu_base.sv index 8c22c8813e61c..4176f0e2a2162 100644 --- a/hw/ip/otbn/rtl/otbn_alu_base.sv +++ b/hw/ip/otbn/rtl/otbn_alu_base.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_alu_bignum.sv b/hw/ip/otbn/rtl/otbn_alu_bignum.sv index 9acc5d0816cf8..5c2d5716e15f1 100644 --- a/hw/ip/otbn/rtl/otbn_alu_bignum.sv +++ b/hw/ip/otbn/rtl/otbn_alu_bignum.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_controller.sv b/hw/ip/otbn/rtl/otbn_controller.sv index 2c7ae6e859e32..51da513abe42f 100644 --- a/hw/ip/otbn/rtl/otbn_controller.sv +++ b/hw/ip/otbn/rtl/otbn_controller.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_core.sv b/hw/ip/otbn/rtl/otbn_core.sv index ff4825f7e9b61..5c6d125169b0e 100644 --- a/hw/ip/otbn/rtl/otbn_core.sv +++ b/hw/ip/otbn/rtl/otbn_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_decoder.sv b/hw/ip/otbn/rtl/otbn_decoder.sv index a4bcf1f364fae..fdfb61583391f 100644 --- a/hw/ip/otbn/rtl/otbn_decoder.sv +++ b/hw/ip/otbn/rtl/otbn_decoder.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_instruction_fetch.sv b/hw/ip/otbn/rtl/otbn_instruction_fetch.sv index 485db54fe99be..4cbd641b659c4 100644 --- a/hw/ip/otbn/rtl/otbn_instruction_fetch.sv +++ b/hw/ip/otbn/rtl/otbn_instruction_fetch.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_loop_controller.sv b/hw/ip/otbn/rtl/otbn_loop_controller.sv index 027d181101af6..1e4e93d2ccb60 100644 --- a/hw/ip/otbn/rtl/otbn_loop_controller.sv +++ b/hw/ip/otbn/rtl/otbn_loop_controller.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_lsu.sv b/hw/ip/otbn/rtl/otbn_lsu.sv index 3b02deef95ab4..f507590e6ebf0 100644 --- a/hw/ip/otbn/rtl/otbn_lsu.sv +++ b/hw/ip/otbn/rtl/otbn_lsu.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_mac_bignum.sv b/hw/ip/otbn/rtl/otbn_mac_bignum.sv index 072d6af784324..2bc846c78af05 100644 --- a/hw/ip/otbn/rtl/otbn_mac_bignum.sv +++ b/hw/ip/otbn/rtl/otbn_mac_bignum.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_pkg.sv b/hw/ip/otbn/rtl/otbn_pkg.sv index c06fd9c10099d..fba46f006598f 100644 --- a/hw/ip/otbn/rtl/otbn_pkg.sv +++ b/hw/ip/otbn/rtl/otbn_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_predecode.sv b/hw/ip/otbn/rtl/otbn_predecode.sv index 0454d2bcc0954..4f5788cea1cc6 100644 --- a/hw/ip/otbn/rtl/otbn_predecode.sv +++ b/hw/ip/otbn/rtl/otbn_predecode.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_reg_pkg.sv b/hw/ip/otbn/rtl/otbn_reg_pkg.sv index f0c84b0749f1c..c60e4a2515c9b 100644 --- a/hw/ip/otbn/rtl/otbn_reg_pkg.sv +++ b/hw/ip/otbn/rtl/otbn_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/rtl/otbn_reg_top.sv b/hw/ip/otbn/rtl/otbn_reg_top.sv index 83ce18321e367..2ba7eb1eba883 100644 --- a/hw/ip/otbn/rtl/otbn_reg_top.sv +++ b/hw/ip/otbn/rtl/otbn_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otbn/rtl/otbn_rf_base.sv b/hw/ip/otbn/rtl/otbn_rf_base.sv index 928e92973a2c0..61745b44e791c 100644 --- a/hw/ip/otbn/rtl/otbn_rf_base.sv +++ b/hw/ip/otbn/rtl/otbn_rf_base.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rf_base_ff.sv b/hw/ip/otbn/rtl/otbn_rf_base_ff.sv index 3aac3f1838ee6..9b603b71cc5c3 100644 --- a/hw/ip/otbn/rtl/otbn_rf_base_ff.sv +++ b/hw/ip/otbn/rtl/otbn_rf_base_ff.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rf_base_fpga.sv b/hw/ip/otbn/rtl/otbn_rf_base_fpga.sv index f963d6ab1a925..29f3b9f8ef0b7 100644 --- a/hw/ip/otbn/rtl/otbn_rf_base_fpga.sv +++ b/hw/ip/otbn/rtl/otbn_rf_base_fpga.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rf_bignum.sv b/hw/ip/otbn/rtl/otbn_rf_bignum.sv index 4d728a0d09686..a738af0724bed 100644 --- a/hw/ip/otbn/rtl/otbn_rf_bignum.sv +++ b/hw/ip/otbn/rtl/otbn_rf_bignum.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rf_bignum_ff.sv b/hw/ip/otbn/rtl/otbn_rf_bignum_ff.sv index 2f250052914f8..15f42705e2e8a 100644 --- a/hw/ip/otbn/rtl/otbn_rf_bignum_ff.sv +++ b/hw/ip/otbn/rtl/otbn_rf_bignum_ff.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rf_bignum_fpga.sv b/hw/ip/otbn/rtl/otbn_rf_bignum_fpga.sv index 21447b86e12e4..74dd1a9f9dc3e 100644 --- a/hw/ip/otbn/rtl/otbn_rf_bignum_fpga.sv +++ b/hw/ip/otbn/rtl/otbn_rf_bignum_fpga.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_rnd.sv b/hw/ip/otbn/rtl/otbn_rnd.sv index fb9023653c624..493ddf692991f 100644 --- a/hw/ip/otbn/rtl/otbn_rnd.sv +++ b/hw/ip/otbn/rtl/otbn_rnd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_scramble_ctrl.sv b/hw/ip/otbn/rtl/otbn_scramble_ctrl.sv index 985a28f21f20e..e0b42bceb940e 100644 --- a/hw/ip/otbn/rtl/otbn_scramble_ctrl.sv +++ b/hw/ip/otbn/rtl/otbn_scramble_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_stack.sv b/hw/ip/otbn/rtl/otbn_stack.sv index a277f4008ed7b..5006f1f9ac1bf 100644 --- a/hw/ip/otbn/rtl/otbn_stack.sv +++ b/hw/ip/otbn/rtl/otbn_stack.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/rtl/otbn_start_stop_control.sv b/hw/ip/otbn/rtl/otbn_start_stop_control.sv index db246dcbfd3e6..abc27541ea2b1 100644 --- a/hw/ip/otbn/rtl/otbn_start_stop_control.sv +++ b/hw/ip/otbn/rtl/otbn_start_stop_control.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/syn/constraints.sdc b/hw/ip/otbn/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/otbn/syn/constraints.sdc +++ b/hw/ip/otbn/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otbn/syn/otbn_gtech_syn_cfg.hjson b/hw/ip/otbn/syn/otbn_gtech_syn_cfg.hjson index a4543c61f0895..f21e6abc54244 100644 --- a/hw/ip/otbn/syn/otbn_gtech_syn_cfg.hjson +++ b/hw/ip/otbn/syn/otbn_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/syn/otbn_syn_cfg.hjson b/hw/ip/otbn/syn/otbn_syn_cfg.hjson index d33de14f54a70..7c309708c3810 100644 --- a/hw/ip/otbn/syn/otbn_syn_cfg.hjson +++ b/hw/ip/otbn/syn/otbn_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otbn/syn/post_elab_gtech.tcl b/hw/ip/otbn/syn/post_elab_gtech.tcl index df144b4c3c659..abe1a8c181f27 100644 --- a/hw/ip/otbn/syn/post_elab_gtech.tcl +++ b/hw/ip/otbn/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otbn/util/BUILD b/hw/ip/otbn/util/BUILD index a4472b7e204a5..6a60e9018a9bf 100644 --- a/hw/ip/otbn/util/BUILD +++ b/hw/ip/otbn/util/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/Makefile b/hw/ip/otbn/util/Makefile index 4eca5f036eacc..ab2bc4495c1e4 100644 --- a/hw/ip/otbn/util/Makefile +++ b/hw/ip/otbn/util/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/analyze_information_flow.py b/hw/ip/otbn/util/analyze_information_flow.py index 2446b72694f15..ad253f2ea3592 100755 --- a/hw/ip/otbn/util/analyze_information_flow.py +++ b/hw/ip/otbn/util/analyze_information_flow.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/check_call_stack.py b/hw/ip/otbn/util/check_call_stack.py index f59cb7ae9c4f0..5a18daf9ea5cf 100755 --- a/hw/ip/otbn/util/check_call_stack.py +++ b/hw/ip/otbn/util/check_call_stack.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/check_const_time.py b/hw/ip/otbn/util/check_const_time.py index 7f23008f3d3cd..168ea7b215f46 100755 --- a/hw/ip/otbn/util/check_const_time.py +++ b/hw/ip/otbn/util/check_const_time.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/check_loop.py b/hw/ip/otbn/util/check_loop.py index e42efbf98cc9c..8b21337bb3480 100755 --- a/hw/ip/otbn/util/check_loop.py +++ b/hw/ip/otbn/util/check_loop.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/docs/get_impl.py b/hw/ip/otbn/util/docs/get_impl.py index b26bcd8c556b8..f805cb39bc674 100644 --- a/hw/ip/otbn/util/docs/get_impl.py +++ b/hw/ip/otbn/util/docs/get_impl.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/docs/md_isrs.py b/hw/ip/otbn/util/docs/md_isrs.py index 930092c2723b7..a63f6f3d89db3 100755 --- a/hw/ip/otbn/util/docs/md_isrs.py +++ b/hw/ip/otbn/util/docs/md_isrs.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/get_instruction_count_range.py b/hw/ip/otbn/util/get_instruction_count_range.py index 4d4d8dacd7254..ebeab90d10ad6 100755 --- a/hw/ip/otbn/util/get_instruction_count_range.py +++ b/hw/ip/otbn/util/get_instruction_count_range.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/make_mulqacc.py b/hw/ip/otbn/util/make_mulqacc.py index 52819ebd3699b..b27e7b11d0d4b 100755 --- a/hw/ip/otbn/util/make_mulqacc.py +++ b/hw/ip/otbn/util/make_mulqacc.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/otbn_as.py b/hw/ip/otbn/util/otbn_as.py index d95291a1aec04..e414161323604 100755 --- a/hw/ip/otbn/util/otbn_as.py +++ b/hw/ip/otbn/util/otbn_as.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 '''A wrapper around riscv32-unknown-elf-as for OTBN diff --git a/hw/ip/otbn/util/otbn_ld.py b/hw/ip/otbn/util/otbn_ld.py index a19481e905b7e..903915ca8c0eb 100755 --- a/hw/ip/otbn/util/otbn_ld.py +++ b/hw/ip/otbn/util/otbn_ld.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 '''A wrapper around riscv32-unknown-elf-ld for OTBN diff --git a/hw/ip/otbn/util/otbn_objdump.py b/hw/ip/otbn/util/otbn_objdump.py index 859b1adb916bf..6a4d01dc93c42 100755 --- a/hw/ip/otbn/util/otbn_objdump.py +++ b/hw/ip/otbn/util/otbn_objdump.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/otbn_sim_test.py b/hw/ip/otbn/util/otbn_sim_test.py index 61023f7422a95..ca57576a21614 100755 --- a/hw/ip/otbn/util/otbn_sim_test.py +++ b/hw/ip/otbn/util/otbn_sim_test.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/BUILD b/hw/ip/otbn/util/shared/BUILD index 267937b5c2de4..c6236d20d89ff 100644 --- a/hw/ip/otbn/util/shared/BUILD +++ b/hw/ip/otbn/util/shared/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/__init__.py b/hw/ip/otbn/util/shared/__init__.py index a798ae8da9bd4..7fe779e80bf2f 100644 --- a/hw/ip/otbn/util/shared/__init__.py +++ b/hw/ip/otbn/util/shared/__init__.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/bit_ranges.py b/hw/ip/otbn/util/shared/bit_ranges.py index 56c3d690104bb..7454dbedda280 100644 --- a/hw/ip/otbn/util/shared/bit_ranges.py +++ b/hw/ip/otbn/util/shared/bit_ranges.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/bool_literal.py b/hw/ip/otbn/util/shared/bool_literal.py index 78a8b97907db1..7d17207f64333 100644 --- a/hw/ip/otbn/util/shared/bool_literal.py +++ b/hw/ip/otbn/util/shared/bool_literal.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/cache.py b/hw/ip/otbn/util/shared/cache.py index aa744b13fd0e1..bc218037f59c1 100644 --- a/hw/ip/otbn/util/shared/cache.py +++ b/hw/ip/otbn/util/shared/cache.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/check.py b/hw/ip/otbn/util/shared/check.py index a99f0e547a04f..49f6ba7f884f3 100644 --- a/hw/ip/otbn/util/shared/check.py +++ b/hw/ip/otbn/util/shared/check.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/constants.py b/hw/ip/otbn/util/shared/constants.py index 4fb2d4dafccea..bed985b36e279 100644 --- a/hw/ip/otbn/util/shared/constants.py +++ b/hw/ip/otbn/util/shared/constants.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/control_flow.py b/hw/ip/otbn/util/shared/control_flow.py index 785b89f270601..ab9a3a4c8a702 100644 --- a/hw/ip/otbn/util/shared/control_flow.py +++ b/hw/ip/otbn/util/shared/control_flow.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/decode.py b/hw/ip/otbn/util/shared/decode.py index b8e28dd1a1477..999fbdda44360 100644 --- a/hw/ip/otbn/util/shared/decode.py +++ b/hw/ip/otbn/util/shared/decode.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/elf.py b/hw/ip/otbn/util/shared/elf.py index 73da36e02a157..47cff3a6fa6f0 100644 --- a/hw/ip/otbn/util/shared/elf.py +++ b/hw/ip/otbn/util/shared/elf.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/encoding.py b/hw/ip/otbn/util/shared/encoding.py index 076738e5fbd46..2bd07144bf3b6 100644 --- a/hw/ip/otbn/util/shared/encoding.py +++ b/hw/ip/otbn/util/shared/encoding.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/encoding_scheme.py b/hw/ip/otbn/util/shared/encoding_scheme.py index ed2ce7a278bdb..5e8b4f7cddd22 100644 --- a/hw/ip/otbn/util/shared/encoding_scheme.py +++ b/hw/ip/otbn/util/shared/encoding_scheme.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/information_flow.py b/hw/ip/otbn/util/shared/information_flow.py index 97fc6fbe68a74..df05fec9d0868 100644 --- a/hw/ip/otbn/util/shared/information_flow.py +++ b/hw/ip/otbn/util/shared/information_flow.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/information_flow_analysis.py b/hw/ip/otbn/util/shared/information_flow_analysis.py index ebfa10297e979..43daa8e603700 100755 --- a/hw/ip/otbn/util/shared/information_flow_analysis.py +++ b/hw/ip/otbn/util/shared/information_flow_analysis.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/insn_yaml.py b/hw/ip/otbn/util/shared/insn_yaml.py index 5e9f4a7b37937..1b9ea95143317 100644 --- a/hw/ip/otbn/util/shared/insn_yaml.py +++ b/hw/ip/otbn/util/shared/insn_yaml.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/instruction_count_range.py b/hw/ip/otbn/util/shared/instruction_count_range.py index 1549e1a744ef3..10b9f5b12bca5 100755 --- a/hw/ip/otbn/util/shared/instruction_count_range.py +++ b/hw/ip/otbn/util/shared/instruction_count_range.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/isr.py b/hw/ip/otbn/util/shared/isr.py index 957635d3d5b7a..43765b4de0fc4 100644 --- a/hw/ip/otbn/util/shared/isr.py +++ b/hw/ip/otbn/util/shared/isr.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/lsu_desc.py b/hw/ip/otbn/util/shared/lsu_desc.py index b1f592352e3ed..7e812d8ec8d29 100644 --- a/hw/ip/otbn/util/shared/lsu_desc.py +++ b/hw/ip/otbn/util/shared/lsu_desc.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/mem_layout.py b/hw/ip/otbn/util/shared/mem_layout.py index 078c43ad6fd8a..12e87ef7a917f 100644 --- a/hw/ip/otbn/util/shared/mem_layout.py +++ b/hw/ip/otbn/util/shared/mem_layout.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/operand.py b/hw/ip/otbn/util/shared/operand.py index 711414ce10990..c2cc4ec9de2f9 100644 --- a/hw/ip/otbn/util/shared/operand.py +++ b/hw/ip/otbn/util/shared/operand.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/otbn_reggen.py b/hw/ip/otbn/util/shared/otbn_reggen.py index 24425f1d6f75a..0d66c87eb9604 100644 --- a/hw/ip/otbn/util/shared/otbn_reggen.py +++ b/hw/ip/otbn/util/shared/otbn_reggen.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/reg_dump.py b/hw/ip/otbn/util/shared/reg_dump.py index ab2b8e0e23900..3b036f04d5a4a 100644 --- a/hw/ip/otbn/util/shared/reg_dump.py +++ b/hw/ip/otbn/util/shared/reg_dump.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/section.py b/hw/ip/otbn/util/shared/section.py index 6fc62d76d2900..ea1cfa95b7766 100644 --- a/hw/ip/otbn/util/shared/section.py +++ b/hw/ip/otbn/util/shared/section.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/syntax.py b/hw/ip/otbn/util/shared/syntax.py index acbe5db1aba5f..0e941ffcb4ac1 100644 --- a/hw/ip/otbn/util/shared/syntax.py +++ b/hw/ip/otbn/util/shared/syntax.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/shared/toolchain.py b/hw/ip/otbn/util/shared/toolchain.py index 1aeb550fe5880..448c75ad488a1 100644 --- a/hw/ip/otbn/util/shared/toolchain.py +++ b/hw/ip/otbn/util/shared/toolchain.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otbn/util/yaml_to_doc.py b/hw/ip/otbn/util/yaml_to_doc.py index 9c5515f484d14..e030d587f7eae 100755 --- a/hw/ip/otbn/util/yaml_to_doc.py +++ b/hw/ip/otbn/util/yaml_to_doc.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/BUILD b/hw/ip/otp_ctrl/BUILD index fcfeacdcc9f54..a62d75c1b6c99 100644 --- a/hw/ip/otp_ctrl/BUILD +++ b/hw/ip/otp_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/BUILD b/hw/ip/otp_ctrl/data/BUILD index 2f0368bf76247..ca46e0a17cc52 100644 --- a/hw/ip/otp_ctrl/data/BUILD +++ b/hw/ip/otp_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/dif_otp_ctrl.c.tpl b/hw/ip/otp_ctrl/data/dif_otp_ctrl.c.tpl index ab34d9bf703fb..12882f140a392 100644 --- a/hw/ip/otp_ctrl/data/dif_otp_ctrl.c.tpl +++ b/hw/ip/otp_ctrl/data/dif_otp_ctrl.c.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/dif_otp_ctrl.h.tpl b/hw/ip/otp_ctrl/data/dif_otp_ctrl.h.tpl index 07e29fea5969d..e860bf6dd1bf6 100644 --- a/hw/ip/otp_ctrl/data/dif_otp_ctrl.h.tpl +++ b/hw/ip/otp_ctrl/data/dif_otp_ctrl.h.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/dif_otp_ctrl_unittest.cc.tpl b/hw/ip/otp_ctrl/data/dif_otp_ctrl_unittest.cc.tpl index ac2e92fc8f906..5b92c1e758217 100644 --- a/hw/ip/otp_ctrl/data/dif_otp_ctrl_unittest.cc.tpl +++ b/hw/ip/otp_ctrl/data/dif_otp_ctrl_unittest.cc.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/prodc/BUILD b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/prodc/BUILD index aaa53d660cf20..c8ec554d8e9dd 100644 --- a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/prodc/BUILD +++ b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/prodc/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival/BUILD b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival/BUILD index a6b29463dd83b..51ff9a6779d02 100644 --- a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival/BUILD +++ b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival_bringup/BUILD b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival_bringup/BUILD index f14742b91bbab..03c8d4fbf25a1 100644 --- a/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival_bringup/BUILD +++ b/hw/ip/otp_ctrl/data/earlgrey_a0_skus/sival_bringup/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/otp_ctrl.hjson b/hw/ip/otp_ctrl/data/otp_ctrl.hjson index f9fb7fc7e0999..493f7e5bf70a2 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl.hjson.tpl b/hw/ip/otp_ctrl/data/otp_ctrl.hjson.tpl index 052c3eead8978..b03935e6a78be 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl.hjson.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl.hjson.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_base_vseq.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_base_vseq.sv.tpl index 10a496a927118..0be039d21c383 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_base_vseq.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_base_vseq.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_cov_bind.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_cov_bind.sv.tpl index 636e67e53aca3..fa61630f92678 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_cov_bind.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_cov_bind.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_dai_lock_vseq.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_dai_lock_vseq.sv.tpl index 17810294e7e0c..05e81f1ab20f9 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_dai_lock_vseq.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_dai_lock_vseq.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_env_cov.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_env_cov.sv.tpl index 49978f6c8f6b9..f20736c346159 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_env_cov.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_env_cov.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_env_pkg.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_env_pkg.sv.tpl index eabffb55cd86e..08c86cb201937 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_env_pkg.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_env_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_if.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_if.sv.tpl index ab72e5c162459..4fac06be38100 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_if.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_if.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img.c.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_img.c.tpl index f5ba10417f978..7da66c2c58cb9 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img.c.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img.c.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_creator_sw_cfg.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_creator_sw_cfg.hjson index c4a5fa9a9f085..006ab415040cc 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_creator_sw_cfg.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_creator_sw_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_dev.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_dev.hjson index 644f109332da5..0f1928a4185af 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_dev.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_dev.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_hw_cfg.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_hw_cfg.hjson index 5fe2c55a80234..401880c9606d7 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_hw_cfg.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_hw_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_owner_sw_cfg.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_owner_sw_cfg.hjson index 3af295618c5d8..08984f1a3c3e8 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_owner_sw_cfg.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_owner_sw_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_prod.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_prod.hjson index 543532748ddbc..0a772f007e95f 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_prod.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_prod.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_raw.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_raw.hjson index 369f123fea53d..e5a94be7cabfb 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_raw.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_raw.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_rma.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_rma.hjson index 448a2d54aab47..f28e69cb61cf3 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_rma.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_rma.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked0.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked0.hjson index 00e52fa84d83c..9f93b53d48e39 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked0.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked0.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked1.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked1.hjson index f7ede90a5f232..92c9da2005412 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked1.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_locked1.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked0.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked0.hjson index dd543b7d1cd80..c6cd69f81e168 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked0.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked0.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked1.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked1.hjson index 870b4dd93911f..f8d5a975f6917 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked1.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked1.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked2.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked2.hjson index 6a64e9ca9a525..07228a53a45a3 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked2.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_img_test_unlocked2.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_mmap.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_mmap.hjson index e341f01c4e557..a39eadba47972 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_mmap.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_mmap.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_part_pkg.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_part_pkg.sv.tpl index 83c17492d937c..6c8e0154054e7 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_part_pkg.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_part_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_scoreboard.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_scoreboard.sv.tpl index a5f04b02a78c1..9e50e3fc1753c 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_scoreboard.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_scoreboard.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_sec_cm_testplan.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_sec_cm_testplan.hjson index 6befea45df727..650a36067a49c 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_smoke_vseq.sv.tpl b/hw/ip/otp_ctrl/data/otp_ctrl_smoke_vseq.sv.tpl index 6376e1d8ec8f4..904ff45494ba6 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_smoke_vseq.sv.tpl +++ b/hw/ip/otp_ctrl/data/otp_ctrl_smoke_vseq.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 ${gen_comment} diff --git a/hw/ip/otp_ctrl/data/otp_ctrl_testplan.hjson b/hw/ip/otp_ctrl/data/otp_ctrl_testplan.hjson index fb3959e17f994..95b6081b7da89 100644 --- a/hw/ip/otp_ctrl/data/otp_ctrl_testplan.hjson +++ b/hw/ip/otp_ctrl/data/otp_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov.core b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov.core index 2bb603bb410fb..361da46371a06 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov.core +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otp_ctrl_cov" diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_bind.sv b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_bind.sv index 2254ba0675746..308ee9822e3fd 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_bind.sv +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_fsm_unr_excl.el b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_fsm_unr_excl.el index 1c4a1e8deb3e6..1c3412b695418 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_fsm_unr_excl.el +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_fsm_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_if.sv b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_if.sv index 7bc819ae652b5..106178b88066d 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_if.sv +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_unr_excl.el b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_unr_excl.el index 4eff448028c2d..1119db53c964c 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_unr_excl.el +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cov_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cover.cfg b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cover.cfg index f55735b64bf98..d69a193276230 100644 --- a/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cover.cfg +++ b/hw/ip/otp_ctrl/dv/cov/otp_ctrl_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_ast_inputs_cfg.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_ast_inputs_cfg.sv index 7154484916172..4b723d7905ff2 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_ast_inputs_cfg.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_ast_inputs_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.core b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.core index 40759bb3f5ee2..4b35e4c2118ab 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.core +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otp_ctrl_env:0.1" diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.sv index 24cd3b3916a67..454d14df149ee 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cfg.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cfg.sv index dc825293f374c..52c67e8ff2d20 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cfg.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cov.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cov.sv index 13bf3c7abd86f..10e7d90168fea 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cov.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_pkg.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_pkg.sv index 081e1d92866a6..79026dbc95a43 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_pkg.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_if.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_if.sv index b450972f311f8..a2ae621cdbf53 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_if.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_scoreboard.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_scoreboard.sv index 0149f2ce936b3..828a7e0d941db 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_scoreboard.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/otp_ctrl_virtual_sequencer.sv b/hw/ip/otp_ctrl/dv/env/otp_ctrl_virtual_sequencer.sv index bdaae2a5c4f6e..4780a80659940 100644 --- a/hw/ip/otp_ctrl/dv/env/otp_ctrl_virtual_sequencer.sv +++ b/hw/ip/otp_ctrl/dv/env/otp_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_background_chks_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_background_chks_vseq.sv index 305a5096dcec6..d316e1a12a521 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_background_chks_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_background_chks_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_base_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_base_vseq.sv index 3ef0cd09cea7d..4202b05a2fc3c 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_base_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_callback_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_callback_vseq.sv index 4286b9d93716a..155e6f5e3615c 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_callback_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_callback_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_check_fail_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_check_fail_vseq.sv index 256d1c09918f4..061ebe96a30a0 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_check_fail_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_check_fail_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_common_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_common_vseq.sv index 6fb39ecdce9f0..58f419c77c0ad 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_common_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_errs_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_errs_vseq.sv index f1c99fcb2b7dc..c8ea43b32a6bc 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_errs_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_errs_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_lock_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_lock_vseq.sv index 993ee8dec47c2..c02559aded48e 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_lock_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_dai_lock_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_init_fail_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_init_fail_vseq.sv index eef5929f556b3..6a5a2526f4ed4 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_init_fail_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_init_fail_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_low_freq_read_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_low_freq_read_vseq.sv index 21fd5efa3a712..2c25370be7012 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_low_freq_read_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_low_freq_read_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_macro_errs_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_macro_errs_vseq.sv index 88570f353c633..c945f60168bd7 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_macro_errs_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_macro_errs_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_base_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_base_vseq.sv index c0687480a51be..ad97f1f54cdab 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_base_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_key_req_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_key_req_vseq.sv index c1bdf5b9513d4..1566c1833435f 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_key_req_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_key_req_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_esc_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_esc_vseq.sv index 61f8234f0e7d5..ffeb251890ae4 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_esc_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_esc_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_req_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_req_vseq.sv index 9be1ae7575563..83b0f0ee579a5 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_req_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_parallel_lc_req_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_partition_walk_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_partition_walk_vseq.sv index d318729f2358a..3c1b2b3ed0c4d 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_partition_walk_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_partition_walk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_regwen_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_regwen_vseq.sv index 72e8b1a10d561..d380c060e5702 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_regwen_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_smoke_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_smoke_vseq.sv index 6f497c298f42e..26cddbab35dda 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_smoke_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // DO NOT EDIT THIS FILE DIRECTLY. diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_stress_all_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_stress_all_vseq.sv index 1128b2168d57e..031f5a15d2053 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_stress_all_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_test_access_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_test_access_vseq.sv index 649172e70699b..fbdb51f23a628 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_test_access_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_test_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_vseq_list.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_vseq_list.sv index 935a8974003fa..3cf96c1b52180 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_vseq_list.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_wake_up_vseq.sv b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_wake_up_vseq.sv index ba626b1883e78..8a048e5e560f6 100644 --- a/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_wake_up_vseq.sv +++ b/hw/ip/otp_ctrl/dv/env/seq_lib/otp_ctrl_wake_up_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/otp_ctrl_sim.core b/hw/ip/otp_ctrl/dv/otp_ctrl_sim.core index 4dbd9ff8682d5..a3a0c7ba5fb66 100644 --- a/hw/ip/otp_ctrl/dv/otp_ctrl_sim.core +++ b/hw/ip/otp_ctrl/dv/otp_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otp_ctrl_sim:0.1" diff --git a/hw/ip/otp_ctrl/dv/otp_ctrl_sim_cfg.hjson b/hw/ip/otp_ctrl/dv/otp_ctrl_sim_cfg.hjson index aa4042ef090ad..2b2333f040dd9 100644 --- a/hw/ip/otp_ctrl/dv/otp_ctrl_sim_cfg.hjson +++ b/hw/ip/otp_ctrl/dv/otp_ctrl_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otp_ctrl/dv/sva/otp_ctrl_bind.sv b/hw/ip/otp_ctrl/dv/sva/otp_ctrl_bind.sv index a7f95c2e5d05b..833c710797e62 100644 --- a/hw/ip/otp_ctrl/dv/sva/otp_ctrl_bind.sv +++ b/hw/ip/otp_ctrl/dv/sva/otp_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/sva/otp_ctrl_sva.core b/hw/ip/otp_ctrl/dv/sva/otp_ctrl_sva.core index 8d65bef33e101..87f39ceac240a 100644 --- a/hw/ip/otp_ctrl/dv/sva/otp_ctrl_sva.core +++ b/hw/ip/otp_ctrl/dv/sva/otp_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otp_ctrl_sva:0.1" diff --git a/hw/ip/otp_ctrl/dv/tb.sv b/hw/ip/otp_ctrl/dv/tb.sv index f403b321a5f81..e1b2664699ee9 100644 --- a/hw/ip/otp_ctrl/dv/tb.sv +++ b/hw/ip/otp_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_base_test.sv b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_base_test.sv index 0c1f1b75789bc..284c5e0cdfe72 100644 --- a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_base_test.sv +++ b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test.core b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test.core index 702109a4a781e..de8cfedb557c3 100644 --- a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test.core +++ b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:otp_ctrl_test:0.1" diff --git a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test_pkg.sv b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test_pkg.sv index cde4dbf35a7ef..3d5703d49e6e2 100644 --- a/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test_pkg.sv +++ b/hw/ip/otp_ctrl/dv/tests/otp_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl.vbl b/hw/ip/otp_ctrl/lint/otp_ctrl.vbl index 5ff74956a08e4..33b74bcc9541c 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl.vbl +++ b/hw/ip/otp_ctrl/lint/otp_ctrl.vbl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl.vlt b/hw/ip/otp_ctrl/lint/otp_ctrl.vlt index 1639470d04d47..0f4180cb5e3d5 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl.vlt +++ b/hw/ip/otp_ctrl/lint/otp_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl.waiver b/hw/ip/otp_ctrl/lint/otp_ctrl.waiver index 3ecc8da95aa16..f760139b3907d 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl.waiver +++ b/hw/ip/otp_ctrl/lint/otp_ctrl.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vbl b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vbl index e93ad2885a25d..01a79ec02a146 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vbl +++ b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vbl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vlt b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vlt index 6ca4231f7906e..0df101fc3539d 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vlt +++ b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.waiver b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.waiver index a5f148bfff818..ad32fe048a4e3 100644 --- a/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.waiver +++ b/hw/ip/otp_ctrl/lint/otp_ctrl_pkg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otp_ctrl/otp_ctrl.core b/hw/ip/otp_ctrl/otp_ctrl.core index 75758b0d75e9c..302558501b050 100644 --- a/hw/ip/otp_ctrl/otp_ctrl.core +++ b/hw/ip/otp_ctrl/otp_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otp_ctrl:1.0" diff --git a/hw/ip/otp_ctrl/otp_ctrl_pkg.core b/hw/ip/otp_ctrl/otp_ctrl_pkg.core index 699dfab2edbfd..12628f906ee2b 100644 --- a/hw/ip/otp_ctrl/otp_ctrl_pkg.core +++ b/hw/ip/otp_ctrl/otp_ctrl_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otp_ctrl_pkg:1.0" diff --git a/hw/ip/otp_ctrl/otp_ctrl_prim_reg_top.core b/hw/ip/otp_ctrl/otp_ctrl_prim_reg_top.core index 25df5c2ab336c..4d54817c20935 100644 --- a/hw/ip/otp_ctrl/otp_ctrl_prim_reg_top.core +++ b/hw/ip/otp_ctrl/otp_ctrl_prim_reg_top.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:otp_ctrl_prim_reg_top:1.0" diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl.sv index c3ca1f96251b6..836315dc2e337 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_core_reg_top.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_core_reg_top.sv index 638d295b1c2e8..0c4d37ea9d63d 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_core_reg_top.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_core_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_dai.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_dai.sv index b975b6e083226..820eaafed3757 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_dai.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_dai.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_ecc_reg.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_ecc_reg.sv index 7b04e6e580f61..2199a7cc6024d 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_ecc_reg.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_ecc_reg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_kdi.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_kdi.sv index ec05c5ecfa3b1..581c280e85498 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_kdi.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_kdi.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_lci.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_lci.sv index 3d1cb33125b5a..122675069d059 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_lci.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_lci.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_lfsr_timer.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_lfsr_timer.sv index 0b88a19b24082..22b3ec383a89c 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_lfsr_timer.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_lfsr_timer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_buf.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_buf.sv index e2f0e54a5e166..bcd348b71b34a 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_buf.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_pkg.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_pkg.sv index 0d8ede17b2b77..22ffc7c09d640 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_pkg.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_unbuf.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_unbuf.sv index 28fbf421bf9da..23cf3171b929e 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_part_unbuf.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_part_unbuf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_pkg.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_pkg.sv index 261c4bb3f91e4..7a460fdd58824 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_pkg.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_prim_reg_top.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_prim_reg_top.sv index 62ae35fbb7706..fb30ea5203126 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_prim_reg_top.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_prim_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_reg_pkg.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_reg_pkg.sv index 9638478406c39..ad3a1c4e4679f 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_reg_pkg.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_scrmbl.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_scrmbl.sv index f089b8d07c6b9..9470ff8eb1512 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_scrmbl.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_scrmbl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/rtl/otp_ctrl_token_const.sv b/hw/ip/otp_ctrl/rtl/otp_ctrl_token_const.sv index f4fa6cb2ccf5a..35cdc23dd0c7a 100644 --- a/hw/ip/otp_ctrl/rtl/otp_ctrl_token_const.sv +++ b/hw/ip/otp_ctrl/rtl/otp_ctrl_token_const.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/otp_ctrl/syn/constraints.sdc b/hw/ip/otp_ctrl/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/otp_ctrl/syn/constraints.sdc +++ b/hw/ip/otp_ctrl/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/otp_ctrl/syn/otp_ctrl_gtech_syn_cfg.hjson b/hw/ip/otp_ctrl/syn/otp_ctrl_gtech_syn_cfg.hjson index cf97053505631..467e4b6914d99 100644 --- a/hw/ip/otp_ctrl/syn/otp_ctrl_gtech_syn_cfg.hjson +++ b/hw/ip/otp_ctrl/syn/otp_ctrl_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otp_ctrl/syn/otp_ctrl_syn_cfg.hjson b/hw/ip/otp_ctrl/syn/otp_ctrl_syn_cfg.hjson index 5f35ceb712718..62f9783391426 100644 --- a/hw/ip/otp_ctrl/syn/otp_ctrl_syn_cfg.hjson +++ b/hw/ip/otp_ctrl/syn/otp_ctrl_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/otp_ctrl/syn/post_elab_gtech.tcl b/hw/ip/otp_ctrl/syn/post_elab_gtech.tcl index df144b4c3c659..abe1a8c181f27 100644 --- a/hw/ip/otp_ctrl/syn/post_elab_gtech.tcl +++ b/hw/ip/otp_ctrl/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/pattgen/BUILD b/hw/ip/pattgen/BUILD index c2febd9a69524..1cf6ea90fe8bb 100644 --- a/hw/ip/pattgen/BUILD +++ b/hw/ip/pattgen/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/data/BUILD b/hw/ip/pattgen/data/BUILD index cbe8f4ebd1ae9..e514dd57d05c3 100644 --- a/hw/ip/pattgen/data/BUILD +++ b/hw/ip/pattgen/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/data/pattgen.hjson b/hw/ip/pattgen/data/pattgen.hjson index 1d65c6ef7aced..6ca49d34611ed 100644 --- a/hw/ip/pattgen/data/pattgen.hjson +++ b/hw/ip/pattgen/data/pattgen.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/data/pattgen_sec_cm_testplan.hjson b/hw/ip/pattgen/data/pattgen_sec_cm_testplan.hjson index e4f4805ee9b2e..e92310be57f8f 100644 --- a/hw/ip/pattgen/data/pattgen_sec_cm_testplan.hjson +++ b/hw/ip/pattgen/data/pattgen_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/data/pattgen_testplan.hjson b/hw/ip/pattgen/data/pattgen_testplan.hjson index a151e671f3bb2..3f9ea8ac04815 100644 --- a/hw/ip/pattgen/data/pattgen_testplan.hjson +++ b/hw/ip/pattgen/data/pattgen_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/cov/pattgen_cov.core b/hw/ip/pattgen/dv/cov/pattgen_cov.core index d430dd98cee47..1330a89eb870c 100644 --- a/hw/ip/pattgen/dv/cov/pattgen_cov.core +++ b/hw/ip/pattgen/dv/cov/pattgen_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_cov:0.1" diff --git a/hw/ip/pattgen/dv/cov/pattgen_cov.vRefine b/hw/ip/pattgen/dv/cov/pattgen_cov.vRefine index 744052b10966c..eca8337191b5a 100644 --- a/hw/ip/pattgen/dv/cov/pattgen_cov.vRefine +++ b/hw/ip/pattgen/dv/cov/pattgen_cov.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/pattgen/dv/cov/pattgen_cov_bind.sv b/hw/ip/pattgen/dv/cov/pattgen_cov_bind.sv index 306842d747ab1..d0a0489a9850a 100644 --- a/hw/ip/pattgen/dv/cov/pattgen_cov_bind.sv +++ b/hw/ip/pattgen/dv/cov/pattgen_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/cov/pattgen_cov_if.sv b/hw/ip/pattgen/dv/cov/pattgen_cov_if.sv index 2442bead94d87..92c479dac16c3 100644 --- a/hw/ip/pattgen/dv/cov/pattgen_cov_if.sv +++ b/hw/ip/pattgen/dv/cov/pattgen_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_channel_cfg.sv b/hw/ip/pattgen/dv/env/pattgen_channel_cfg.sv index 579aba341e986..3b429b8951664 100644 --- a/hw/ip/pattgen/dv/env/pattgen_channel_cfg.sv +++ b/hw/ip/pattgen/dv/env/pattgen_channel_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_env.core b/hw/ip/pattgen/dv/env/pattgen_env.core index c01481a16e9e4..a66b7d6bc9328 100644 --- a/hw/ip/pattgen/dv/env/pattgen_env.core +++ b/hw/ip/pattgen/dv/env/pattgen_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_env:0.1" diff --git a/hw/ip/pattgen/dv/env/pattgen_env.sv b/hw/ip/pattgen/dv/env/pattgen_env.sv index 812a7f59a666f..d47d199c3ab21 100644 --- a/hw/ip/pattgen/dv/env/pattgen_env.sv +++ b/hw/ip/pattgen/dv/env/pattgen_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_env_cfg.sv b/hw/ip/pattgen/dv/env/pattgen_env_cfg.sv index 6c664095547b2..c38e77c636199 100644 --- a/hw/ip/pattgen/dv/env/pattgen_env_cfg.sv +++ b/hw/ip/pattgen/dv/env/pattgen_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_env_cov.sv b/hw/ip/pattgen/dv/env/pattgen_env_cov.sv index ba79ad4e7203b..fde054dc31561 100644 --- a/hw/ip/pattgen/dv/env/pattgen_env_cov.sv +++ b/hw/ip/pattgen/dv/env/pattgen_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_env_pkg.sv b/hw/ip/pattgen/dv/env/pattgen_env_pkg.sv index d4cb7e53e7c1e..04bd02a6865ba 100644 --- a/hw/ip/pattgen/dv/env/pattgen_env_pkg.sv +++ b/hw/ip/pattgen/dv/env/pattgen_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_scoreboard.sv b/hw/ip/pattgen/dv/env/pattgen_scoreboard.sv index b475d04425bca..c830de198da35 100644 --- a/hw/ip/pattgen/dv/env/pattgen_scoreboard.sv +++ b/hw/ip/pattgen/dv/env/pattgen_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_seq_cfg.sv b/hw/ip/pattgen/dv/env/pattgen_seq_cfg.sv index 27ceb2deb6f86..e7e1bfacd1d43 100644 --- a/hw/ip/pattgen/dv/env/pattgen_seq_cfg.sv +++ b/hw/ip/pattgen/dv/env/pattgen_seq_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/pattgen_virtual_sequencer.sv b/hw/ip/pattgen/dv/env/pattgen_virtual_sequencer.sv index 0588897076315..898be5654667f 100644 --- a/hw/ip/pattgen/dv/env/pattgen_virtual_sequencer.sv +++ b/hw/ip/pattgen/dv/env/pattgen_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_base_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_base_vseq.sv index 971271302e7d4..f9bc5d2659f0e 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_base_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_cnt_rollover_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_cnt_rollover_vseq.sv index 153c3258948a6..4579092625786 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_cnt_rollover_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_cnt_rollover_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_common_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_common_vseq.sv index dd7511cff900c..f8e0a1b1e8bb3 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_common_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_error_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_error_vseq.sv index a06ee3f9c87a2..c50dce97a391f 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_error_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_error_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_perf_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_perf_vseq.sv index 599f451724800..8149a1d240394 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_perf_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_perf_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_smoke_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_smoke_vseq.sv index 58756b6f125e9..1385941dc8947 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_smoke_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_stress_all_vseq.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_stress_all_vseq.sv index 8ab2b87fcf60e..f2154289a7733 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_stress_all_vseq.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/env/seq_lib/pattgen_vseq_list.sv b/hw/ip/pattgen/dv/env/seq_lib/pattgen_vseq_list.sv index 1854a002253b0..a6311af437e38 100644 --- a/hw/ip/pattgen/dv/env/seq_lib/pattgen_vseq_list.sv +++ b/hw/ip/pattgen/dv/env/seq_lib/pattgen_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/pattgen_sim.core b/hw/ip/pattgen/dv/pattgen_sim.core index 4550314f38a34..94d6839575f0e 100644 --- a/hw/ip/pattgen/dv/pattgen_sim.core +++ b/hw/ip/pattgen/dv/pattgen_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_sim:0.1" diff --git a/hw/ip/pattgen/dv/pattgen_sim_cfg.hjson b/hw/ip/pattgen/dv/pattgen_sim_cfg.hjson index c6a01ee3e4bd6..95a536078a20e 100644 --- a/hw/ip/pattgen/dv/pattgen_sim_cfg.hjson +++ b/hw/ip/pattgen/dv/pattgen_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pattgen/dv/sva/pattgen_bind.sv b/hw/ip/pattgen/dv/sva/pattgen_bind.sv index 1f83afc02c60d..1d05a0a3e8888 100644 --- a/hw/ip/pattgen/dv/sva/pattgen_bind.sv +++ b/hw/ip/pattgen/dv/sva/pattgen_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/sva/pattgen_sva.core b/hw/ip/pattgen/dv/sva/pattgen_sva.core index 6f4985fa4814d..a08a0c1ba43f0 100644 --- a/hw/ip/pattgen/dv/sva/pattgen_sva.core +++ b/hw/ip/pattgen/dv/sva/pattgen_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_sva:0.1" diff --git a/hw/ip/pattgen/dv/tb.sv b/hw/ip/pattgen/dv/tb.sv index 3f45791c7bf86..ba1c871cb4669 100644 --- a/hw/ip/pattgen/dv/tb.sv +++ b/hw/ip/pattgen/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pattgen/dv/tests/pattgen_base_test.sv b/hw/ip/pattgen/dv/tests/pattgen_base_test.sv index 63b1cb43822d0..7090373c20baa 100644 --- a/hw/ip/pattgen/dv/tests/pattgen_base_test.sv +++ b/hw/ip/pattgen/dv/tests/pattgen_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/dv/tests/pattgen_test.core b/hw/ip/pattgen/dv/tests/pattgen_test.core index 917ee00663996..7015f6a6cfbf8 100644 --- a/hw/ip/pattgen/dv/tests/pattgen_test.core +++ b/hw/ip/pattgen/dv/tests/pattgen_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pattgen_test:0.1" diff --git a/hw/ip/pattgen/dv/tests/pattgen_test_pkg.sv b/hw/ip/pattgen/dv/tests/pattgen_test_pkg.sv index b22c42845b8d8..4140b42fe9b7d 100644 --- a/hw/ip/pattgen/dv/tests/pattgen_test_pkg.sv +++ b/hw/ip/pattgen/dv/tests/pattgen_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/lint/pattgen.vlt b/hw/ip/pattgen/lint/pattgen.vlt index af330a3c82fa2..0d652a0e5bf8f 100644 --- a/hw/ip/pattgen/lint/pattgen.vlt +++ b/hw/ip/pattgen/lint/pattgen.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pattgen/lint/pattgen.waiver b/hw/ip/pattgen/lint/pattgen.waiver index 30dca1917dd0e..9224071cc0b99 100644 --- a/hw/ip/pattgen/lint/pattgen.waiver +++ b/hw/ip/pattgen/lint/pattgen.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/pattgen/pattgen.core b/hw/ip/pattgen/pattgen.core index f5825d8963034..d4cb631fb86c2 100644 --- a/hw/ip/pattgen/pattgen.core +++ b/hw/ip/pattgen/pattgen.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:pattgen:0.1" diff --git a/hw/ip/pattgen/rtl/pattgen.sv b/hw/ip/pattgen/rtl/pattgen.sv index bb275e0c870ab..96d283e1b763d 100644 --- a/hw/ip/pattgen/rtl/pattgen.sv +++ b/hw/ip/pattgen/rtl/pattgen.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/rtl/pattgen_chan.sv b/hw/ip/pattgen/rtl/pattgen_chan.sv index 4f4c67dc2ae65..3d11241abebf2 100644 --- a/hw/ip/pattgen/rtl/pattgen_chan.sv +++ b/hw/ip/pattgen/rtl/pattgen_chan.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/rtl/pattgen_core.sv b/hw/ip/pattgen/rtl/pattgen_core.sv index 9ac837e04169d..30a8e45f5466e 100644 --- a/hw/ip/pattgen/rtl/pattgen_core.sv +++ b/hw/ip/pattgen/rtl/pattgen_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pattgen/rtl/pattgen_ctrl_pkg.sv b/hw/ip/pattgen/rtl/pattgen_ctrl_pkg.sv index 2027ca1b133e0..cf44e01787ee3 100644 --- a/hw/ip/pattgen/rtl/pattgen_ctrl_pkg.sv +++ b/hw/ip/pattgen/rtl/pattgen_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pattgen/rtl/pattgen_reg_pkg.sv b/hw/ip/pattgen/rtl/pattgen_reg_pkg.sv index c470b6c532563..9c8fbcd63f785 100644 --- a/hw/ip/pattgen/rtl/pattgen_reg_pkg.sv +++ b/hw/ip/pattgen/rtl/pattgen_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pattgen/rtl/pattgen_reg_top.sv b/hw/ip/pattgen/rtl/pattgen_reg_top.sv index 0616e029947cf..2bf92c6f153ae 100644 --- a/hw/ip/pattgen/rtl/pattgen_reg_top.sv +++ b/hw/ip/pattgen/rtl/pattgen_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/BUILD b/hw/ip/pinmux/BUILD index fe08ad1e7b53f..61c69d0b710af 100644 --- a/hw/ip/pinmux/BUILD +++ b/hw/ip/pinmux/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/data/BUILD b/hw/ip/pinmux/data/BUILD index 7cf810239f8a2..649f5025a1f75 100644 --- a/hw/ip/pinmux/data/BUILD +++ b/hw/ip/pinmux/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/data/pinmux.hjson b/hw/ip/pinmux/data/pinmux.hjson index da32acff14a40..32d6929dcb801 100644 --- a/hw/ip/pinmux/data/pinmux.hjson +++ b/hw/ip/pinmux/data/pinmux.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/data/pinmux.hjson.tpl b/hw/ip/pinmux/data/pinmux.hjson.tpl index 139be52ad6abb..336eac98e1b2b 100644 --- a/hw/ip/pinmux/data/pinmux.hjson.tpl +++ b/hw/ip/pinmux/data/pinmux.hjson.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/data/pinmux_fpv_testplan.hjson b/hw/ip/pinmux/data/pinmux_fpv_testplan.hjson index ab70f1a4aa129..c7b93e78e2883 100644 --- a/hw/ip/pinmux/data/pinmux_fpv_testplan.hjson +++ b/hw/ip/pinmux/data/pinmux_fpv_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pinmux/data/pinmux_sec_cm_testplan.hjson b/hw/ip/pinmux/data/pinmux_sec_cm_testplan.hjson index da8a87f7c2442..9111fdccf4956 100644 --- a/hw/ip/pinmux/data/pinmux_sec_cm_testplan.hjson +++ b/hw/ip/pinmux/data/pinmux_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/fpv/pinmux_common_fpv.core b/hw/ip/pinmux/fpv/pinmux_common_fpv.core index e52381510d410..da46aa19b3715 100644 --- a/hw/ip/pinmux/fpv/pinmux_common_fpv.core +++ b/hw/ip/pinmux/fpv/pinmux_common_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:pinmux_common_fpv:0.1" diff --git a/hw/ip/pinmux/fpv/pinmux_expected_failure.hjson b/hw/ip/pinmux/fpv/pinmux_expected_failure.hjson index 5a040a6b711c5..ccbda769bebb3 100644 --- a/hw/ip/pinmux/fpv/pinmux_expected_failure.hjson +++ b/hw/ip/pinmux/fpv/pinmux_expected_failure.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/fpv/pinmux_fpv.core b/hw/ip/pinmux/fpv/pinmux_fpv.core index a82df88a6ae74..62823368c554a 100644 --- a/hw/ip/pinmux/fpv/pinmux_fpv.core +++ b/hw/ip/pinmux/fpv/pinmux_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:pinmux_fpv:0.1" diff --git a/hw/ip/pinmux/fpv/tb/pinmux_bind_fpv.sv b/hw/ip/pinmux/fpv/tb/pinmux_bind_fpv.sv index 5499ca7e16dfa..85ca035fa236a 100644 --- a/hw/ip/pinmux/fpv/tb/pinmux_bind_fpv.sv +++ b/hw/ip/pinmux/fpv/tb/pinmux_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/fpv/tb/pinmux_tb.sv b/hw/ip/pinmux/fpv/tb/pinmux_tb.sv index e7d59d6bba1ac..e39f55cf8cc5d 100644 --- a/hw/ip/pinmux/fpv/tb/pinmux_tb.sv +++ b/hw/ip/pinmux/fpv/tb/pinmux_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/fpv/vip/pinmux_assert_fpv.sv b/hw/ip/pinmux/fpv/vip/pinmux_assert_fpv.sv index 2764377bf619d..6733b63ac1177 100644 --- a/hw/ip/pinmux/fpv/vip/pinmux_assert_fpv.sv +++ b/hw/ip/pinmux/fpv/vip/pinmux_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/lint/pinmux.vlt b/hw/ip/pinmux/lint/pinmux.vlt index ae508c80e3843..26ea262b5bc4a 100644 --- a/hw/ip/pinmux/lint/pinmux.vlt +++ b/hw/ip/pinmux/lint/pinmux.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/lint/pinmux.waiver b/hw/ip/pinmux/lint/pinmux.waiver index 58475087daa0d..aca97ca78369c 100644 --- a/hw/ip/pinmux/lint/pinmux.waiver +++ b/hw/ip/pinmux/lint/pinmux.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/pinmux/pinmux.core b/hw/ip/pinmux/pinmux.core index 30a76c222c6ce..412b940f9fd51 100644 --- a/hw/ip/pinmux/pinmux.core +++ b/hw/ip/pinmux/pinmux.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:pinmux:0.1" diff --git a/hw/ip/pinmux/pinmux_component.core b/hw/ip/pinmux/pinmux_component.core index 69a1ee76ccbaf..0d9d00550750e 100644 --- a/hw/ip/pinmux/pinmux_component.core +++ b/hw/ip/pinmux/pinmux_component.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:pinmux_component:0.1" diff --git a/hw/ip/pinmux/pinmux_reg.core b/hw/ip/pinmux/pinmux_reg.core index 85701815b2146..58d2b0f5b6d7f 100644 --- a/hw/ip/pinmux/pinmux_reg.core +++ b/hw/ip/pinmux/pinmux_reg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:pinmux_reg:0.1" diff --git a/hw/ip/pinmux/rtl/pinmux.sv b/hw/ip/pinmux/rtl/pinmux.sv index 8eb7fce4f57be..11f82dc1e2a75 100644 --- a/hw/ip/pinmux/rtl/pinmux.sv +++ b/hw/ip/pinmux/rtl/pinmux.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/rtl/pinmux_jtag_breakout.sv b/hw/ip/pinmux/rtl/pinmux_jtag_breakout.sv index 2feb5105f8ad8..2acba6ff7406b 100644 --- a/hw/ip/pinmux/rtl/pinmux_jtag_breakout.sv +++ b/hw/ip/pinmux/rtl/pinmux_jtag_breakout.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/rtl/pinmux_jtag_buf.sv b/hw/ip/pinmux/rtl/pinmux_jtag_buf.sv index fd8db19249a08..161a0cb4cbe29 100644 --- a/hw/ip/pinmux/rtl/pinmux_jtag_buf.sv +++ b/hw/ip/pinmux/rtl/pinmux_jtag_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/rtl/pinmux_pkg.sv b/hw/ip/pinmux/rtl/pinmux_pkg.sv index 917778395bc3b..b12cee447aa35 100644 --- a/hw/ip/pinmux/rtl/pinmux_pkg.sv +++ b/hw/ip/pinmux/rtl/pinmux_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/rtl/pinmux_reg_pkg.sv b/hw/ip/pinmux/rtl/pinmux_reg_pkg.sv index f683a1098d3cf..c933641d45b71 100644 --- a/hw/ip/pinmux/rtl/pinmux_reg_pkg.sv +++ b/hw/ip/pinmux/rtl/pinmux_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/rtl/pinmux_reg_top.sv b/hw/ip/pinmux/rtl/pinmux_reg_top.sv index 82796ecae9a73..fa3d05a5a06e6 100644 --- a/hw/ip/pinmux/rtl/pinmux_reg_top.sv +++ b/hw/ip/pinmux/rtl/pinmux_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/rtl/pinmux_strap_sampling.sv b/hw/ip/pinmux/rtl/pinmux_strap_sampling.sv index 43f1c06d96237..5e86371390854 100644 --- a/hw/ip/pinmux/rtl/pinmux_strap_sampling.sv +++ b/hw/ip/pinmux/rtl/pinmux_strap_sampling.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pinmux/rtl/pinmux_wkup.sv b/hw/ip/pinmux/rtl/pinmux_wkup.sv index 8dd8979c1041d..b8b9a04b71e90 100644 --- a/hw/ip/pinmux/rtl/pinmux_wkup.sv +++ b/hw/ip/pinmux/rtl/pinmux_wkup.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pinmux/syn/constraints.sdc b/hw/ip/pinmux/syn/constraints.sdc index 8c1275d85034b..4ca2bfef15cab 100644 --- a/hw/ip/pinmux/syn/constraints.sdc +++ b/hw/ip/pinmux/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/pinmux/syn/pinmux_syn_cfg.hjson b/hw/ip/pinmux/syn/pinmux_syn_cfg.hjson index ca919a045665b..b144afef88a63 100644 --- a/hw/ip/pinmux/syn/pinmux_syn_cfg.hjson +++ b/hw/ip/pinmux/syn/pinmux_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pinmux/util/reg_pinmux.py b/hw/ip/pinmux/util/reg_pinmux.py index f12b61323390f..67b0359806e54 100755 --- a/hw/ip/pinmux/util/reg_pinmux.py +++ b/hw/ip/pinmux/util/reg_pinmux.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""Convert mako template to Hjson register description diff --git a/hw/ip/prim/BUILD b/hw/ip/prim/BUILD index c87fb863cc8ab..f42854cd1b80c 100644 --- a/hw/ip/prim/BUILD +++ b/hw/ip/prim/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_alert/data/prim_alert_cover.cfg b/hw/ip/prim/dv/prim_alert/data/prim_alert_cover.cfg index 597ed647d2bdb..8d2b896238b71 100644 --- a/hw/ip/prim/dv/prim_alert/data/prim_alert_cover.cfg +++ b/hw/ip/prim/dv/prim_alert/data/prim_alert_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_alert/data/prim_alert_testplan.hjson b/hw/ip/prim/dv/prim_alert/data/prim_alert_testplan.hjson index c0441715096f9..e55ac1d472a98 100644 --- a/hw/ip/prim/dv/prim_alert/data/prim_alert_testplan.hjson +++ b/hw/ip/prim/dv/prim_alert/data/prim_alert_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_alert/prim_alert_sim.core b/hw/ip/prim/dv/prim_alert/prim_alert_sim.core index 178162be91432..b9dcc28e24993 100644 --- a/hw/ip/prim/dv/prim_alert/prim_alert_sim.core +++ b/hw/ip/prim/dv/prim_alert/prim_alert_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_alert_sim:0.1" diff --git a/hw/ip/prim/dv/prim_alert/prim_alert_sim_cfg.hjson b/hw/ip/prim/dv/prim_alert/prim_alert_sim_cfg.hjson index b36d23b7459c9..a3154db05d88b 100644 --- a/hw/ip/prim/dv/prim_alert/prim_alert_sim_cfg.hjson +++ b/hw/ip/prim/dv/prim_alert/prim_alert_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_alert/tb/prim_alert_tb.sv b/hw/ip/prim/dv/prim_alert/tb/prim_alert_tb.sv index 228ecd2054b13..4f45cd2d3ac4d 100644 --- a/hw/ip/prim/dv/prim_alert/tb/prim_alert_tb.sv +++ b/hw/ip/prim/dv/prim_alert/tb/prim_alert_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_esc/data/prim_esc_cover.cfg b/hw/ip/prim/dv/prim_esc/data/prim_esc_cover.cfg index 38463867c0ffd..0ebe0b1066dc9 100644 --- a/hw/ip/prim/dv/prim_esc/data/prim_esc_cover.cfg +++ b/hw/ip/prim/dv/prim_esc/data/prim_esc_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_esc/data/prim_esc_testplan.hjson b/hw/ip/prim/dv/prim_esc/data/prim_esc_testplan.hjson index 4a2a5ebc79731..29c3137f3a73f 100644 --- a/hw/ip/prim/dv/prim_esc/data/prim_esc_testplan.hjson +++ b/hw/ip/prim/dv/prim_esc/data/prim_esc_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_esc/prim_esc_sim.core b/hw/ip/prim/dv/prim_esc/prim_esc_sim.core index ef00ab6ffa6f8..2216ff03b0f7a 100644 --- a/hw/ip/prim/dv/prim_esc/prim_esc_sim.core +++ b/hw/ip/prim/dv/prim_esc/prim_esc_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_esc_sim:0.1" diff --git a/hw/ip/prim/dv/prim_esc/prim_esc_sim_cfg.hjson b/hw/ip/prim/dv/prim_esc/prim_esc_sim_cfg.hjson index 6658a556efe38..129c044621fac 100644 --- a/hw/ip/prim/dv/prim_esc/prim_esc_sim_cfg.hjson +++ b/hw/ip/prim/dv/prim_esc/prim_esc_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_esc/tb/prim_esc_tb.sv b/hw/ip/prim/dv/prim_esc/tb/prim_esc_tb.sv index 592abefff6f67..e3572df1dcde6 100644 --- a/hw/ip/prim/dv/prim_esc/tb/prim_esc_tb.sv +++ b/hw/ip/prim/dv/prim_esc/tb/prim_esc_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el b/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el index 45f15b45218c8..100712917e0a7 100644 --- a/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el +++ b/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el @@ -1,3 +1,3 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg b/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg index 533abbcd53de0..5d98b184aaa2c 100644 --- a/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg +++ b/hw/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core index ec82c167f29ab..3733ede73be77 100644 --- a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core +++ b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_lfsr_sim:0.1" diff --git a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson index 4fb68ce85ce00..b84ba65b52758 100644 --- a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson +++ b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_tb.sv b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_tb.sv index 9855615529eb7..d7bcc79d8a981 100644 --- a/hw/ip/prim/dv/prim_lfsr/prim_lfsr_tb.sv +++ b/hw/ip/prim/dv/prim_lfsr/prim_lfsr_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.cc b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.cc index 782d0e18a67c0..25cfa42f2c095 100644 --- a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.cc +++ b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core index ed0085556bdfc..59cf0a2fe0bdc 100644 --- a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core +++ b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:crypto_dpi_present:0.1" diff --git a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv index 2565512c8c582..4f237dec6df8e 100644 --- a/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv +++ b/hw/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_present/data/prim_present_cover.cfg b/hw/ip/prim/dv/prim_present/data/prim_present_cover.cfg index 8789a92af7d09..e2afdd875729d 100644 --- a/hw/ip/prim/dv/prim_present/data/prim_present_cover.cfg +++ b/hw/ip/prim/dv/prim_present/data/prim_present_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_present/prim_present_sim.core b/hw/ip/prim/dv/prim_present/prim_present_sim.core index 5fcb3d42b26f5..0755f0a086bf3 100644 --- a/hw/ip/prim/dv/prim_present/prim_present_sim.core +++ b/hw/ip/prim/dv/prim_present/prim_present_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_present_sim:0.1" diff --git a/hw/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson b/hw/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson index 9b4b82821c08f..64fc62865db8c 100644 --- a/hw/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson +++ b/hw/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_present/tb/prim_present_tb.sv b/hw/ip/prim/dv/prim_present/tb/prim_present_tb.sv index 4a80b1832c13b..e70ab69de2365 100644 --- a/hw/ip/prim/dv/prim_present/tb/prim_present_tb.sv +++ b/hw/ip/prim/dv/prim_present/tb/prim_present_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c index fba3a5b4d2ba2..833574e3d6a85 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core index 1ce8133533deb..a8d14964ad1cb 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:crypto_dpi_prince:0.1" diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv index 8535e988332a3..5625cc67d8ed5 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson index 8c5b0f7cfd4a9..9f2e9c6fd842e 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core index 20868bc116512..90728795941c1 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:crypto_prince_ref:0.1" diff --git a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h index a807869a01e4f..b1ada83e50882 100644 --- a/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h +++ b/hw/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Copyright 2016 Sebastien Riou // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg b/hw/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg index 574da3b3fbb64..d61d0f0128454 100644 --- a/hw/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg +++ b/hw/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_prince/prim_prince_sim.core b/hw/ip/prim/dv/prim_prince/prim_prince_sim.core index 38007c08529df..35169eb25050d 100644 --- a/hw/ip/prim/dv/prim_prince/prim_prince_sim.core +++ b/hw/ip/prim/dv/prim_prince/prim_prince_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_prince_sim:0.1" diff --git a/hw/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson b/hw/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson index c1253abf2d48e..6b7504cd00f38 100644 --- a/hw/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson +++ b/hw/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv b/hw/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv index 4d54ba89fcd49..6a2bb7b3adf63 100644 --- a/hw/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv +++ b/hw/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc index 14a67901c6e1d..9d951efe5c52d 100644 --- a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc +++ b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core index 2ab96b8f511e8..a7fe162a1c752 100644 --- a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core +++ b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h index 0092cfab6ed23..92a214fa94c68 100644 --- a/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h +++ b/hw/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/dv/prim_secded/secded_enc.c b/hw/ip/prim/dv/prim_secded/secded_enc.c index e61909f7967cb..c4e447c954da5 100644 --- a/hw/ip/prim/dv/prim_secded/secded_enc.c +++ b/hw/ip/prim/dv/prim_secded/secded_enc.c @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/dv/prim_secded/secded_enc.core b/hw/ip/prim/dv/prim_secded/secded_enc.core index 702da67819662..f8d3641ad732f 100644 --- a/hw/ip/prim/dv/prim_secded/secded_enc.core +++ b/hw/ip/prim/dv/prim_secded/secded_enc.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/dv/prim_secded/secded_enc.h b/hw/ip/prim/dv/prim_secded/secded_enc.h index 776dbc8712691..f046f1d995dfd 100644 --- a/hw/ip/prim/dv/prim_secded/secded_enc.h +++ b/hw/ip/prim/dv/prim_secded/secded_enc.h @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core b/hw/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core index 677a3fef15bb5..bb423db925648 100644 --- a/hw/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core +++ b/hw/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_alert_rxtx_async_fatal_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_alert_rxtx_async_fpv.core b/hw/ip/prim/fpv/prim_alert_rxtx_async_fpv.core index c1907feff6faf..52fa7bc33f9af 100644 --- a/hw/ip/prim/fpv/prim_alert_rxtx_async_fpv.core +++ b/hw/ip/prim/fpv/prim_alert_rxtx_async_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_alert_rxtx_async_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core b/hw/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core index 28a286cd8f39c..abb7ff0418dc9 100644 --- a/hw/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core +++ b/hw/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_alert_rxtx_fatal_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_alert_rxtx_fpv.core b/hw/ip/prim/fpv/prim_alert_rxtx_fpv.core index 8c87903430ad8..415258407dd12 100644 --- a/hw/ip/prim/fpv/prim_alert_rxtx_fpv.core +++ b/hw/ip/prim/fpv/prim_alert_rxtx_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_alert_rxtx_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_arbiter_fixed_fpv.core b/hw/ip/prim/fpv/prim_arbiter_fixed_fpv.core index e1334220c1392..8388ce6220e00 100644 --- a/hw/ip/prim/fpv/prim_arbiter_fixed_fpv.core +++ b/hw/ip/prim/fpv/prim_arbiter_fixed_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_arbiter_fixed_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_arbiter_ppc_fpv.core b/hw/ip/prim/fpv/prim_arbiter_ppc_fpv.core index 48c883558e5f5..e6c85849f1ed3 100644 --- a/hw/ip/prim/fpv/prim_arbiter_ppc_fpv.core +++ b/hw/ip/prim/fpv/prim_arbiter_ppc_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_arbiter_ppc_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_arbiter_tree_fpv.core b/hw/ip/prim/fpv/prim_arbiter_tree_fpv.core index 684d5c3d637c0..ecdff0cf89c9f 100644 --- a/hw/ip/prim/fpv/prim_arbiter_tree_fpv.core +++ b/hw/ip/prim/fpv/prim_arbiter_tree_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_arbiter_tree_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_count_expected_failure.hjson b/hw/ip/prim/fpv/prim_count_expected_failure.hjson index 30df389f5ba2e..d3c73cc8bcba9 100644 --- a/hw/ip/prim/fpv/prim_count_expected_failure.hjson +++ b/hw/ip/prim/fpv/prim_count_expected_failure.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/prim_count_fpv.core b/hw/ip/prim/fpv/prim_count_fpv.core index dcd2bde3454cf..2a2b93032d0ad 100644 --- a/hw/ip/prim/fpv/prim_count_fpv.core +++ b/hw/ip/prim/fpv/prim_count_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_count_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_esc_rxtx_fpv.core b/hw/ip/prim/fpv/prim_esc_rxtx_fpv.core index 7538ca351db70..006e4adac9db6 100644 --- a/hw/ip/prim/fpv/prim_esc_rxtx_fpv.core +++ b/hw/ip/prim/fpv/prim_esc_rxtx_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_esc_rxtx_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_fifo_async_sram_adapter_fpv.core b/hw/ip/prim/fpv/prim_fifo_async_sram_adapter_fpv.core index 4c8c9241e00cb..5578121586ccb 100644 --- a/hw/ip/prim/fpv/prim_fifo_async_sram_adapter_fpv.core +++ b/hw/ip/prim/fpv/prim_fifo_async_sram_adapter_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_fifo_async_sram_adapter_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_fifo_sync_fpv.core b/hw/ip/prim/fpv/prim_fifo_sync_fpv.core index d3f1baadda92f..e3d1560def671 100644 --- a/hw/ip/prim/fpv/prim_fifo_sync_fpv.core +++ b/hw/ip/prim/fpv/prim_fifo_sync_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_fifo_sync_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_keccak_fpv.core b/hw/ip/prim/fpv/prim_keccak_fpv.core index b60df136b6e2c..ae1a1cd838cac 100644 --- a/hw/ip/prim/fpv/prim_keccak_fpv.core +++ b/hw/ip/prim/fpv/prim_keccak_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_keccak_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_lfsr_fpv.core b/hw/ip/prim/fpv/prim_lfsr_fpv.core index 824f212933519..1b50392c52a96 100644 --- a/hw/ip/prim/fpv/prim_lfsr_fpv.core +++ b/hw/ip/prim/fpv/prim_lfsr_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_lfsr_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_packer_fpv.core b/hw/ip/prim/fpv/prim_packer_fpv.core index 3e147f7d5830f..d05eb7717d80e 100644 --- a/hw/ip/prim/fpv/prim_packer_fpv.core +++ b/hw/ip/prim/fpv/prim_packer_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/fpv/prim_secded_22_16_fpv.core b/hw/ip/prim/fpv/prim_secded_22_16_fpv.core index 52f0ff5db5950..cd4dfb98ab3f8 100644 --- a/hw/ip/prim/fpv/prim_secded_22_16_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_22_16_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_22_16_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_28_22_fpv.core b/hw/ip/prim/fpv/prim_secded_28_22_fpv.core index 1aa43d834dbd0..2dadba8771a7d 100644 --- a/hw/ip/prim/fpv/prim_secded_28_22_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_28_22_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_28_22_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_39_32_fpv.core b/hw/ip/prim/fpv/prim_secded_39_32_fpv.core index 9b0d24d970df4..fe938bb1625ed 100644 --- a/hw/ip/prim/fpv/prim_secded_39_32_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_39_32_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_39_32_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_64_57_fpv.core b/hw/ip/prim/fpv/prim_secded_64_57_fpv.core index 1d33b2fa610b9..51f8ec680dec4 100644 --- a/hw/ip/prim/fpv/prim_secded_64_57_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_64_57_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_64_57_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_72_64_fpv.core b/hw/ip/prim/fpv/prim_secded_72_64_fpv.core index b27598b6b0c7f..768459b832d51 100644 --- a/hw/ip/prim/fpv/prim_secded_72_64_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_72_64_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_72_64_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core b/hw/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core index e1da4f3973c0a..93295d0898280 100644 --- a/hw/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_hamming_22_16_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core b/hw/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core index 23e1780a246c2..26328be42c362 100644 --- a/hw/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_hamming_39_32_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core b/hw/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core index 3dc32b750da83..0d7d9f84afe18 100644 --- a/hw/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_hamming_72_64_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_hamming_76_68_fpv.core b/hw/ip/prim/fpv/prim_secded_hamming_76_68_fpv.core index 16202ed515000..2b45f51ee2abf 100644 --- a/hw/ip/prim/fpv/prim_secded_hamming_76_68_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_hamming_76_68_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_hamming_76_68_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_22_16_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_22_16_fpv.core index 5e82c6f58a707..8f23957c935b1 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_22_16_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_22_16_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_22_16_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_28_22_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_28_22_fpv.core index 95d1d7d762a9f..21c9627a6bb17 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_28_22_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_28_22_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_28_22_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_39_32_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_39_32_fpv.core index 7f9d6ba8a4f6f..953cb683ee1b0 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_39_32_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_39_32_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_39_32_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_64_57_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_64_57_fpv.core index 5ec02bdd7628c..09919615ea06b 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_64_57_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_64_57_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_64_57_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_72_64_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_72_64_fpv.core index 4085793d4e21d..78f6c76a34157 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_72_64_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_72_64_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_72_64_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_hamming_22_16_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_hamming_22_16_fpv.core index 5694139875cb7..77c801ea21fc5 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_hamming_22_16_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_hamming_22_16_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_hamming_22_16_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_hamming_39_32_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_hamming_39_32_fpv.core index ff22a87ecbd4f..e5a5b2a30c9d6 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_hamming_39_32_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_hamming_39_32_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_hamming_39_32_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_hamming_72_64_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_hamming_72_64_fpv.core index 0244ac5d0b04c..0046ce365bf9f 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_hamming_72_64_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_hamming_72_64_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_hamming_72_64_fpv:0.1" diff --git a/hw/ip/prim/fpv/prim_secded_inv_hamming_76_68_fpv.core b/hw/ip/prim/fpv/prim_secded_inv_hamming_76_68_fpv.core index d9bbc57e41630..1c7a6e21622ba 100644 --- a/hw/ip/prim/fpv/prim_secded_inv_hamming_76_68_fpv.core +++ b/hw/ip/prim/fpv/prim_secded_inv_hamming_76_68_fpv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:fpv:prim_secded_inv_hamming_76_68_fpv:0.1" diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv index db33bf2854a6a..3a3254a07c5e3 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv index 3a66f27056c54..796496e83cd22 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_tb.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_tb.sv index 267a0ab46f27a..e0bf1f2c630af 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_tb.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_tb.sv index 0327985ff8399..d36700eb64c8f 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_async_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv index f3ac2efd5b4f5..696dddda1d316 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv index c3f33b654e1bf..958325f646a27 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_tb.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_tb.sv index 86376d2f26c57..0e1b0eae9c1cb 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_fatal_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_alert_rxtx_tb.sv b/hw/ip/prim/fpv/tb/prim_alert_rxtx_tb.sv index a7575afeda4ac..a77f5829548f0 100644 --- a/hw/ip/prim/fpv/tb/prim_alert_rxtx_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_alert_rxtx_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_arbiter_fixed_tb.sv b/hw/ip/prim/fpv/tb/prim_arbiter_fixed_tb.sv index be7a57276ad8d..1ec1ffe0bd8d7 100644 --- a/hw/ip/prim/fpv/tb/prim_arbiter_fixed_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_arbiter_fixed_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_arbiter_ppc_tb.sv b/hw/ip/prim/fpv/tb/prim_arbiter_ppc_tb.sv index 087b2dd39f940..9de968776e90b 100644 --- a/hw/ip/prim/fpv/tb/prim_arbiter_ppc_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_arbiter_ppc_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_arbiter_tree_tb.sv b/hw/ip/prim/fpv/tb/prim_arbiter_tree_tb.sv index d37ea889ef652..43fe0a6ac1e4e 100644 --- a/hw/ip/prim/fpv/tb/prim_arbiter_tree_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_arbiter_tree_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_count_tb.sv b/hw/ip/prim/fpv/tb/prim_count_tb.sv index a3cb8daec60fe..fe02b8a8d30b3 100644 --- a/hw/ip/prim/fpv/tb/prim_count_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_count_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv index 80c47bbd2434c..317d91e5c9e67 100644 --- a/hw/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_esc_rxtx_tb.sv b/hw/ip/prim/fpv/tb/prim_esc_rxtx_tb.sv index 7e27902ee45be..b2682f5100744 100644 --- a/hw/ip/prim/fpv/tb/prim_esc_rxtx_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_esc_rxtx_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_fifo_async_sram_adapter_tb.sv b/hw/ip/prim/fpv/tb/prim_fifo_async_sram_adapter_tb.sv index 889796eae534a..4faac74935760 100644 --- a/hw/ip/prim/fpv/tb/prim_fifo_async_sram_adapter_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_fifo_async_sram_adapter_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv index e734d9808828a..895a9e280fee5 100644 --- a/hw/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_fifo_sync_tb.sv b/hw/ip/prim/fpv/tb/prim_fifo_sync_tb.sv index 5dfa5bc926969..a879001ec248a 100644 --- a/hw/ip/prim/fpv/tb/prim_fifo_sync_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_fifo_sync_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_keccak_tb.sv b/hw/ip/prim/fpv/tb/prim_keccak_tb.sv index 44b8be253199d..dec2c83f84d1e 100644 --- a/hw/ip/prim/fpv/tb/prim_keccak_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_keccak_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_lfsr_tb.sv b/hw/ip/prim/fpv/tb/prim_lfsr_tb.sv index dd2c9eb5a7abd..362fb4639c65f 100644 --- a/hw/ip/prim/fpv/tb/prim_lfsr_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_lfsr_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_packer_tb.sv b/hw/ip/prim/fpv/tb/prim_packer_tb.sv index 61469635e7a13..91ef8f06726a8 100644 --- a/hw/ip/prim/fpv/tb/prim_packer_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_packer_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv index 1102d95663578..81f32a25fc614 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_22_16_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_22_16_tb.sv index e7da60e9fdbf3..63adab839a05f 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_22_16_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_22_16_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv index 06a0ab797af0b..3d4ccd7f7c22a 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_28_22_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_28_22_tb.sv index 6a6ff7927966c..1774a70021f6f 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_28_22_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_28_22_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv index 1215d79e3455a..b75a16962f490 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_39_32_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_39_32_tb.sv index a497af987b5b4..b79de1aa45b21 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_39_32_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_39_32_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv index 0acfbe8e6f04e..e1bbf48391731 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_64_57_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_64_57_tb.sv index 9cc9ef368b00a..fd338c148ef0f 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_64_57_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_64_57_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv index 31a1657ca99ac..5f205965fa250 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_72_64_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_72_64_tb.sv index f653524d8d074..f20c24bc106dc 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_72_64_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_72_64_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv index 8ecfc2347963e..d036c6aaaa591 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_tb.sv index cffae6b684b12..8eb152716c633 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_22_16_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv index 35eb0bf22afbb..141a4a2c1edd5 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_tb.sv index 5a59e1510a14e..fcd7bc6702226 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_39_32_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv index bf09d37601f30..90d73217b313b 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_tb.sv index 5f4c8e3bb540f..2e222631fddac 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_72_64_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_bind_fpv.sv index 4962eb1a1d563..44a8a1dac6ed8 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_tb.sv index a599ce1d95967..bef37cfafeb2c 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_hamming_76_68_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_bind_fpv.sv index 96d0c95a44159..404c4649f1e21 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_tb.sv index aaa51230df819..6f6ef0a581bc6 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_22_16_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_bind_fpv.sv index 5b114b2c37b5e..27eb0cbb5a523 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_tb.sv index ff4caf40d4e48..cc70790b98ecc 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_28_22_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_bind_fpv.sv index a1159648941d9..03a366e6736f3 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_tb.sv index b1c5af62a84e9..71ec6b0f45023 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_39_32_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_bind_fpv.sv index 81bb663f0582e..311459b65b034 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_tb.sv index fd0751dde3829..34799d5f3d6bc 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_64_57_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_bind_fpv.sv index 3a37a45bbb672..2aa9b436031e6 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_tb.sv index 1d2c6d8d45252..700e92bfaaea3 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_72_64_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_bind_fpv.sv index 07e95af1338f7..f7afc99c49b75 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_tb.sv index 6397d77b8da63..b572bbc87d856 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_22_16_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_bind_fpv.sv index a364b15de9cc6..2be0b2af7c25b 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_tb.sv index 7d1fcdf58cbbf..fd4b03a133af5 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_39_32_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_bind_fpv.sv index 4c4bc3a3c25ca..0d5e275d3b684 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_tb.sv index 52acaddb20a72..561e53c70f472 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_72_64_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_bind_fpv.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_bind_fpv.sv index 8c2bac91ca3c9..fb71614689aa8 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_bind_fpv.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_bind_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_tb.sv b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_tb.sv index c635bb11b6df8..2f7275d2848f8 100644 --- a/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_tb.sv +++ b/hw/ip/prim/fpv/tb/prim_secded_inv_hamming_76_68_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv index ebdaf704010b7..22d219415b330 100644 --- a/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv index eaa8c0357221e..486c5f6f5c6b3 100644 --- a/hw/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv index 165f85ee4a58c..b1324a7e6ed2d 100644 --- a/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv index 8cd7a3f43ac7f..eedaa94a03306 100644 --- a/hw/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv index 8e65474384220..aa7629c3b759c 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv index 31da73c9004f1..cd697b2500a6f 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv index ba927d9714626..c06972da6d449 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv index 746d1816434ad..836129fb36744 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv index 5313f5dcfd23b..182b9b72071f0 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv index 6e0a0790d6e20..d42cf38e8248c 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv index 9bd5e30d46dba..eb37711f5d1ef 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv index c3eadf4b0b494..f9071ae4682d4 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_hamming_76_68_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_hamming_76_68_assert_fpv.sv index 748a0910a6cfa..36486b8d49405 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_hamming_76_68_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_hamming_76_68_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_22_16_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_22_16_assert_fpv.sv index 2e9cb54a264cd..2d73f7062aa4b 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_22_16_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_22_16_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_28_22_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_28_22_assert_fpv.sv index 42632ed3dd63c..450e4aa3fd670 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_28_22_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_28_22_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_39_32_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_39_32_assert_fpv.sv index 0d0b7d74bb348..1e9f89c821208 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_39_32_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_39_32_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_64_57_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_64_57_assert_fpv.sv index 6c7e8ac46fcba..7d77538324671 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_64_57_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_64_57_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_72_64_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_72_64_assert_fpv.sv index 625af5274c7b4..43a2f6991197b 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_72_64_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_72_64_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_22_16_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_22_16_assert_fpv.sv index 30b9bfea6388b..8914863f83e24 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_22_16_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_22_16_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_39_32_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_39_32_assert_fpv.sv index 05a414ae04742..10c81b9b30e63 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_39_32_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_39_32_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_72_64_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_72_64_assert_fpv.sv index 229ae7c221b69..74d33689375e1 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_72_64_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_72_64_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_76_68_assert_fpv.sv b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_76_68_assert_fpv.sv index 41024b95d5f9d..734d078eba46f 100644 --- a/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_76_68_assert_fpv.sv +++ b/hw/ip/prim/fpv/vip/prim_secded_inv_hamming_76_68_assert_fpv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/lint/prim.vlt b/hw/ip/prim/lint/prim.vlt index bff544e5855b6..755169237a5a9 100644 --- a/hw/ip/prim/lint/prim.vlt +++ b/hw/ip/prim/lint/prim.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim.waiver b/hw/ip/prim/lint/prim.waiver index 1a495fe9f1263..877ff83192a89 100644 --- a/hw/ip/prim/lint/prim.waiver +++ b/hw/ip/prim/lint/prim.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_and2.waiver b/hw/ip/prim/lint/prim_and2.waiver index 25e90e76e26c9..0bb3867df5cea 100644 --- a/hw/ip/prim/lint/prim_and2.waiver +++ b/hw/ip/prim/lint/prim_and2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_arbiter.vlt b/hw/ip/prim/lint/prim_arbiter.vlt index 42e44b2baf224..5bd76efb2d7fc 100644 --- a/hw/ip/prim/lint/prim_arbiter.vlt +++ b/hw/ip/prim/lint/prim_arbiter.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_arbiter.waiver b/hw/ip/prim/lint/prim_arbiter.waiver index 278740cad0d4e..466aae2b10316 100644 --- a/hw/ip/prim/lint/prim_arbiter.waiver +++ b/hw/ip/prim/lint/prim_arbiter.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_assert.vlt b/hw/ip/prim/lint/prim_assert.vlt index affe7509e6b00..ed01d25f06062 100644 --- a/hw/ip/prim/lint/prim_assert.vlt +++ b/hw/ip/prim/lint/prim_assert.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_assert.waiver b/hw/ip/prim/lint/prim_assert.waiver index 83286a7cf5ea9..a8e89a4dc7231 100644 --- a/hw/ip/prim/lint/prim_assert.waiver +++ b/hw/ip/prim/lint/prim_assert.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_buf.waiver b/hw/ip/prim/lint/prim_buf.waiver index ea04aab855449..99697a0462bd2 100644 --- a/hw/ip/prim/lint/prim_buf.waiver +++ b/hw/ip/prim/lint/prim_buf.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_cdc_rand_delay.vlt b/hw/ip/prim/lint/prim_cdc_rand_delay.vlt index 6416525da814f..bf1b6d9e08226 100644 --- a/hw/ip/prim/lint/prim_cdc_rand_delay.vlt +++ b/hw/ip/prim/lint/prim_cdc_rand_delay.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_cdc_rand_delay.waiver b/hw/ip/prim/lint/prim_cdc_rand_delay.waiver index db67e54066461..ebbcda59ad37a 100644 --- a/hw/ip/prim/lint/prim_cdc_rand_delay.waiver +++ b/hw/ip/prim/lint/prim_cdc_rand_delay.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_cipher.vlt b/hw/ip/prim/lint/prim_cipher.vlt index 533b1cd9121cc..ff6555c0cd609 100644 --- a/hw/ip/prim/lint/prim_cipher.vlt +++ b/hw/ip/prim/lint/prim_cipher.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_cipher_pkg.waiver b/hw/ip/prim/lint/prim_cipher_pkg.waiver index faf69add3bcf1..3c1acb1019d3a 100644 --- a/hw/ip/prim/lint/prim_cipher_pkg.waiver +++ b/hw/ip/prim/lint/prim_cipher_pkg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_clock_buf.waiver b/hw/ip/prim/lint/prim_clock_buf.waiver index 9e030adb1ad51..ec243529aa883 100644 --- a/hw/ip/prim/lint/prim_clock_buf.waiver +++ b/hw/ip/prim/lint/prim_clock_buf.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_clock_div.waiver b/hw/ip/prim/lint/prim_clock_div.waiver index c62bfacc9e500..ed3b1237f7929 100644 --- a/hw/ip/prim/lint/prim_clock_div.waiver +++ b/hw/ip/prim/lint/prim_clock_div.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_clock_gating.waiver b/hw/ip/prim/lint/prim_clock_gating.waiver index 0e10939d2978c..2577668092421 100644 --- a/hw/ip/prim/lint/prim_clock_gating.waiver +++ b/hw/ip/prim/lint/prim_clock_gating.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_clock_inv.waiver b/hw/ip/prim/lint/prim_clock_inv.waiver index 0893e78622146..c0db17f2c1fdd 100644 --- a/hw/ip/prim/lint/prim_clock_inv.waiver +++ b/hw/ip/prim/lint/prim_clock_inv.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_clock_mux2.waiver b/hw/ip/prim/lint/prim_clock_mux2.waiver index 6a82aaa62c0c9..4e7c35b7010a7 100644 --- a/hw/ip/prim/lint/prim_clock_mux2.waiver +++ b/hw/ip/prim/lint/prim_clock_mux2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_count.vlt b/hw/ip/prim/lint/prim_count.vlt index b47f59e7feed2..3047906c614ec 100644 --- a/hw/ip/prim/lint/prim_count.vlt +++ b/hw/ip/prim/lint/prim_count.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_count.waiver b/hw/ip/prim/lint/prim_count.waiver index c0b59c9780640..63c59d288480a 100644 --- a/hw/ip/prim/lint/prim_count.waiver +++ b/hw/ip/prim/lint/prim_count.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_crc32.vlt b/hw/ip/prim/lint/prim_crc32.vlt index 85805e661d44b..7878b6547aa38 100644 --- a/hw/ip/prim/lint/prim_crc32.vlt +++ b/hw/ip/prim/lint/prim_crc32.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_double_lfsr.vlt b/hw/ip/prim/lint/prim_double_lfsr.vlt index 3a1cffd88fe19..369f06605517d 100644 --- a/hw/ip/prim/lint/prim_double_lfsr.vlt +++ b/hw/ip/prim/lint/prim_double_lfsr.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_double_lfsr.waiver b/hw/ip/prim/lint/prim_double_lfsr.waiver index 5cbfa7eca91ac..01bcef0f3ed97 100644 --- a/hw/ip/prim/lint/prim_double_lfsr.waiver +++ b/hw/ip/prim/lint/prim_double_lfsr.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_fifo.vlt b/hw/ip/prim/lint/prim_fifo.vlt index a05e93724ca83..63cb741072b02 100644 --- a/hw/ip/prim/lint/prim_fifo.vlt +++ b/hw/ip/prim/lint/prim_fifo.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_fifo.waiver b/hw/ip/prim/lint/prim_fifo.waiver index bf1bb227dca46..b8c503a35800b 100644 --- a/hw/ip/prim/lint/prim_fifo.waiver +++ b/hw/ip/prim/lint/prim_fifo.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_flash.waiver b/hw/ip/prim/lint/prim_flash.waiver index 3833a524fc780..3cb57f8eeea17 100644 --- a/hw/ip/prim/lint/prim_flash.waiver +++ b/hw/ip/prim/lint/prim_flash.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_flop.waiver b/hw/ip/prim/lint/prim_flop.waiver index 8659dddb6e1ce..412b43b8a092a 100644 --- a/hw/ip/prim/lint/prim_flop.waiver +++ b/hw/ip/prim/lint/prim_flop.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_flop_2sync.waiver b/hw/ip/prim/lint/prim_flop_2sync.waiver index ea071ebab32c9..1f6544386a4ac 100644 --- a/hw/ip/prim/lint/prim_flop_2sync.waiver +++ b/hw/ip/prim/lint/prim_flop_2sync.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_flop_en.waiver b/hw/ip/prim/lint/prim_flop_en.waiver index 3ccaaa7916574..3fe276d44d561 100644 --- a/hw/ip/prim/lint/prim_flop_en.waiver +++ b/hw/ip/prim/lint/prim_flop_en.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_lc_sender.waiver b/hw/ip/prim/lint/prim_lc_sender.waiver index 003bcc3f0a389..337643eea94fe 100644 --- a/hw/ip/prim/lint/prim_lc_sender.waiver +++ b/hw/ip/prim/lint/prim_lc_sender.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_lfsr.waiver b/hw/ip/prim/lint/prim_lfsr.waiver index 0ce77e4c8d212..699015288d132 100644 --- a/hw/ip/prim/lint/prim_lfsr.waiver +++ b/hw/ip/prim/lint/prim_lfsr.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_max_tree.vlt b/hw/ip/prim/lint/prim_max_tree.vlt index fe2485c89c1ac..a05e598490f28 100644 --- a/hw/ip/prim/lint/prim_max_tree.vlt +++ b/hw/ip/prim/lint/prim_max_tree.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_max_tree.waiver b/hw/ip/prim/lint/prim_max_tree.waiver index 8708020109c10..39c947b449022 100644 --- a/hw/ip/prim/lint/prim_max_tree.waiver +++ b/hw/ip/prim/lint/prim_max_tree.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_mubi.waiver b/hw/ip/prim/lint/prim_mubi.waiver index 14b0b4dc6bb59..e168a8013efe9 100644 --- a/hw/ip/prim/lint/prim_mubi.waiver +++ b/hw/ip/prim/lint/prim_mubi.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_onehot_check.vlt b/hw/ip/prim/lint/prim_onehot_check.vlt index d7d927baec056..15cc9719bb8df 100644 --- a/hw/ip/prim/lint/prim_onehot_check.vlt +++ b/hw/ip/prim/lint/prim_onehot_check.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_onehot_check.waiver b/hw/ip/prim/lint/prim_onehot_check.waiver index 65d5b0b331f4f..f78c4210fde85 100644 --- a/hw/ip/prim/lint/prim_onehot_check.waiver +++ b/hw/ip/prim/lint/prim_onehot_check.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_onehot_mux.waiver b/hw/ip/prim/lint/prim_onehot_mux.waiver index f4b38e2b77754..47c88c55c4fd6 100644 --- a/hw/ip/prim/lint/prim_onehot_mux.waiver +++ b/hw/ip/prim/lint/prim_onehot_mux.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_otp.waiver b/hw/ip/prim/lint/prim_otp.waiver index 593bbc53dec3c..5257870cce34d 100644 --- a/hw/ip/prim/lint/prim_otp.waiver +++ b/hw/ip/prim/lint/prim_otp.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_pad_attr.waiver b/hw/ip/prim/lint/prim_pad_attr.waiver index e7bbc6927a2af..ab5fcaf3aad4f 100644 --- a/hw/ip/prim/lint/prim_pad_attr.waiver +++ b/hw/ip/prim/lint/prim_pad_attr.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_pad_wrapper.waiver b/hw/ip/prim/lint/prim_pad_wrapper.waiver index c03e039055de8..9c1371d5e97ba 100644 --- a/hw/ip/prim/lint/prim_pad_wrapper.waiver +++ b/hw/ip/prim/lint/prim_pad_wrapper.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_ram_1p.waiver b/hw/ip/prim/lint/prim_ram_1p.waiver index 5e3de12fbe959..0ed0b6871867e 100644 --- a/hw/ip/prim/lint/prim_ram_1p.waiver +++ b/hw/ip/prim/lint/prim_ram_1p.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_ram_1p_adv.waiver b/hw/ip/prim/lint/prim_ram_1p_adv.waiver index 6e49dff4c5e7f..dfd8d6f485dd8 100644 --- a/hw/ip/prim/lint/prim_ram_1p_adv.waiver +++ b/hw/ip/prim/lint/prim_ram_1p_adv.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_ram_1p_scr.vlt b/hw/ip/prim/lint/prim_ram_1p_scr.vlt index 3397d483cb5ee..19b12dc07db34 100644 --- a/hw/ip/prim/lint/prim_ram_1p_scr.vlt +++ b/hw/ip/prim/lint/prim_ram_1p_scr.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_ram_1r1w.waiver b/hw/ip/prim/lint/prim_ram_1r1w.waiver index b247f1f81d941..df081fc0d25a8 100644 --- a/hw/ip/prim/lint/prim_ram_1r1w.waiver +++ b/hw/ip/prim/lint/prim_ram_1r1w.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_ram_2p.waiver b/hw/ip/prim/lint/prim_ram_2p.waiver index 474975e478ddc..127ba791db955 100644 --- a/hw/ip/prim/lint/prim_ram_2p.waiver +++ b/hw/ip/prim/lint/prim_ram_2p.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_reg_we_check.waiver b/hw/ip/prim/lint/prim_reg_we_check.waiver index 0c13a949f82ef..d4306c33e068d 100644 --- a/hw/ip/prim/lint/prim_reg_we_check.waiver +++ b/hw/ip/prim/lint/prim_reg_we_check.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_rom.waiver b/hw/ip/prim/lint/prim_rom.waiver index 5b588b41d4be5..ac01674defd51 100644 --- a/hw/ip/prim/lint/prim_rom.waiver +++ b/hw/ip/prim/lint/prim_rom.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_rst_sync.waiver b/hw/ip/prim/lint/prim_rst_sync.waiver index e05268b2a44b7..157e36c5f9ea0 100644 --- a/hw/ip/prim/lint/prim_rst_sync.waiver +++ b/hw/ip/prim/lint/prim_rst_sync.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_secded.waiver b/hw/ip/prim/lint/prim_secded.waiver index ace5295c0ad62..f419c53548ac6 100644 --- a/hw/ip/prim/lint/prim_secded.waiver +++ b/hw/ip/prim/lint/prim_secded.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_sha2.vbl b/hw/ip/prim/lint/prim_sha2.vbl index 909ffbd105d5d..f1e966a126a21 100644 --- a/hw/ip/prim/lint/prim_sha2.vbl +++ b/hw/ip/prim/lint/prim_sha2.vbl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_sha2.vlt b/hw/ip/prim/lint/prim_sha2.vlt index 0fdd4a542eb41..938334012c2c4 100644 --- a/hw/ip/prim/lint/prim_sha2.vlt +++ b/hw/ip/prim/lint/prim_sha2.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/lint/prim_sha2.waiver b/hw/ip/prim/lint/prim_sha2.waiver index 16df310f5ecc1..cb5b908e72f0c 100644 --- a/hw/ip/prim/lint/prim_sha2.waiver +++ b/hw/ip/prim/lint/prim_sha2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_sparse_fsm_flop.vlt b/hw/ip/prim/lint/prim_sparse_fsm_flop.vlt index 1ae4299afc0bc..3c2a8465ac98a 100644 --- a/hw/ip/prim/lint/prim_sparse_fsm_flop.vlt +++ b/hw/ip/prim/lint/prim_sparse_fsm_flop.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_sparse_fsm_flop.waiver b/hw/ip/prim/lint/prim_sparse_fsm_flop.waiver index 03a5ee8688d5d..c9c9ad4975597 100644 --- a/hw/ip/prim/lint/prim_sparse_fsm_flop.waiver +++ b/hw/ip/prim/lint/prim_sparse_fsm_flop.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_subreg.vlt b/hw/ip/prim/lint/prim_subreg.vlt index 620f1d23b6da1..689134ef514e4 100644 --- a/hw/ip/prim/lint/prim_subreg.vlt +++ b/hw/ip/prim/lint/prim_subreg.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_subreg.waiver b/hw/ip/prim/lint/prim_subreg.waiver index fe378348a06c6..d66498b7de8ce 100644 --- a/hw/ip/prim/lint/prim_subreg.waiver +++ b/hw/ip/prim/lint/prim_subreg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_sum_tree.vlt b/hw/ip/prim/lint/prim_sum_tree.vlt index bb990bd78243a..77919bb6727d0 100644 --- a/hw/ip/prim/lint/prim_sum_tree.vlt +++ b/hw/ip/prim/lint/prim_sum_tree.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_sum_tree.waiver b/hw/ip/prim/lint/prim_sum_tree.waiver index 7f2fa7c936f1e..60736ce3d34ef 100644 --- a/hw/ip/prim/lint/prim_sum_tree.waiver +++ b/hw/ip/prim/lint/prim_sum_tree.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_trivium.vlt b/hw/ip/prim/lint/prim_trivium.vlt index d6149e32e449c..d8d9af5607a09 100644 --- a/hw/ip/prim/lint/prim_trivium.vlt +++ b/hw/ip/prim/lint/prim_trivium.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/lint/prim_trivium.waiver b/hw/ip/prim/lint/prim_trivium.waiver index 5f6dec1d0e5f8..71819a5f54a6d 100644 --- a/hw/ip/prim/lint/prim_trivium.waiver +++ b/hw/ip/prim/lint/prim_trivium.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_usb_diff_rx.waiver b/hw/ip/prim/lint/prim_usb_diff_rx.waiver index 30c0bdbc6d5ac..ed04584b39f20 100644 --- a/hw/ip/prim/lint/prim_usb_diff_rx.waiver +++ b/hw/ip/prim/lint/prim_usb_diff_rx.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_xnor2.waiver b/hw/ip/prim/lint/prim_xnor2.waiver index 77f1c6a012b92..37962c55420a0 100644 --- a/hw/ip/prim/lint/prim_xnor2.waiver +++ b/hw/ip/prim/lint/prim_xnor2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_xor2.waiver b/hw/ip/prim/lint/prim_xor2.waiver index c2625ae0256db..28da4f0fdd035 100644 --- a/hw/ip/prim/lint/prim_xor2.waiver +++ b/hw/ip/prim/lint/prim_xor2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/lint/prim_xoshiro256pp.vlt b/hw/ip/prim/lint/prim_xoshiro256pp.vlt index 4fa210f92ce0a..be4fbfe68a682 100644 --- a/hw/ip/prim/lint/prim_xoshiro256pp.vlt +++ b/hw/ip/prim/lint/prim_xoshiro256pp.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/pre_dv/prim_crc32/expected_out.py b/hw/ip/prim/pre_dv/prim_crc32/expected_out.py index 62a27eefae23b..ee474a327ccc5 100644 --- a/hw/ip/prim/pre_dv/prim_crc32/expected_out.py +++ b/hw/ip/prim/pre_dv/prim_crc32/expected_out.py @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.cc b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.cc index 9403703df648c..1be56fac30de0 100644 --- a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.cc +++ b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.core b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.core index 7c6e9d4d29536..23118823d12d8 100644 --- a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.core +++ b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim:crc32_sim" diff --git a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.sv b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.sv index 041da16a39521..a736ca4119a93 100644 --- a/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.sv +++ b/hw/ip/prim/pre_dv/prim_crc32/prim_crc32_sim.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_crc32/run_predv.sh b/hw/ip/prim/pre_dv/prim_crc32/run_predv.sh index d10fe46caaf98..3f5a7aeb8c969 100755 --- a/hw/ip/prim/pre_dv/prim_crc32/run_predv.sh +++ b/hw/ip/prim/pre_dv/prim_crc32/run_predv.sh @@ -1,5 +1,5 @@ #!/bin/bash -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim.core b/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim.core index e2b9c59394934..a716d774216af 100644 --- a/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim.core +++ b/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:prim_flop_2sync_sim:0.1" diff --git a/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim_cfg.hjson b/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim_cfg.hjson index 660a6e30f6cdb..4e460838bd540 100644 --- a/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim_cfg.hjson +++ b/hw/ip/prim/pre_dv/prim_flop_2sync/prim_flop_2sync_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim/pre_dv/prim_flop_2sync/tb.sv b/hw/ip/prim/pre_dv/prim_flop_2sync/tb.sv index 4d91ce511caeb..1ee95004013c3 100644 --- a/hw/ip/prim/pre_dv/prim_flop_2sync/tb.sv +++ b/hw/ip/prim/pre_dv/prim_flop_2sync/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc b/hw/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc index 1b8adf2125f5f..34032ac0bab93 100644 --- a/hw/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc +++ b/hw/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core b/hw/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core index 99f272e225bef..21fd9adc3f01b 100644 --- a/hw/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core +++ b/hw/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:prim_sync_reqack_tb" diff --git a/hw/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv b/hw/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv index 36b881c5a1d8d..b7e0cf732ca3b 100644 --- a/hw/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv +++ b/hw/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/pre_dv/prim_trivium/cpp/prim_trivium_tb.cc b/hw/ip/prim/pre_dv/prim_trivium/cpp/prim_trivium_tb.cc index df0a8c642c6da..3b0201c7c8d9c 100644 --- a/hw/ip/prim/pre_dv/prim_trivium/cpp/prim_trivium_tb.cc +++ b/hw/ip/prim/pre_dv/prim_trivium/cpp/prim_trivium_tb.cc @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/pre_dv/prim_trivium/prim_trivium_tb.core b/hw/ip/prim/pre_dv/prim_trivium/prim_trivium_tb.core index 6c942c516ec2c..f86d00c8cee0f 100644 --- a/hw/ip/prim/pre_dv/prim_trivium/prim_trivium_tb.core +++ b/hw/ip/prim/pre_dv/prim_trivium/prim_trivium_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv_verilator:prim_trivium_tb" diff --git a/hw/ip/prim/pre_dv/prim_trivium/rtl/prim_trivium_tb.sv b/hw/ip/prim/pre_dv/prim_trivium/rtl/prim_trivium_tb.sv index cbc06a702fb5e..653d263f2abf1 100644 --- a/hw/ip/prim/pre_dv/prim_trivium/rtl/prim_trivium_tb.sv +++ b/hw/ip/prim/pre_dv/prim_trivium/rtl/prim_trivium_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/prim.core b/hw/ip/prim/prim.core index d96d61c3846f5..5aa7a57ca5224 100644 --- a/hw/ip/prim/prim.core +++ b/hw/ip/prim/prim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/prim_alert.core b/hw/ip/prim/prim_alert.core index c92db58a8bbfb..8574c8b506f9e 100644 --- a/hw/ip/prim/prim_alert.core +++ b/hw/ip/prim/prim_alert.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_and2.core b/hw/ip/prim/prim_and2.core index 3c0de0faec83c..10cfee5c45a08 100644 --- a/hw/ip/prim/prim_and2.core +++ b/hw/ip/prim/prim_and2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_arbiter.core b/hw/ip/prim/prim_arbiter.core index a15386d4e1293..784de057ffaae 100644 --- a/hw/ip/prim/prim_arbiter.core +++ b/hw/ip/prim/prim_arbiter.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_assert.core b/hw/ip/prim/prim_assert.core index 26e88e43a9508..8c7c79b1df266 100644 --- a/hw/ip/prim/prim_assert.core +++ b/hw/ip/prim/prim_assert.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_blanker.core b/hw/ip/prim/prim_blanker.core index a7c3aedd7bf1f..3d7121c1ad8fe 100644 --- a/hw/ip/prim/prim_blanker.core +++ b/hw/ip/prim/prim_blanker.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_buf.core b/hw/ip/prim/prim_buf.core index c536ce498d557..9f10e8d67082c 100644 --- a/hw/ip/prim/prim_buf.core +++ b/hw/ip/prim/prim_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_cdc_rand_delay.core b/hw/ip/prim/prim_cdc_rand_delay.core index 38fb5382fe450..5ae1c71a76cec 100644 --- a/hw/ip/prim/prim_cdc_rand_delay.core +++ b/hw/ip/prim/prim_cdc_rand_delay.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim:cdc_rand_delay" diff --git a/hw/ip/prim/prim_cipher.core b/hw/ip/prim/prim_cipher.core index a32c1d8b86e13..780b386de4cbb 100644 --- a/hw/ip/prim/prim_cipher.core +++ b/hw/ip/prim/prim_cipher.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_cipher_pkg.core b/hw/ip/prim/prim_cipher_pkg.core index cdc3a296fc25c..24911ebf6b9f4 100644 --- a/hw/ip/prim/prim_cipher_pkg.core +++ b/hw/ip/prim/prim_cipher_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_buf.core b/hw/ip/prim/prim_clock_buf.core index 06e5fa0db2e17..54b95ae1bf6d8 100644 --- a/hw/ip/prim/prim_clock_buf.core +++ b/hw/ip/prim/prim_clock_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_div.core b/hw/ip/prim/prim_clock_div.core index 28a731d1c9d77..954f08d185062 100644 --- a/hw/ip/prim/prim_clock_div.core +++ b/hw/ip/prim/prim_clock_div.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_gating.core b/hw/ip/prim/prim_clock_gating.core index f59475cb0c4b3..04cf68bd1ece7 100644 --- a/hw/ip/prim/prim_clock_gating.core +++ b/hw/ip/prim/prim_clock_gating.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_gp_mux2.core b/hw/ip/prim/prim_clock_gp_mux2.core index 3918b32222412..2c9894d4651d1 100644 --- a/hw/ip/prim/prim_clock_gp_mux2.core +++ b/hw/ip/prim/prim_clock_gp_mux2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_inv.core b/hw/ip/prim/prim_clock_inv.core index eb052b18677cd..a6dcab935a14c 100644 --- a/hw/ip/prim/prim_clock_inv.core +++ b/hw/ip/prim/prim_clock_inv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_meas.core b/hw/ip/prim/prim_clock_meas.core index 4510675127b79..af4c8656050cd 100644 --- a/hw/ip/prim/prim_clock_meas.core +++ b/hw/ip/prim/prim_clock_meas.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_clock_mux2.core b/hw/ip/prim/prim_clock_mux2.core index 9aa04f0dbe1c9..5f703aded3eed 100644 --- a/hw/ip/prim/prim_clock_mux2.core +++ b/hw/ip/prim/prim_clock_mux2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_count.core b/hw/ip/prim/prim_count.core index f65bc6a926ea7..4977b39778d56 100644 --- a/hw/ip/prim/prim_count.core +++ b/hw/ip/prim/prim_count.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_crc32.core b/hw/ip/prim/prim_crc32.core index 178b68c711cd0..51f3eaf2287f3 100644 --- a/hw/ip/prim/prim_crc32.core +++ b/hw/ip/prim/prim_crc32.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_diff_decode.core b/hw/ip/prim/prim_diff_decode.core index a91367f78a6b7..f36f15ab1d69a 100644 --- a/hw/ip/prim/prim_diff_decode.core +++ b/hw/ip/prim/prim_diff_decode.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_dom_and_2share.core b/hw/ip/prim/prim_dom_and_2share.core index 594227607912f..d2f5b46fc0df1 100644 --- a/hw/ip/prim/prim_dom_and_2share.core +++ b/hw/ip/prim/prim_dom_and_2share.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_double_lfsr.core b/hw/ip/prim/prim_double_lfsr.core index 5edcb08b05b32..28b632b48b505 100644 --- a/hw/ip/prim/prim_double_lfsr.core +++ b/hw/ip/prim/prim_double_lfsr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_edge_detector.core b/hw/ip/prim/prim_edge_detector.core index 1d6d52fba532a..f0b1437d23199 100644 --- a/hw/ip/prim/prim_edge_detector.core +++ b/hw/ip/prim/prim_edge_detector.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/prim_edn_req.core b/hw/ip/prim/prim_edn_req.core index 8c07aabda3372..6727b6a04d000 100644 --- a/hw/ip/prim/prim_edn_req.core +++ b/hw/ip/prim/prim_edn_req.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_esc.core b/hw/ip/prim/prim_esc.core index d796bbb5eebb3..d9b891ed112f0 100644 --- a/hw/ip/prim/prim_esc.core +++ b/hw/ip/prim/prim_esc.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_fifo.core b/hw/ip/prim/prim_fifo.core index 002f96c97f546..dd0fc7eb142f1 100644 --- a/hw/ip/prim/prim_fifo.core +++ b/hw/ip/prim/prim_fifo.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_flash.core b/hw/ip/prim/prim_flash.core index ec13c82d5f7f7..7e293a1dde4aa 100644 --- a/hw/ip/prim/prim_flash.core +++ b/hw/ip/prim/prim_flash.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_flop.core b/hw/ip/prim/prim_flop.core index e4c525d766e79..b707f1c473ece 100644 --- a/hw/ip/prim/prim_flop.core +++ b/hw/ip/prim/prim_flop.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_flop_2sync.core b/hw/ip/prim/prim_flop_2sync.core index 0d71fc7ce2e32..dc1fdb6fb698e 100644 --- a/hw/ip/prim/prim_flop_2sync.core +++ b/hw/ip/prim/prim_flop_2sync.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_flop_en.core b/hw/ip/prim/prim_flop_en.core index e3eae41275319..608384d18be81 100644 --- a/hw/ip/prim/prim_flop_en.core +++ b/hw/ip/prim/prim_flop_en.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_gf_mult.core b/hw/ip/prim/prim_gf_mult.core index 361fb27e92c09..ccc94bb147b77 100644 --- a/hw/ip/prim/prim_gf_mult.core +++ b/hw/ip/prim/prim_gf_mult.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_and_hardened.core b/hw/ip/prim/prim_lc_and_hardened.core index cd064514ae2fc..329259f3bce70 100644 --- a/hw/ip/prim/prim_lc_and_hardened.core +++ b/hw/ip/prim/prim_lc_and_hardened.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_combine.core b/hw/ip/prim/prim_lc_combine.core index 29a43da90abe9..94a7602fafe31 100644 --- a/hw/ip/prim/prim_lc_combine.core +++ b/hw/ip/prim/prim_lc_combine.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_dec.core b/hw/ip/prim/prim_lc_dec.core index 8760151943b43..2559e9b780f83 100644 --- a/hw/ip/prim/prim_lc_dec.core +++ b/hw/ip/prim/prim_lc_dec.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_or_hardened.core b/hw/ip/prim/prim_lc_or_hardened.core index 9a976a777806c..9dbafef5e0254 100644 --- a/hw/ip/prim/prim_lc_or_hardened.core +++ b/hw/ip/prim/prim_lc_or_hardened.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_sender.core b/hw/ip/prim/prim_lc_sender.core index cde9056841f80..9ebb4cc10ff17 100644 --- a/hw/ip/prim/prim_lc_sender.core +++ b/hw/ip/prim/prim_lc_sender.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lc_sync.core b/hw/ip/prim/prim_lc_sync.core index ca01fc08e07ee..05a6b5f74b8ea 100644 --- a/hw/ip/prim/prim_lc_sync.core +++ b/hw/ip/prim/prim_lc_sync.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_lfsr.core b/hw/ip/prim/prim_lfsr.core index eae4fc8d506ff..752bd82ad0e30 100644 --- a/hw/ip/prim/prim_lfsr.core +++ b/hw/ip/prim/prim_lfsr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_macros.core b/hw/ip/prim/prim_macros.core index 70b9debdfb0b1..67df40c2f26ee 100644 --- a/hw/ip/prim/prim_macros.core +++ b/hw/ip/prim/prim_macros.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_max_tree.core b/hw/ip/prim/prim_max_tree.core index 14ba9f73ab276..583714bdb2be7 100644 --- a/hw/ip/prim/prim_max_tree.core +++ b/hw/ip/prim/prim_max_tree.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_msb_extend.core b/hw/ip/prim/prim_msb_extend.core index 810f6ad4d8dc3..2895792b034a8 100644 --- a/hw/ip/prim/prim_msb_extend.core +++ b/hw/ip/prim/prim_msb_extend.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_mubi.core b/hw/ip/prim/prim_mubi.core index da18ae49aa64b..45aa85f7aec6c 100644 --- a/hw/ip/prim/prim_mubi.core +++ b/hw/ip/prim/prim_mubi.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim/prim_multibit_sync.core b/hw/ip/prim/prim_multibit_sync.core index 9ce56ee387a06..8cc4fd072f076 100644 --- a/hw/ip/prim/prim_multibit_sync.core +++ b/hw/ip/prim/prim_multibit_sync.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_onehot.core b/hw/ip/prim/prim_onehot.core index 2e5a52d7ef411..f2ab8a1e4f8d1 100644 --- a/hw/ip/prim/prim_onehot.core +++ b/hw/ip/prim/prim_onehot.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_onehot_check.core b/hw/ip/prim/prim_onehot_check.core index f62943d79d034..ed8a7aaf17e6a 100644 --- a/hw/ip/prim/prim_onehot_check.core +++ b/hw/ip/prim/prim_onehot_check.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_otp.core b/hw/ip/prim/prim_otp.core index 4b5b87a1a8625..90d0eca468171 100644 --- a/hw/ip/prim/prim_otp.core +++ b/hw/ip/prim/prim_otp.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_otp_pkg.core b/hw/ip/prim/prim_otp_pkg.core index a7bd4f616a9b9..58c2630975c46 100644 --- a/hw/ip/prim/prim_otp_pkg.core +++ b/hw/ip/prim/prim_otp_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_pad_attr.core b/hw/ip/prim/prim_pad_attr.core index e5ea03bba18e6..10219fbfcb9fc 100644 --- a/hw/ip/prim/prim_pad_attr.core +++ b/hw/ip/prim/prim_pad_attr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_pad_wrapper.core b/hw/ip/prim/prim_pad_wrapper.core index 37278fb688064..e700faf1ce605 100644 --- a/hw/ip/prim/prim_pad_wrapper.core +++ b/hw/ip/prim/prim_pad_wrapper.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_pad_wrapper_pkg.core b/hw/ip/prim/prim_pad_wrapper_pkg.core index ec1862d8e6d34..17c7938574776 100644 --- a/hw/ip/prim/prim_pad_wrapper_pkg.core +++ b/hw/ip/prim/prim_pad_wrapper_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_pkg.core b/hw/ip/prim/prim_pkg.core index f054f6660462c..eea8326962f1e 100644 --- a/hw/ip/prim/prim_pkg.core +++ b/hw/ip/prim/prim_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim:prim_pkg:0.1" diff --git a/hw/ip/prim/prim_ram_1p.core b/hw/ip/prim/prim_ram_1p.core index 335bc699b5c91..b12882c61c3a3 100644 --- a/hw/ip/prim/prim_ram_1p.core +++ b/hw/ip/prim/prim_ram_1p.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1p_adv.core b/hw/ip/prim/prim_ram_1p_adv.core index 0726c046afdb0..ed465ba7a36b4 100644 --- a/hw/ip/prim/prim_ram_1p_adv.core +++ b/hw/ip/prim/prim_ram_1p_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1p_pkg.core b/hw/ip/prim/prim_ram_1p_pkg.core index 3b190200a3f01..8f66fbb03fab1 100644 --- a/hw/ip/prim/prim_ram_1p_pkg.core +++ b/hw/ip/prim/prim_ram_1p_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1p_scr.core b/hw/ip/prim/prim_ram_1p_scr.core index fa96c76b74d57..dea8ca6774fb0 100644 --- a/hw/ip/prim/prim_ram_1p_scr.core +++ b/hw/ip/prim/prim_ram_1p_scr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1r1w.core b/hw/ip/prim/prim_ram_1r1w.core index 925ba3d6c0ee2..18acb86209730 100644 --- a/hw/ip/prim/prim_ram_1r1w.core +++ b/hw/ip/prim/prim_ram_1r1w.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1r1w_adv.core b/hw/ip/prim/prim_ram_1r1w_adv.core index 218bea9ab66df..33e0f91ee9c01 100644 --- a/hw/ip/prim/prim_ram_1r1w_adv.core +++ b/hw/ip/prim/prim_ram_1r1w_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_1r1w_async_adv.core b/hw/ip/prim/prim_ram_1r1w_async_adv.core index a1caaa65ac943..6a4c35431bc56 100644 --- a/hw/ip/prim/prim_ram_1r1w_async_adv.core +++ b/hw/ip/prim/prim_ram_1r1w_async_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_2p.core b/hw/ip/prim/prim_ram_2p.core index 919720c48d271..696c2248d689b 100644 --- a/hw/ip/prim/prim_ram_2p.core +++ b/hw/ip/prim/prim_ram_2p.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_2p_adv.core b/hw/ip/prim/prim_ram_2p_adv.core index 3ff2dcd8b7163..7a301db07eb1c 100644 --- a/hw/ip/prim/prim_ram_2p_adv.core +++ b/hw/ip/prim/prim_ram_2p_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_2p_async_adv.core b/hw/ip/prim/prim_ram_2p_async_adv.core index e5eda9d04ce37..54b5e8e1004e1 100644 --- a/hw/ip/prim/prim_ram_2p_async_adv.core +++ b/hw/ip/prim/prim_ram_2p_async_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_ram_2p_pkg.core b/hw/ip/prim/prim_ram_2p_pkg.core index 754078c29eac2..074bc6c382e8d 100644 --- a/hw/ip/prim/prim_ram_2p_pkg.core +++ b/hw/ip/prim/prim_ram_2p_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_reg_we_check.core b/hw/ip/prim/prim_reg_we_check.core index 47616643104c5..87e5640214fda 100644 --- a/hw/ip/prim/prim_reg_we_check.core +++ b/hw/ip/prim/prim_reg_we_check.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_rom.core b/hw/ip/prim/prim_rom.core index 46d82ca0b8ebf..0aa76a4e310e0 100644 --- a/hw/ip/prim/prim_rom.core +++ b/hw/ip/prim/prim_rom.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_rom_adv.core b/hw/ip/prim/prim_rom_adv.core index 249d6dd0a454c..fea85f95ff58a 100644 --- a/hw/ip/prim/prim_rom_adv.core +++ b/hw/ip/prim/prim_rom_adv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_rom_pkg.core b/hw/ip/prim/prim_rom_pkg.core index 34fc4fb146291..f8a827cecb30a 100644 --- a/hw/ip/prim/prim_rom_pkg.core +++ b/hw/ip/prim/prim_rom_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_rst_sync.core b/hw/ip/prim/prim_rst_sync.core index 398f19c4714e0..9657f877164e0 100644 --- a/hw/ip/prim/prim_rst_sync.core +++ b/hw/ip/prim/prim_rst_sync.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_sec_anchor.core b/hw/ip/prim/prim_sec_anchor.core index 2825284871cd1..12f574927fe63 100644 --- a/hw/ip/prim/prim_sec_anchor.core +++ b/hw/ip/prim/prim_sec_anchor.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_secded.core b/hw/ip/prim/prim_secded.core index b8f0c0ac7248b..38cb2d36ac932 100644 --- a/hw/ip/prim/prim_secded.core +++ b/hw/ip/prim/prim_secded.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_sha2.core b/hw/ip/prim/prim_sha2.core index 85ca06ae2de2d..18b27cb4d6703 100644 --- a/hw/ip/prim/prim_sha2.core +++ b/hw/ip/prim/prim_sha2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_sha2_pkg.core b/hw/ip/prim/prim_sha2_pkg.core index cf89e79fa51fc..c0e95b4be4451 100644 --- a/hw/ip/prim/prim_sha2_pkg.core +++ b/hw/ip/prim/prim_sha2_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_sparse_fsm.core b/hw/ip/prim/prim_sparse_fsm.core index 385a9f5614dc4..4334c94585294 100644 --- a/hw/ip/prim/prim_sparse_fsm.core +++ b/hw/ip/prim/prim_sparse_fsm.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_subreg.core b/hw/ip/prim/prim_subreg.core index 9f326c2b9a667..45d2a040a816b 100644 --- a/hw/ip/prim/prim_subreg.core +++ b/hw/ip/prim/prim_subreg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_sum_tree.core b/hw/ip/prim/prim_sum_tree.core index d984bd1db8d58..fff6ce1ebe85e 100644 --- a/hw/ip/prim/prim_sum_tree.core +++ b/hw/ip/prim/prim_sum_tree.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_trivium.core b/hw/ip/prim/prim_trivium.core index 0c191502a1ccd..eb05a453d2726 100644 --- a/hw/ip/prim/prim_trivium.core +++ b/hw/ip/prim/prim_trivium.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim:trivium:0.1" diff --git a/hw/ip/prim/prim_usb_diff_rx.core b/hw/ip/prim/prim_usb_diff_rx.core index efdde59ff7f1f..c323df0a2acab 100644 --- a/hw/ip/prim/prim_usb_diff_rx.core +++ b/hw/ip/prim/prim_usb_diff_rx.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_util.core b/hw/ip/prim/prim_util.core index 5f224118c8a46..1af57c66d5da5 100644 --- a/hw/ip/prim/prim_util.core +++ b/hw/ip/prim/prim_util.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_util_get_scramble_params.core b/hw/ip/prim/prim_util_get_scramble_params.core index 57dc1ecea34a0..a6262872fd2c3 100644 --- a/hw/ip/prim/prim_util_get_scramble_params.core +++ b/hw/ip/prim/prim_util_get_scramble_params.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_util_memload.core b/hw/ip/prim/prim_util_memload.core index 0371bd83f9eb5..44af599db3658 100644 --- a/hw/ip/prim/prim_util_memload.core +++ b/hw/ip/prim/prim_util_memload.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_xnor2.core b/hw/ip/prim/prim_xnor2.core index 16dd0044bccd6..becb3067a26f2 100644 --- a/hw/ip/prim/prim_xnor2.core +++ b/hw/ip/prim/prim_xnor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_xor2.core b/hw/ip/prim/prim_xor2.core index 982aec5276fb3..7bf51d9f4c16e 100644 --- a/hw/ip/prim/prim_xor2.core +++ b/hw/ip/prim/prim_xor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/prim_xoshiro256pp.core b/hw/ip/prim/prim_xoshiro256pp.core index 3423ea0173fd9..ff74e23cb5fc4 100644 --- a/hw/ip/prim/prim_xoshiro256pp.core +++ b/hw/ip/prim/prim_xoshiro256pp.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/primgen.core b/hw/ip/prim/primgen.core index 3a143ee636e8d..167f7965937d0 100644 --- a/hw/ip/prim/primgen.core +++ b/hw/ip/prim/primgen.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim:primgen:0.1" diff --git a/hw/ip/prim/rtl/prim_alert_pkg.sv b/hw/ip/prim/rtl/prim_alert_pkg.sv index a3594b61923b0..394c2bdbf45e1 100644 --- a/hw/ip/prim/rtl/prim_alert_pkg.sv +++ b/hw/ip/prim/rtl/prim_alert_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_alert_receiver.sv b/hw/ip/prim/rtl/prim_alert_receiver.sv index 24631172ccb35..154d1c65a74d1 100644 --- a/hw/ip/prim/rtl/prim_alert_receiver.sv +++ b/hw/ip/prim/rtl/prim_alert_receiver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_alert_sender.sv b/hw/ip/prim/rtl/prim_alert_sender.sv index 88249b5437ac9..9288758767e3c 100644 --- a/hw/ip/prim/rtl/prim_alert_sender.sv +++ b/hw/ip/prim/rtl/prim_alert_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_arbiter_fixed.sv b/hw/ip/prim/rtl/prim_arbiter_fixed.sv index d9677dd24b203..efe122e2ff0fe 100644 --- a/hw/ip/prim/rtl/prim_arbiter_fixed.sv +++ b/hw/ip/prim/rtl/prim_arbiter_fixed.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_arbiter_ppc.sv b/hw/ip/prim/rtl/prim_arbiter_ppc.sv index 17f3e19ad5b05..6da9efad574e0 100644 --- a/hw/ip/prim/rtl/prim_arbiter_ppc.sv +++ b/hw/ip/prim/rtl/prim_arbiter_ppc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_arbiter_tree.sv b/hw/ip/prim/rtl/prim_arbiter_tree.sv index 93d809e98e025..712eb8bfb6485 100644 --- a/hw/ip/prim/rtl/prim_arbiter_tree.sv +++ b/hw/ip/prim/rtl/prim_arbiter_tree.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_arbiter_tree_dup.sv b/hw/ip/prim/rtl/prim_arbiter_tree_dup.sv index 8ed2a7c20dc09..f5680d1a3c676 100644 --- a/hw/ip/prim/rtl/prim_arbiter_tree_dup.sv +++ b/hw/ip/prim/rtl/prim_arbiter_tree_dup.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_assert.sv b/hw/ip/prim/rtl/prim_assert.sv index 540808b127c06..94c31188df68a 100644 --- a/hw/ip/prim/rtl/prim_assert.sv +++ b/hw/ip/prim/rtl/prim_assert.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_assert_dummy_macros.svh b/hw/ip/prim/rtl/prim_assert_dummy_macros.svh index c2a5313359350..c4421093b987a 100644 --- a/hw/ip/prim/rtl/prim_assert_dummy_macros.svh +++ b/hw/ip/prim/rtl/prim_assert_dummy_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_assert_sec_cm.svh b/hw/ip/prim/rtl/prim_assert_sec_cm.svh index b9f077fa962a4..b43662ba9b857 100644 --- a/hw/ip/prim/rtl/prim_assert_sec_cm.svh +++ b/hw/ip/prim/rtl/prim_assert_sec_cm.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_assert_standard_macros.svh b/hw/ip/prim/rtl/prim_assert_standard_macros.svh index bfa07380f4860..7804c5431650a 100644 --- a/hw/ip/prim/rtl/prim_assert_standard_macros.svh +++ b/hw/ip/prim/rtl/prim_assert_standard_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_assert_yosys_macros.svh b/hw/ip/prim/rtl/prim_assert_yosys_macros.svh index 81102ec8a9d29..48a0473d7804b 100644 --- a/hw/ip/prim/rtl/prim_assert_yosys_macros.svh +++ b/hw/ip/prim/rtl/prim_assert_yosys_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_blanker.sv b/hw/ip/prim/rtl/prim_blanker.sv index 2253da31fef19..1589b7baf0ee7 100644 --- a/hw/ip/prim/rtl/prim_blanker.sv +++ b/hw/ip/prim/rtl/prim_blanker.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_cdc_rand_delay.sv b/hw/ip/prim/rtl/prim_cdc_rand_delay.sv index 0318e2c14bba3..acb79ae30471a 100644 --- a/hw/ip/prim/rtl/prim_cdc_rand_delay.sv +++ b/hw/ip/prim/rtl/prim_cdc_rand_delay.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_cipher_pkg.sv b/hw/ip/prim/rtl/prim_cipher_pkg.sv index 742c925363180..3c48f39758862 100644 --- a/hw/ip/prim/rtl/prim_cipher_pkg.sv +++ b/hw/ip/prim/rtl/prim_cipher_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_clock_gating_sync.sv b/hw/ip/prim/rtl/prim_clock_gating_sync.sv index bcc8f75fe6730..76dd6eb04fe09 100644 --- a/hw/ip/prim/rtl/prim_clock_gating_sync.sv +++ b/hw/ip/prim/rtl/prim_clock_gating_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_clock_gp_mux2.sv b/hw/ip/prim/rtl/prim_clock_gp_mux2.sv index f4d786027f269..80b77eca252ad 100644 --- a/hw/ip/prim/rtl/prim_clock_gp_mux2.sv +++ b/hw/ip/prim/rtl/prim_clock_gp_mux2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_clock_meas.sv b/hw/ip/prim/rtl/prim_clock_meas.sv index e2c2b7f2e3773..45c0ec2ee4780 100644 --- a/hw/ip/prim/rtl/prim_clock_meas.sv +++ b/hw/ip/prim/rtl/prim_clock_meas.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_clock_timeout.sv b/hw/ip/prim/rtl/prim_clock_timeout.sv index 4b02ee3bd4a48..b4c972bb22e57 100644 --- a/hw/ip/prim/rtl/prim_clock_timeout.sv +++ b/hw/ip/prim/rtl/prim_clock_timeout.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_count.sv b/hw/ip/prim/rtl/prim_count.sv index d5b5aff61b0cd..9c161cd8eabec 100644 --- a/hw/ip/prim/rtl/prim_count.sv +++ b/hw/ip/prim/rtl/prim_count.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_crc32.sv b/hw/ip/prim/rtl/prim_crc32.sv index 762fd48cb3862..f077c07719263 100644 --- a/hw/ip/prim/rtl/prim_crc32.sv +++ b/hw/ip/prim/rtl/prim_crc32.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_diff_decode.sv b/hw/ip/prim/rtl/prim_diff_decode.sv index caf321996acfb..88f4568f8bb05 100644 --- a/hw/ip/prim/rtl/prim_diff_decode.sv +++ b/hw/ip/prim/rtl/prim_diff_decode.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_dom_and_2share.sv b/hw/ip/prim/rtl/prim_dom_and_2share.sv index b45db9ae48afb..ac3c5dd919deb 100644 --- a/hw/ip/prim/rtl/prim_dom_and_2share.sv +++ b/hw/ip/prim/rtl/prim_dom_and_2share.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_double_lfsr.sv b/hw/ip/prim/rtl/prim_double_lfsr.sv index 8fce7b7657c0a..68cc98de5f74f 100644 --- a/hw/ip/prim/rtl/prim_double_lfsr.sv +++ b/hw/ip/prim/rtl/prim_double_lfsr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_edge_detector.sv b/hw/ip/prim/rtl/prim_edge_detector.sv index 2b0a92fb7675d..94b45cd7b86ba 100644 --- a/hw/ip/prim/rtl/prim_edge_detector.sv +++ b/hw/ip/prim/rtl/prim_edge_detector.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_edn_req.sv b/hw/ip/prim/rtl/prim_edn_req.sv index 70efb2e55f017..f0d79ffdf9d3a 100644 --- a/hw/ip/prim/rtl/prim_edn_req.sv +++ b/hw/ip/prim/rtl/prim_edn_req.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_esc_pkg.sv b/hw/ip/prim/rtl/prim_esc_pkg.sv index 8cd9c4ec651a3..721b0d4837572 100644 --- a/hw/ip/prim/rtl/prim_esc_pkg.sv +++ b/hw/ip/prim/rtl/prim_esc_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_esc_receiver.sv b/hw/ip/prim/rtl/prim_esc_receiver.sv index 269e390893866..ebc40206586c5 100644 --- a/hw/ip/prim/rtl/prim_esc_receiver.sv +++ b/hw/ip/prim/rtl/prim_esc_receiver.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_esc_sender.sv b/hw/ip/prim/rtl/prim_esc_sender.sv index 7987e6ccdae2b..fba0bab89010c 100644 --- a/hw/ip/prim/rtl/prim_esc_sender.sv +++ b/hw/ip/prim/rtl/prim_esc_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_fifo_async.sv b/hw/ip/prim/rtl/prim_fifo_async.sv index 0edebc768eb9d..bbdfcf9029153 100644 --- a/hw/ip/prim/rtl/prim_fifo_async.sv +++ b/hw/ip/prim/rtl/prim_fifo_async.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_fifo_async_simple.sv b/hw/ip/prim/rtl/prim_fifo_async_simple.sv index 5dbeed037d9d0..76ee140d7f5c5 100644 --- a/hw/ip/prim/rtl/prim_fifo_async_simple.sv +++ b/hw/ip/prim/rtl/prim_fifo_async_simple.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_fifo_async_sram_adapter.sv b/hw/ip/prim/rtl/prim_fifo_async_sram_adapter.sv index 4d4911c99fc1f..5d6462d7228dc 100644 --- a/hw/ip/prim/rtl/prim_fifo_async_sram_adapter.sv +++ b/hw/ip/prim/rtl/prim_fifo_async_sram_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_fifo_sync.sv b/hw/ip/prim/rtl/prim_fifo_sync.sv index 39b92feb1e3ef..aa0b1c0a91bee 100644 --- a/hw/ip/prim/rtl/prim_fifo_sync.sv +++ b/hw/ip/prim/rtl/prim_fifo_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_fifo_sync_cnt.sv b/hw/ip/prim/rtl/prim_fifo_sync_cnt.sv index 18a0a6107a8ed..1f0670ddb7e3b 100644 --- a/hw/ip/prim/rtl/prim_fifo_sync_cnt.sv +++ b/hw/ip/prim/rtl/prim_fifo_sync_cnt.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_filter.sv b/hw/ip/prim/rtl/prim_filter.sv index c3b1a176dbf5a..9878e5a861a6f 100644 --- a/hw/ip/prim/rtl/prim_filter.sv +++ b/hw/ip/prim/rtl/prim_filter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_filter_ctr.sv b/hw/ip/prim/rtl/prim_filter_ctr.sv index a7f9403c22ff2..1a392057001cc 100644 --- a/hw/ip/prim/rtl/prim_filter_ctr.sv +++ b/hw/ip/prim/rtl/prim_filter_ctr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_flop_2sync.sv b/hw/ip/prim/rtl/prim_flop_2sync.sv index 7ff3c76ae89c8..5b46928624ffe 100644 --- a/hw/ip/prim/rtl/prim_flop_2sync.sv +++ b/hw/ip/prim/rtl/prim_flop_2sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_flop_macros.sv b/hw/ip/prim/rtl/prim_flop_macros.sv index edae459a2e14e..ce59bb29d45ea 100644 --- a/hw/ip/prim/rtl/prim_flop_macros.sv +++ b/hw/ip/prim/rtl/prim_flop_macros.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_gate_gen.sv b/hw/ip/prim/rtl/prim_gate_gen.sv index dac761fd9d364..01cf18135d310 100644 --- a/hw/ip/prim/rtl/prim_gate_gen.sv +++ b/hw/ip/prim/rtl/prim_gate_gen.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_gf_mult.sv b/hw/ip/prim/rtl/prim_gf_mult.sv index aa47c22ee89b7..f8f34eea692f5 100644 --- a/hw/ip/prim/rtl/prim_gf_mult.sv +++ b/hw/ip/prim/rtl/prim_gf_mult.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_intr_hw.sv b/hw/ip/prim/rtl/prim_intr_hw.sv index 941b995827dc8..e2a6e438f27f3 100644 --- a/hw/ip/prim/rtl/prim_intr_hw.sv +++ b/hw/ip/prim/rtl/prim_intr_hw.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_keccak.sv b/hw/ip/prim/rtl/prim_keccak.sv index d20a6996eb3dc..42984515c32d7 100644 --- a/hw/ip/prim/rtl/prim_keccak.sv +++ b/hw/ip/prim/rtl/prim_keccak.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_and_hardened.sv b/hw/ip/prim/rtl/prim_lc_and_hardened.sv index ae10f6a489790..5905b3c3f114b 100644 --- a/hw/ip/prim/rtl/prim_lc_and_hardened.sv +++ b/hw/ip/prim/rtl/prim_lc_and_hardened.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_combine.sv b/hw/ip/prim/rtl/prim_lc_combine.sv index 2b3cce93de983..25aeb60d082af 100644 --- a/hw/ip/prim/rtl/prim_lc_combine.sv +++ b/hw/ip/prim/rtl/prim_lc_combine.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_dec.sv b/hw/ip/prim/rtl/prim_lc_dec.sv index d10e0ce3e6609..aeee8a7568878 100644 --- a/hw/ip/prim/rtl/prim_lc_dec.sv +++ b/hw/ip/prim/rtl/prim_lc_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_or_hardened.sv b/hw/ip/prim/rtl/prim_lc_or_hardened.sv index a1c1df1719977..dce76646928e9 100644 --- a/hw/ip/prim/rtl/prim_lc_or_hardened.sv +++ b/hw/ip/prim/rtl/prim_lc_or_hardened.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_sender.sv b/hw/ip/prim/rtl/prim_lc_sender.sv index ae95a2c7f9b5e..849eba82fc3a7 100644 --- a/hw/ip/prim/rtl/prim_lc_sender.sv +++ b/hw/ip/prim/rtl/prim_lc_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lc_sync.sv b/hw/ip/prim/rtl/prim_lc_sync.sv index d1536c6b9098f..5f2f7d5ff7a56 100644 --- a/hw/ip/prim/rtl/prim_lc_sync.sv +++ b/hw/ip/prim/rtl/prim_lc_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_lfsr.sv b/hw/ip/prim/rtl/prim_lfsr.sv index d6d12445a9048..e2400a101d7fb 100644 --- a/hw/ip/prim/rtl/prim_lfsr.sv +++ b/hw/ip/prim/rtl/prim_lfsr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_macros.svh b/hw/ip/prim/rtl/prim_macros.svh index 5561f141aab0f..c4f739c637e34 100644 --- a/hw/ip/prim/rtl/prim_macros.svh +++ b/hw/ip/prim/rtl/prim_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_max_tree.sv b/hw/ip/prim/rtl/prim_max_tree.sv index e23a76f307bb9..9422d6d0a9e5f 100644 --- a/hw/ip/prim/rtl/prim_max_tree.sv +++ b/hw/ip/prim/rtl/prim_max_tree.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_msb_extend.sv b/hw/ip/prim/rtl/prim_msb_extend.sv index 9b37c64a148cf..9cb303802ff3f 100644 --- a/hw/ip/prim/rtl/prim_msb_extend.sv +++ b/hw/ip/prim/rtl/prim_msb_extend.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi12_dec.sv b/hw/ip/prim/rtl/prim_mubi12_dec.sv index 1bc8503310c1c..6c983e0bd1d23 100644 --- a/hw/ip/prim/rtl/prim_mubi12_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi12_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi12_sender.sv b/hw/ip/prim/rtl/prim_mubi12_sender.sv index 230efdcafc8c6..168b39e175b0b 100644 --- a/hw/ip/prim/rtl/prim_mubi12_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi12_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi12_sync.sv b/hw/ip/prim/rtl/prim_mubi12_sync.sv index 30ee2d6a557cd..6376682d3d0e0 100644 --- a/hw/ip/prim/rtl/prim_mubi12_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi12_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi16_dec.sv b/hw/ip/prim/rtl/prim_mubi16_dec.sv index 17411474c49bb..a2d04a8d8296e 100644 --- a/hw/ip/prim/rtl/prim_mubi16_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi16_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi16_sender.sv b/hw/ip/prim/rtl/prim_mubi16_sender.sv index e12b99d2a7cba..190d13435f3e2 100644 --- a/hw/ip/prim/rtl/prim_mubi16_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi16_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi16_sync.sv b/hw/ip/prim/rtl/prim_mubi16_sync.sv index 02be5a0c418ab..f73f5bb2e9f3f 100644 --- a/hw/ip/prim/rtl/prim_mubi16_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi16_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi20_dec.sv b/hw/ip/prim/rtl/prim_mubi20_dec.sv index d92df1b762b98..447a199d0da96 100644 --- a/hw/ip/prim/rtl/prim_mubi20_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi20_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi20_sender.sv b/hw/ip/prim/rtl/prim_mubi20_sender.sv index cd8a4ff5254b7..73fc7d021c73b 100644 --- a/hw/ip/prim/rtl/prim_mubi20_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi20_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi20_sync.sv b/hw/ip/prim/rtl/prim_mubi20_sync.sv index 2cad8a3ec425e..e54c6214faff3 100644 --- a/hw/ip/prim/rtl/prim_mubi20_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi20_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi24_dec.sv b/hw/ip/prim/rtl/prim_mubi24_dec.sv index dca7f8bb22336..7bb07314bf4f1 100644 --- a/hw/ip/prim/rtl/prim_mubi24_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi24_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi24_sender.sv b/hw/ip/prim/rtl/prim_mubi24_sender.sv index 37c864aefaf9f..d01239b660690 100644 --- a/hw/ip/prim/rtl/prim_mubi24_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi24_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi24_sync.sv b/hw/ip/prim/rtl/prim_mubi24_sync.sv index 1e012459b6a44..f7a5328145d14 100644 --- a/hw/ip/prim/rtl/prim_mubi24_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi24_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi28_dec.sv b/hw/ip/prim/rtl/prim_mubi28_dec.sv index 9ee384c9cb3c5..1a027e1c86a6a 100644 --- a/hw/ip/prim/rtl/prim_mubi28_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi28_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi28_sender.sv b/hw/ip/prim/rtl/prim_mubi28_sender.sv index 7409f873f89b0..633a693a61394 100644 --- a/hw/ip/prim/rtl/prim_mubi28_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi28_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi28_sync.sv b/hw/ip/prim/rtl/prim_mubi28_sync.sv index db5e105b096a3..1c63536b7cad1 100644 --- a/hw/ip/prim/rtl/prim_mubi28_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi28_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi32_dec.sv b/hw/ip/prim/rtl/prim_mubi32_dec.sv index d1dac4d4af5b8..c225fd5c9987b 100644 --- a/hw/ip/prim/rtl/prim_mubi32_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi32_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi32_sender.sv b/hw/ip/prim/rtl/prim_mubi32_sender.sv index 198b9650961c9..ba6343c84c4a8 100644 --- a/hw/ip/prim/rtl/prim_mubi32_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi32_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi32_sync.sv b/hw/ip/prim/rtl/prim_mubi32_sync.sv index 0580178bdcfa7..afae3b316aa2e 100644 --- a/hw/ip/prim/rtl/prim_mubi32_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi32_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi4_dec.sv b/hw/ip/prim/rtl/prim_mubi4_dec.sv index b822fa89c5eff..4561f54f01045 100644 --- a/hw/ip/prim/rtl/prim_mubi4_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi4_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi4_sender.sv b/hw/ip/prim/rtl/prim_mubi4_sender.sv index 85b7af870860f..b69eefd2751f4 100644 --- a/hw/ip/prim/rtl/prim_mubi4_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi4_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi4_sync.sv b/hw/ip/prim/rtl/prim_mubi4_sync.sv index 02395409ac5c6..b5aa0aa194d7a 100644 --- a/hw/ip/prim/rtl/prim_mubi4_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi4_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi8_dec.sv b/hw/ip/prim/rtl/prim_mubi8_dec.sv index 0f7171f2ed506..e79ed824da695 100644 --- a/hw/ip/prim/rtl/prim_mubi8_dec.sv +++ b/hw/ip/prim/rtl/prim_mubi8_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi8_sender.sv b/hw/ip/prim/rtl/prim_mubi8_sender.sv index 7fb81f5087571..e3379ad6b62ae 100644 --- a/hw/ip/prim/rtl/prim_mubi8_sender.sv +++ b/hw/ip/prim/rtl/prim_mubi8_sender.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi8_sync.sv b/hw/ip/prim/rtl/prim_mubi8_sync.sv index 14e9254ce526e..9e1151dd8b786 100644 --- a/hw/ip/prim/rtl/prim_mubi8_sync.sv +++ b/hw/ip/prim/rtl/prim_mubi8_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_mubi_pkg.sv b/hw/ip/prim/rtl/prim_mubi_pkg.sv index 8b2f0908f67b2..0277329a8c056 100644 --- a/hw/ip/prim/rtl/prim_mubi_pkg.sv +++ b/hw/ip/prim/rtl/prim_mubi_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_multibit_sync.sv b/hw/ip/prim/rtl/prim_multibit_sync.sv index 79a3ab6d8d95b..0a1a7956a0cd8 100644 --- a/hw/ip/prim/rtl/prim_multibit_sync.sv +++ b/hw/ip/prim/rtl/prim_multibit_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_onehot_check.sv b/hw/ip/prim/rtl/prim_onehot_check.sv index b70ec76ef5dad..9e76d79c4f421 100644 --- a/hw/ip/prim/rtl/prim_onehot_check.sv +++ b/hw/ip/prim/rtl/prim_onehot_check.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_onehot_enc.sv b/hw/ip/prim/rtl/prim_onehot_enc.sv index 35ecee1daa211..dd58753bf789f 100644 --- a/hw/ip/prim/rtl/prim_onehot_enc.sv +++ b/hw/ip/prim/rtl/prim_onehot_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_onehot_mux.sv b/hw/ip/prim/rtl/prim_onehot_mux.sv index 70bd2c38317ca..16d377d63440d 100644 --- a/hw/ip/prim/rtl/prim_onehot_mux.sv +++ b/hw/ip/prim/rtl/prim_onehot_mux.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_otp_pkg.sv b/hw/ip/prim/rtl/prim_otp_pkg.sv index 0ada4eda930ec..cefe43a57f437 100644 --- a/hw/ip/prim/rtl/prim_otp_pkg.sv +++ b/hw/ip/prim/rtl/prim_otp_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_packer.sv b/hw/ip/prim/rtl/prim_packer.sv index 2ee6a696d4d15..76339b42913be 100644 --- a/hw/ip/prim/rtl/prim_packer.sv +++ b/hw/ip/prim/rtl/prim_packer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_packer_fifo.sv b/hw/ip/prim/rtl/prim_packer_fifo.sv index 95c143da520f0..94d82b1c4bcc0 100644 --- a/hw/ip/prim/rtl/prim_packer_fifo.sv +++ b/hw/ip/prim/rtl/prim_packer_fifo.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_pad_wrapper_pkg.sv b/hw/ip/prim/rtl/prim_pad_wrapper_pkg.sv index 05acab6aab4eb..2d41f6aa6515d 100644 --- a/hw/ip/prim/rtl/prim_pad_wrapper_pkg.sv +++ b/hw/ip/prim/rtl/prim_pad_wrapper_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_present.sv b/hw/ip/prim/rtl/prim_present.sv index 76c03bc3666e8..d36215582e4f4 100644 --- a/hw/ip/prim/rtl/prim_present.sv +++ b/hw/ip/prim/rtl/prim_present.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_prince.sv b/hw/ip/prim/rtl/prim_prince.sv index 779999c19f7f6..5878823d4a97b 100644 --- a/hw/ip/prim/rtl/prim_prince.sv +++ b/hw/ip/prim/rtl/prim_prince.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_pulse_sync.sv b/hw/ip/prim/rtl/prim_pulse_sync.sv index 4ce7a7e9e7ab3..b1bca4359af54 100644 --- a/hw/ip/prim/rtl/prim_pulse_sync.sv +++ b/hw/ip/prim/rtl/prim_pulse_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_1p_adv.sv b/hw/ip/prim/rtl/prim_ram_1p_adv.sv index 71bd99b01339a..bea71ca2d132b 100644 --- a/hw/ip/prim/rtl/prim_ram_1p_adv.sv +++ b/hw/ip/prim/rtl/prim_ram_1p_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_1p_pkg.sv b/hw/ip/prim/rtl/prim_ram_1p_pkg.sv index d47962929a62a..4aefd25f87a7a 100644 --- a/hw/ip/prim/rtl/prim_ram_1p_pkg.sv +++ b/hw/ip/prim/rtl/prim_ram_1p_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_1p_scr.sv b/hw/ip/prim/rtl/prim_ram_1p_scr.sv index 36f786a7c2b8d..82187f7ef0af9 100644 --- a/hw/ip/prim/rtl/prim_ram_1p_scr.sv +++ b/hw/ip/prim/rtl/prim_ram_1p_scr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_1r1w_adv.sv b/hw/ip/prim/rtl/prim_ram_1r1w_adv.sv index ddcb4f9d87878..a2924433c0c8f 100644 --- a/hw/ip/prim/rtl/prim_ram_1r1w_adv.sv +++ b/hw/ip/prim/rtl/prim_ram_1r1w_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_1r1w_async_adv.sv b/hw/ip/prim/rtl/prim_ram_1r1w_async_adv.sv index a6b2bc98585a1..6e9d31b512c57 100644 --- a/hw/ip/prim/rtl/prim_ram_1r1w_async_adv.sv +++ b/hw/ip/prim/rtl/prim_ram_1r1w_async_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_2p_adv.sv b/hw/ip/prim/rtl/prim_ram_2p_adv.sv index 866217a64bbc9..951ae981c81a6 100644 --- a/hw/ip/prim/rtl/prim_ram_2p_adv.sv +++ b/hw/ip/prim/rtl/prim_ram_2p_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_2p_async_adv.sv b/hw/ip/prim/rtl/prim_ram_2p_async_adv.sv index d3de6f67d930d..5c93fe2855846 100644 --- a/hw/ip/prim/rtl/prim_ram_2p_async_adv.sv +++ b/hw/ip/prim/rtl/prim_ram_2p_async_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_ram_2p_pkg.sv b/hw/ip/prim/rtl/prim_ram_2p_pkg.sv index 4ae04271be744..0b002b4ecc7ca 100644 --- a/hw/ip/prim/rtl/prim_ram_2p_pkg.sv +++ b/hw/ip/prim/rtl/prim_ram_2p_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_reg_cdc.sv b/hw/ip/prim/rtl/prim_reg_cdc.sv index f323100b5f997..b683da0f8fee5 100644 --- a/hw/ip/prim/rtl/prim_reg_cdc.sv +++ b/hw/ip/prim/rtl/prim_reg_cdc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_reg_cdc_arb.sv b/hw/ip/prim/rtl/prim_reg_cdc_arb.sv index 815fef8963411..c4c0f46c4cfa2 100644 --- a/hw/ip/prim/rtl/prim_reg_cdc_arb.sv +++ b/hw/ip/prim/rtl/prim_reg_cdc_arb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_reg_we_check.sv b/hw/ip/prim/rtl/prim_reg_we_check.sv index 51373ca3701d7..0734ec879e33a 100644 --- a/hw/ip/prim/rtl/prim_reg_we_check.sv +++ b/hw/ip/prim/rtl/prim_reg_we_check.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_rom_adv.sv b/hw/ip/prim/rtl/prim_rom_adv.sv index dbeec644a78a8..bfa0c5b3cb6d1 100644 --- a/hw/ip/prim/rtl/prim_rom_adv.sv +++ b/hw/ip/prim/rtl/prim_rom_adv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_rom_pkg.sv b/hw/ip/prim/rtl/prim_rom_pkg.sv index 1ac84ee04ca29..c6c4be04dffb7 100644 --- a/hw/ip/prim/rtl/prim_rom_pkg.sv +++ b/hw/ip/prim/rtl/prim_rom_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_rst_sync.sv b/hw/ip/prim/rtl/prim_rst_sync.sv index b499a1a8ea85c..f90a7ab497e1e 100644 --- a/hw/ip/prim/rtl/prim_rst_sync.sv +++ b/hw/ip/prim/rtl/prim_rst_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sec_anchor_buf.sv b/hw/ip/prim/rtl/prim_sec_anchor_buf.sv index 1ea71841a493a..3639f144f5d4d 100644 --- a/hw/ip/prim/rtl/prim_sec_anchor_buf.sv +++ b/hw/ip/prim/rtl/prim_sec_anchor_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_sec_anchor_flop.sv b/hw/ip/prim/rtl/prim_sec_anchor_flop.sv index ce18b47d4d1c2..68dec7ea93777 100644 --- a/hw/ip/prim/rtl/prim_sec_anchor_flop.sv +++ b/hw/ip/prim/rtl/prim_sec_anchor_flop.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_secded_22_16_dec.sv b/hw/ip/prim/rtl/prim_secded_22_16_dec.sv index 4fa1196ca42c8..1bd7590fa3739 100644 --- a/hw/ip/prim/rtl/prim_secded_22_16_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_22_16_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_22_16_enc.sv b/hw/ip/prim/rtl/prim_secded_22_16_enc.sv index 41ad31b953567..ae80637a0fc78 100644 --- a/hw/ip/prim/rtl/prim_secded_22_16_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_22_16_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_28_22_dec.sv b/hw/ip/prim/rtl/prim_secded_28_22_dec.sv index 7df02e24dc80f..80e8117d918ee 100644 --- a/hw/ip/prim/rtl/prim_secded_28_22_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_28_22_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_28_22_enc.sv b/hw/ip/prim/rtl/prim_secded_28_22_enc.sv index f4e451af1cd0b..4814d576fa55f 100644 --- a/hw/ip/prim/rtl/prim_secded_28_22_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_28_22_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_39_32_dec.sv b/hw/ip/prim/rtl/prim_secded_39_32_dec.sv index 10ee733e0d294..94959c88e7d5f 100644 --- a/hw/ip/prim/rtl/prim_secded_39_32_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_39_32_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_39_32_enc.sv b/hw/ip/prim/rtl/prim_secded_39_32_enc.sv index 5668ff3af76dc..347b1dd62a939 100644 --- a/hw/ip/prim/rtl/prim_secded_39_32_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_39_32_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_64_57_dec.sv b/hw/ip/prim/rtl/prim_secded_64_57_dec.sv index dc1fd426ac530..bfe6b363501ea 100644 --- a/hw/ip/prim/rtl/prim_secded_64_57_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_64_57_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_64_57_enc.sv b/hw/ip/prim/rtl/prim_secded_64_57_enc.sv index 6e837262814fb..071dbbde1b96b 100644 --- a/hw/ip/prim/rtl/prim_secded_64_57_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_64_57_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_72_64_dec.sv b/hw/ip/prim/rtl/prim_secded_72_64_dec.sv index 112a64fdf19d1..664f093f7a359 100644 --- a/hw/ip/prim/rtl/prim_secded_72_64_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_72_64_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_72_64_enc.sv b/hw/ip/prim/rtl/prim_secded_72_64_enc.sv index 5e9f674d83596..a0d97db8161fa 100644 --- a/hw/ip/prim/rtl/prim_secded_72_64_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_72_64_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv b/hw/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv index 135dc2fde9861..4b8382932f294 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv b/hw/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv index 9eb7295ede9cd..81c1d9a8e02d9 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv b/hw/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv index 1f41364fc082b..da37f70cde2e5 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv b/hw/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv index 47b60f567403a..4942a6893dcb4 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv b/hw/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv index 7eaaa40dc97a2..a4e4396290eed 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv b/hw/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv index a24f3c8f01d68..bbddeee2cdeae 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_76_68_dec.sv b/hw/ip/prim/rtl/prim_secded_hamming_76_68_dec.sv index 418a2331840bf..86daf1cf7302c 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_76_68_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_76_68_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_hamming_76_68_enc.sv b/hw/ip/prim/rtl/prim_secded_hamming_76_68_enc.sv index 3e9408384156b..6cd4cf2d60d4a 100644 --- a/hw/ip/prim/rtl/prim_secded_hamming_76_68_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_hamming_76_68_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_22_16_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_22_16_dec.sv index 5d53680e8b1d5..184731d450c4a 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_22_16_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_22_16_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_22_16_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_22_16_enc.sv index a057b0d4ccb43..c125cc39e6c6c 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_22_16_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_22_16_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_28_22_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_28_22_dec.sv index b54b6474add92..214f848e457b6 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_28_22_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_28_22_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_28_22_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_28_22_enc.sv index b1998e3cd06dc..2e6d11825e14c 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_28_22_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_28_22_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_39_32_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_39_32_dec.sv index a40a86cd1eb06..760242a7b1c30 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_39_32_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_39_32_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_39_32_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_39_32_enc.sv index dedd585c29bea..c4d033718a175 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_39_32_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_39_32_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_64_57_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_64_57_dec.sv index 6e34b50271d17..e70eec0f963a1 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_64_57_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_64_57_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_64_57_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_64_57_enc.sv index 99565b79a9571..a1fcefb216d43 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_64_57_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_64_57_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_72_64_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_72_64_dec.sv index aa4c5b59c28f3..ca58f0c9fdf98 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_72_64_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_72_64_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_72_64_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_72_64_enc.sv index 87b36c4c27dad..83fc880de7f55 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_72_64_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_72_64_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_dec.sv index 0f077ee7e3935..11a7b48cb3b51 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_enc.sv index 0b63ff60e0b08..95715e7affa87 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_22_16_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_dec.sv index f65e86d5fda79..7981dbbd6175d 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_enc.sv index ee988db48d84b..1f17fc5bab01d 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_39_32_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_dec.sv index 99c2e5de08537..9a3c905242b00 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_enc.sv index 3a47ca5ca7304..d109fc3f61901 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_72_64_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_dec.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_dec.sv index c2aa75e40ab1f..6128e1e131fab 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_dec.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_enc.sv b/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_enc.sv index 324f9c6bde4a3..6e798bdfa4c35 100644 --- a/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_enc.sv +++ b/hw/ip/prim/rtl/prim_secded_inv_hamming_76_68_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_secded_pkg.sv b/hw/ip/prim/rtl/prim_secded_pkg.sv index 4c17534a66258..b5782845a59b2 100644 --- a/hw/ip/prim/rtl/prim_secded_pkg.sv +++ b/hw/ip/prim/rtl/prim_secded_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sha2.sv b/hw/ip/prim/rtl/prim_sha2.sv index bf31a8c653d36..1b12304e48009 100644 --- a/hw/ip/prim/rtl/prim_sha2.sv +++ b/hw/ip/prim/rtl/prim_sha2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sha2_32.sv b/hw/ip/prim/rtl/prim_sha2_32.sv index 99e4d096115f3..930179b4ef70d 100644 --- a/hw/ip/prim/rtl/prim_sha2_32.sv +++ b/hw/ip/prim/rtl/prim_sha2_32.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sha2_pad.sv b/hw/ip/prim/rtl/prim_sha2_pad.sv index 8181f4b08c13d..8684c3e54fca4 100644 --- a/hw/ip/prim/rtl/prim_sha2_pad.sv +++ b/hw/ip/prim/rtl/prim_sha2_pad.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sha2_pkg.sv b/hw/ip/prim/rtl/prim_sha2_pkg.sv index ad90c3156c306..3dc9e3c0229bb 100644 --- a/hw/ip/prim/rtl/prim_sha2_pkg.sv +++ b/hw/ip/prim/rtl/prim_sha2_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_slicer.sv b/hw/ip/prim/rtl/prim_slicer.sv index 36b875c5af53e..7b87ddd707e46 100644 --- a/hw/ip/prim/rtl/prim_slicer.sv +++ b/hw/ip/prim/rtl/prim_slicer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sparse_fsm_flop.sv b/hw/ip/prim/rtl/prim_sparse_fsm_flop.sv index ca2599e36e9d7..1e0126ec2de47 100644 --- a/hw/ip/prim/rtl/prim_sparse_fsm_flop.sv +++ b/hw/ip/prim/rtl/prim_sparse_fsm_flop.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_sram_arbiter.sv b/hw/ip/prim/rtl/prim_sram_arbiter.sv index e168dac8ae263..d166179d575f4 100644 --- a/hw/ip/prim/rtl/prim_sram_arbiter.sv +++ b/hw/ip/prim/rtl/prim_sram_arbiter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_subreg.sv b/hw/ip/prim/rtl/prim_subreg.sv index 0210928b5ec4d..44f01b9cbc492 100644 --- a/hw/ip/prim/rtl/prim_subreg.sv +++ b/hw/ip/prim/rtl/prim_subreg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_subreg_arb.sv b/hw/ip/prim/rtl/prim_subreg_arb.sv index ba8a2821d89e9..32269cc8b7ad1 100644 --- a/hw/ip/prim/rtl/prim_subreg_arb.sv +++ b/hw/ip/prim/rtl/prim_subreg_arb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_subreg_ext.sv b/hw/ip/prim/rtl/prim_subreg_ext.sv index 30a40c60cb653..efb662cc17f80 100644 --- a/hw/ip/prim/rtl/prim_subreg_ext.sv +++ b/hw/ip/prim/rtl/prim_subreg_ext.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_subreg_pkg.sv b/hw/ip/prim/rtl/prim_subreg_pkg.sv index 6e1da04364801..633b919e9a582 100644 --- a/hw/ip/prim/rtl/prim_subreg_pkg.sv +++ b/hw/ip/prim/rtl/prim_subreg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_subreg_shadow.sv b/hw/ip/prim/rtl/prim_subreg_shadow.sv index ede904770ffed..53206d0c64a15 100644 --- a/hw/ip/prim/rtl/prim_subreg_shadow.sv +++ b/hw/ip/prim/rtl/prim_subreg_shadow.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_subst_perm.sv b/hw/ip/prim/rtl/prim_subst_perm.sv index e75e3d6f5f00a..7dd6bd078be66 100644 --- a/hw/ip/prim/rtl/prim_subst_perm.sv +++ b/hw/ip/prim/rtl/prim_subst_perm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sum_tree.sv b/hw/ip/prim/rtl/prim_sum_tree.sv index 2c8179ab4bd56..65cfc88f7ce8d 100644 --- a/hw/ip/prim/rtl/prim_sum_tree.sv +++ b/hw/ip/prim/rtl/prim_sum_tree.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sync_reqack.sv b/hw/ip/prim/rtl/prim_sync_reqack.sv index 518546faed987..c2678d9545d6e 100644 --- a/hw/ip/prim/rtl/prim_sync_reqack.sv +++ b/hw/ip/prim/rtl/prim_sync_reqack.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sync_reqack_data.sv b/hw/ip/prim/rtl/prim_sync_reqack_data.sv index c4ef5e321497a..cab6a01e8be35 100644 --- a/hw/ip/prim/rtl/prim_sync_reqack_data.sv +++ b/hw/ip/prim/rtl/prim_sync_reqack_data.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_sync_slow_fast.sv b/hw/ip/prim/rtl/prim_sync_slow_fast.sv index 8bffa1d557582..b1e06cdbfdffc 100644 --- a/hw/ip/prim/rtl/prim_sync_slow_fast.sv +++ b/hw/ip/prim/rtl/prim_sync_slow_fast.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/rtl/prim_trivium.sv b/hw/ip/prim/rtl/prim_trivium.sv index 0661480007cce..c648a31e5a831 100644 --- a/hw/ip/prim/rtl/prim_trivium.sv +++ b/hw/ip/prim/rtl/prim_trivium.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_trivium_pkg.sv b/hw/ip/prim/rtl/prim_trivium_pkg.sv index 317e0d440da31..6f769f0c3fc6b 100644 --- a/hw/ip/prim/rtl/prim_trivium_pkg.sv +++ b/hw/ip/prim/rtl/prim_trivium_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_util_get_scramble_params.svh b/hw/ip/prim/rtl/prim_util_get_scramble_params.svh index e5e1860f1bbed..11245a86375b8 100644 --- a/hw/ip/prim/rtl/prim_util_get_scramble_params.svh +++ b/hw/ip/prim/rtl/prim_util_get_scramble_params.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_util_memload.svh b/hw/ip/prim/rtl/prim_util_memload.svh index 7f8c6da920798..81600a8de8b99 100644 --- a/hw/ip/prim/rtl/prim_util_memload.svh +++ b/hw/ip/prim/rtl/prim_util_memload.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_util_pkg.sv b/hw/ip/prim/rtl/prim_util_pkg.sv index f5e3c92af522a..cb30121cef15a 100644 --- a/hw/ip/prim/rtl/prim_util_pkg.sv +++ b/hw/ip/prim/rtl/prim_util_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/rtl/prim_xoshiro256pp.sv b/hw/ip/prim/rtl/prim_xoshiro256pp.sv index cb6d9538db6db..ed7cc1b5a8718 100644 --- a/hw/ip/prim/rtl/prim_xoshiro256pp.sv +++ b/hw/ip/prim/rtl/prim_xoshiro256pp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/util/prim_crc32_table_gen.py b/hw/ip/prim/util/prim_crc32_table_gen.py index a978d44a31106..955f469b35ae9 100755 --- a/hw/ip/prim/util/prim_crc32_table_gen.py +++ b/hw/ip/prim/util/prim_crc32_table_gen.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/util/primgen.py b/hw/ip/prim/util/primgen.py index 8ea68270a4c33..3e3ba4123d4df 100755 --- a/hw/ip/prim/util/primgen.py +++ b/hw/ip/prim/util/primgen.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/util/primgen/abstract_prim.sv.tpl b/hw/ip/prim/util/primgen/abstract_prim.sv.tpl index 46f9911ee1438..9b3432a3fecea 100644 --- a/hw/ip/prim/util/primgen/abstract_prim.sv.tpl +++ b/hw/ip/prim/util/primgen/abstract_prim.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/util/primgen/prim_pkg.core.tpl b/hw/ip/prim/util/primgen/prim_pkg.core.tpl index 47483155786d8..b51a34c5e00fc 100644 --- a/hw/ip/prim/util/primgen/prim_pkg.core.tpl +++ b/hw/ip/prim/util/primgen/prim_pkg.core.tpl @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:prim_abstract:prim_pkg:0.1" diff --git a/hw/ip/prim/util/primgen/prim_pkg.sv.tpl b/hw/ip/prim/util/primgen/prim_pkg.sv.tpl index 7974e5ad883d8..def5d496250c0 100644 --- a/hw/ip/prim/util/primgen/prim_pkg.sv.tpl +++ b/hw/ip/prim/util/primgen/prim_pkg.sv.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson b/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson index dc471725b3be5..e215964e7e520 100644 --- a/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson +++ b/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson b/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson index 0aeafcabaff57..db0b403b852fe 100644 --- a/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson +++ b/hw/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/prim_generic/BUILD b/hw/ip/prim_generic/BUILD index c87fb863cc8ab..f42854cd1b80c 100644 --- a/hw/ip/prim_generic/BUILD +++ b/hw/ip/prim_generic/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_buf.vlt b/hw/ip/prim_generic/lint/prim_generic_clock_buf.vlt index 4408716d43f8a..c61d4c6ea4579 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_buf.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_clock_buf.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_buf.waiver b/hw/ip/prim_generic/lint/prim_generic_clock_buf.waiver index aad9fb1546b25..89ab9b8ffb29d 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_buf.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_clock_buf.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_div.waiver b/hw/ip/prim_generic/lint/prim_generic_clock_div.waiver index ada8d2b0e3701..d748ccb33db5d 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_div.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_clock_div.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_gating.vlt b/hw/ip/prim_generic/lint/prim_generic_clock_gating.vlt index c38cafc50b40e..2c6c5af87cebb 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_gating.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_clock_gating.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_gating.waiver b/hw/ip/prim_generic/lint/prim_generic_clock_gating.waiver index 469cd42b819e5..1eb8561142030 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_gating.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_clock_gating.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_mux2.vlt b/hw/ip/prim_generic/lint/prim_generic_clock_mux2.vlt index b7a50c7da0fb8..d62099a9af538 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_mux2.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_clock_mux2.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_clock_mux2.waiver b/hw/ip/prim_generic/lint/prim_generic_clock_mux2.waiver index d69c7f74b5b7c..42d76a8dfa003 100644 --- a/hw/ip/prim_generic/lint/prim_generic_clock_mux2.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_clock_mux2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_flash.vlt b/hw/ip/prim_generic/lint/prim_generic_flash.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_generic/lint/prim_generic_flash.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_flash.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_flash.waiver b/hw/ip/prim_generic/lint/prim_generic_flash.waiver index c9602d5967ce6..d733a25619b09 100644 --- a/hw/ip/prim_generic/lint/prim_generic_flash.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_flash.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_otp.vlt b/hw/ip/prim_generic/lint/prim_generic_otp.vlt index 9e469b8a04dd3..3aa735e9887e3 100644 --- a/hw/ip/prim_generic/lint/prim_generic_otp.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_otp.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_otp.waiver b/hw/ip/prim_generic/lint/prim_generic_otp.waiver index c85cee67f0bbb..c2313ab038aed 100644 --- a/hw/ip/prim_generic/lint/prim_generic_otp.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_otp.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt b/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver b/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver index f04458633915d..e9e9acd1ab272 100644 --- a/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_1p.vlt b/hw/ip/prim_generic/lint/prim_generic_ram_1p.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_1p.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_ram_1p.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_1p.waiver b/hw/ip/prim_generic/lint/prim_generic_ram_1p.waiver index 3a08d90bd3262..997c72c77cccc 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_1p.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_ram_1p.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.vlt b/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.vlt index 700355ae31b10..b0b7717ad0ddf 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.waiver b/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.waiver index 0827cbed13c3b..0a717f45d065a 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_ram_1r1w.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_2p.vlt b/hw/ip/prim_generic/lint/prim_generic_ram_2p.vlt index 2654984e20a8d..c2c00c8c1b7a8 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_2p.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_ram_2p.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_ram_2p.waiver b/hw/ip/prim_generic/lint/prim_generic_ram_2p.waiver index 645745209b31f..69590e898d3a6 100644 --- a/hw/ip/prim_generic/lint/prim_generic_ram_2p.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_ram_2p.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_rom.vlt b/hw/ip/prim_generic/lint/prim_generic_rom.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_generic/lint/prim_generic_rom.vlt +++ b/hw/ip/prim_generic/lint/prim_generic_rom.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/lint/prim_generic_rom.waiver b/hw/ip/prim_generic/lint/prim_generic_rom.waiver index 4a9627ab62d68..351694ba18c7b 100644 --- a/hw/ip/prim_generic/lint/prim_generic_rom.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_rom.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/lint/prim_generic_usb_diff_rx.waiver b/hw/ip/prim_generic/lint/prim_generic_usb_diff_rx.waiver index dfc8626939492..3ae5442bbe341 100644 --- a/hw/ip/prim_generic/lint/prim_generic_usb_diff_rx.waiver +++ b/hw/ip/prim_generic/lint/prim_generic_usb_diff_rx.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_generic/prim_generic_and2.core b/hw/ip/prim_generic/prim_generic_and2.core index f477194fa80a6..35bf0a05b8ba6 100644 --- a/hw/ip/prim_generic/prim_generic_and2.core +++ b/hw/ip/prim_generic/prim_generic_and2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_buf.core b/hw/ip/prim_generic/prim_generic_buf.core index da28569caa50a..1e9380006dee3 100644 --- a/hw/ip/prim_generic/prim_generic_buf.core +++ b/hw/ip/prim_generic/prim_generic_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_clock_buf.core b/hw/ip/prim_generic/prim_generic_clock_buf.core index 04f6ed9f1d8e7..a0527957ea17d 100644 --- a/hw/ip/prim_generic/prim_generic_clock_buf.core +++ b/hw/ip/prim_generic/prim_generic_clock_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_clock_div.core b/hw/ip/prim_generic/prim_generic_clock_div.core index c5092645c8d7a..806654072b0d8 100644 --- a/hw/ip/prim_generic/prim_generic_clock_div.core +++ b/hw/ip/prim_generic/prim_generic_clock_div.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_clock_gating.core b/hw/ip/prim_generic/prim_generic_clock_gating.core index d083e8677749d..c1e878180b117 100644 --- a/hw/ip/prim_generic/prim_generic_clock_gating.core +++ b/hw/ip/prim_generic/prim_generic_clock_gating.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_clock_inv.core b/hw/ip/prim_generic/prim_generic_clock_inv.core index 1108c4674a665..4f48b07a98d94 100644 --- a/hw/ip/prim_generic/prim_generic_clock_inv.core +++ b/hw/ip/prim_generic/prim_generic_clock_inv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_clock_mux2.core b/hw/ip/prim_generic/prim_generic_clock_mux2.core index 6e8ed693c1b2e..f4f343d498a7d 100644 --- a/hw/ip/prim_generic/prim_generic_clock_mux2.core +++ b/hw/ip/prim_generic/prim_generic_clock_mux2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_flash.core b/hw/ip/prim_generic/prim_generic_flash.core index 29afdf8f4d6b4..07df84d140f44 100644 --- a/hw/ip/prim_generic/prim_generic_flash.core +++ b/hw/ip/prim_generic/prim_generic_flash.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_flop.core b/hw/ip/prim_generic/prim_generic_flop.core index 6a3a6abcebf13..c66701bc1adb4 100644 --- a/hw/ip/prim_generic/prim_generic_flop.core +++ b/hw/ip/prim_generic/prim_generic_flop.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_flop_en.core b/hw/ip/prim_generic/prim_generic_flop_en.core index 4206003cb4bb6..8e39916ee5880 100644 --- a/hw/ip/prim_generic/prim_generic_flop_en.core +++ b/hw/ip/prim_generic/prim_generic_flop_en.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_otp.core b/hw/ip/prim_generic/prim_generic_otp.core index 7c548275ad755..477a76c75322a 100644 --- a/hw/ip/prim_generic/prim_generic_otp.core +++ b/hw/ip/prim_generic/prim_generic_otp.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_pad_attr.core b/hw/ip/prim_generic/prim_generic_pad_attr.core index 89fe33baac78e..0629996c26265 100644 --- a/hw/ip/prim_generic/prim_generic_pad_attr.core +++ b/hw/ip/prim_generic/prim_generic_pad_attr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_pad_wrapper.core b/hw/ip/prim_generic/prim_generic_pad_wrapper.core index 3a374820cda23..ab7f0a1c737ba 100644 --- a/hw/ip/prim_generic/prim_generic_pad_wrapper.core +++ b/hw/ip/prim_generic/prim_generic_pad_wrapper.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_ram_1p.core b/hw/ip/prim_generic/prim_generic_ram_1p.core index 1be782c947adb..ea3848b121dac 100644 --- a/hw/ip/prim_generic/prim_generic_ram_1p.core +++ b/hw/ip/prim_generic/prim_generic_ram_1p.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_ram_1r1w.core b/hw/ip/prim_generic/prim_generic_ram_1r1w.core index 1aded35855ddf..2cc6529619fb9 100644 --- a/hw/ip/prim_generic/prim_generic_ram_1r1w.core +++ b/hw/ip/prim_generic/prim_generic_ram_1r1w.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_ram_2p.core b/hw/ip/prim_generic/prim_generic_ram_2p.core index 065962b5b76bc..13c411459d11e 100644 --- a/hw/ip/prim_generic/prim_generic_ram_2p.core +++ b/hw/ip/prim_generic/prim_generic_ram_2p.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_rom.core b/hw/ip/prim_generic/prim_generic_rom.core index a8821e2eab2f7..5bf3b6ce38ea2 100644 --- a/hw/ip/prim_generic/prim_generic_rom.core +++ b/hw/ip/prim_generic/prim_generic_rom.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_usb_diff_rx.core b/hw/ip/prim_generic/prim_generic_usb_diff_rx.core index 12154a977b009..d1c34a6a04a16 100644 --- a/hw/ip/prim_generic/prim_generic_usb_diff_rx.core +++ b/hw/ip/prim_generic/prim_generic_usb_diff_rx.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_xnor2.core b/hw/ip/prim_generic/prim_generic_xnor2.core index 1b7f439b1e003..24e3a125e3267 100644 --- a/hw/ip/prim_generic/prim_generic_xnor2.core +++ b/hw/ip/prim_generic/prim_generic_xnor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/prim_generic_xor2.core b/hw/ip/prim_generic/prim_generic_xor2.core index c97c2690972af..e3cf88c20c430 100644 --- a/hw/ip/prim_generic/prim_generic_xor2.core +++ b/hw/ip/prim_generic/prim_generic_xor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_and2.sv b/hw/ip/prim_generic/rtl/prim_generic_and2.sv index 0b62bef2a4253..df1b65fa3b7ca 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_and2.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_and2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_buf.sv b/hw/ip/prim_generic/rtl/prim_generic_buf.sv index 1b2fbffad4e4a..ede99f1d64062 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_buf.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_clock_buf.sv b/hw/ip/prim_generic/rtl/prim_generic_clock_buf.sv index a0e841ada47cd..d660aab686bfd 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_clock_buf.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_clock_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_clock_div.sv b/hw/ip/prim_generic/rtl/prim_generic_clock_div.sv index c0928565059d1..798aa35ec0e12 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_clock_div.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_clock_div.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_clock_gating.sv b/hw/ip/prim_generic/rtl/prim_generic_clock_gating.sv index d4b64568bf77c..6f80f6e89baa7 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_clock_gating.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_clock_gating.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_clock_inv.sv b/hw/ip/prim_generic/rtl/prim_generic_clock_inv.sv index 31a439cff1e86..2f56d3287fa8a 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_clock_inv.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_clock_inv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_clock_mux2.sv b/hw/ip/prim_generic/rtl/prim_generic_clock_mux2.sv index 143597da5a4f6..85418e0da2d49 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_clock_mux2.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_clock_mux2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_flash.sv b/hw/ip/prim_generic/rtl/prim_generic_flash.sv index df0dea3705e65..2349dd8f2e231 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_flash.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_flash.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_flash_bank.sv b/hw/ip/prim_generic/rtl/prim_generic_flash_bank.sv index b6e0d8b045842..566a2e8fa2084 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_flash_bank.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_flash_bank.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_flop.sv b/hw/ip/prim_generic/rtl/prim_generic_flop.sv index 67b6e8906767e..426b44e042eaf 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_flop.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_flop.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_flop_en.sv b/hw/ip/prim_generic/rtl/prim_generic_flop_en.sv index 3c367b7358ca0..94ca795fca2a1 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_flop_en.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_flop_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_otp.sv b/hw/ip/prim_generic/rtl/prim_generic_otp.sv index 53f982dd53caf..520f5893f58d3 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_otp.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_otp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_pad_attr.sv b/hw/ip/prim_generic/rtl/prim_generic_pad_attr.sv index 1dcfae51a36d5..b30323e232159 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_pad_attr.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_pad_attr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv b/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv index e7da2760549af..bc221470f8a3a 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_ram_1p.sv b/hw/ip/prim_generic/rtl/prim_generic_ram_1p.sv index 8d84e636f4601..d2e835ac33915 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_ram_1p.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_ram_1p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_ram_1r1w.sv b/hw/ip/prim_generic/rtl/prim_generic_ram_1r1w.sv index 396d506db57c0..aafecf8adba8f 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_ram_1r1w.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_ram_1r1w.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_ram_2p.sv b/hw/ip/prim_generic/rtl/prim_generic_ram_2p.sv index 6e1ebdb57ef28..f44e828bfbf44 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_ram_2p.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_ram_2p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_rom.sv b/hw/ip/prim_generic/rtl/prim_generic_rom.sv index 98d02a966fcae..acf5f379ca454 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_rom.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_rom.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv b/hw/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv index b94d39cdc2ba2..a0b8e19c87cb6 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_generic/rtl/prim_generic_xnor2.sv b/hw/ip/prim_generic/rtl/prim_generic_xnor2.sv index 1020f837db2a3..90eb684d2f1c0 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_xnor2.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_xnor2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_generic/rtl/prim_generic_xor2.sv b/hw/ip/prim_generic/rtl/prim_generic_xor2.sv index 8282e8b449015..4f303c7730a8b 100644 --- a/hw/ip/prim_generic/rtl/prim_generic_xor2.sv +++ b/hw/ip/prim_generic/rtl/prim_generic_xor2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/BUILD b/hw/ip/prim_xilinx/BUILD index c87fb863cc8ab..f42854cd1b80c 100644 --- a/hw/ip/prim_xilinx/BUILD +++ b/hw/ip/prim_xilinx/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt b/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver b/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver index 95afe1398f84f..198eeeace1f7f 100644 --- a/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver +++ b/hw/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx/prim_xilinx_and2.core b/hw/ip/prim_xilinx/prim_xilinx_and2.core index 6263541c77d8d..9c86c83f4eafa 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_and2.core +++ b/hw/ip/prim_xilinx/prim_xilinx_and2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_buf.core b/hw/ip/prim_xilinx/prim_xilinx_buf.core index d1e247978d903..bc4e7a2e262bd 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_buf.core +++ b/hw/ip/prim_xilinx/prim_xilinx_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_clock_buf.core b/hw/ip/prim_xilinx/prim_xilinx_clock_buf.core index 7bd93e2570b49..f1bfbe8f126b7 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_clock_buf.core +++ b/hw/ip/prim_xilinx/prim_xilinx_clock_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_clock_gating.core b/hw/ip/prim_xilinx/prim_xilinx_clock_gating.core index 1e1064fb906c4..587c7e5a3589e 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_clock_gating.core +++ b/hw/ip/prim_xilinx/prim_xilinx_clock_gating.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_clock_mux2.core b/hw/ip/prim_xilinx/prim_xilinx_clock_mux2.core index 0ea81cc97148f..5d94cd0c71a14 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_clock_mux2.core +++ b/hw/ip/prim_xilinx/prim_xilinx_clock_mux2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_flop.core b/hw/ip/prim_xilinx/prim_xilinx_flop.core index c49f569208d4d..b94d12c75e912 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_flop.core +++ b/hw/ip/prim_xilinx/prim_xilinx_flop.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_flop_en.core b/hw/ip/prim_xilinx/prim_xilinx_flop_en.core index 6288b766ec4ff..e40394b832c6a 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_flop_en.core +++ b/hw/ip/prim_xilinx/prim_xilinx_flop_en.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_pad_attr.core b/hw/ip/prim_xilinx/prim_xilinx_pad_attr.core index c646927284834..6c1031337b918 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_pad_attr.core +++ b/hw/ip/prim_xilinx/prim_xilinx_pad_attr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_pad_wrapper.core b/hw/ip/prim_xilinx/prim_xilinx_pad_wrapper.core index eb0cc5ec229cb..765845a0bc83f 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_pad_wrapper.core +++ b/hw/ip/prim_xilinx/prim_xilinx_pad_wrapper.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/prim_xilinx_xor2.core b/hw/ip/prim_xilinx/prim_xilinx_xor2.core index 01cad9db7d841..e7f699cf84ba0 100644 --- a/hw/ip/prim_xilinx/prim_xilinx_xor2.core +++ b/hw/ip/prim_xilinx/prim_xilinx_xor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_and2.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_and2.sv index 978aecccf5812..69d8683612d7f 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_and2.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_and2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_buf.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_buf.sv index 2e3915a5088f5..7bdeea9cb7976 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_buf.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv index 8d6f4a31a31c9..51945f4402097 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv index 501db88d560ff..7eaac02a07440 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv index 3e43f57241d51..ee7390d9c2765 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_flop.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_flop.sv index 7ce6adfaa09b3..45deeadeec8d1 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_flop.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_flop.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv index 50d975753848b..c4de058a91bd2 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv index 2b4db6a53ff7b..2df478b9163db 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv index 571e394cd098a..f3d1deae8cf94 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv b/hw/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv index b882f65fb5e72..0eb9c14235d9e 100644 --- a/hw/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv +++ b/hw/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/BUILD b/hw/ip/prim_xilinx_ultrascale/BUILD index c87fb863cc8ab..f42854cd1b80c 100644 --- a/hw/ip/prim_xilinx_ultrascale/BUILD +++ b/hw/ip/prim_xilinx_ultrascale/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.vlt b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.vlt +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.waiver b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.waiver +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_buf.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.vlt b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.vlt +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.waiver b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.waiver +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_gating.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.vlt b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.vlt +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.waiver b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.waiver +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_inv.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.vlt b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.vlt +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.waiver b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.waiver index af90009c05413..1e11afb4e8312 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.waiver +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_clock_mux2.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.vlt b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.vlt +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.waiver b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.waiver index 95afe1398f84f..198eeeace1f7f 100644 --- a/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.waiver +++ b/hw/ip/prim_xilinx_ultrascale/lint/prim_xilinx_ultrascale_pad_wrapper.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_and2.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_and2.core index 51938572d1bf9..61d31548bff88 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_and2.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_and2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_buf.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_buf.core index 795581eb3119c..11ca450bed127 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_buf.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_buf.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_buf.core index 858b4d90cff3c..086ff20487efd 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_buf.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_buf.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_div.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_div.core index 1faeda67c428e..1a24d8c4ef3e4 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_div.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_div.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_gating.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_gating.core index e1287ec2c4922..8440287fe548f 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_gating.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_gating.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_inv.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_inv.core index 553797188eadb..da24344f1ebe5 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_inv.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_inv.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_mux2.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_mux2.core index a06baee7233ce..8159e4c616eed 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_mux2.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_clock_mux2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop.core index 8b563f0538da3..a85e3be191a8f 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop_en.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop_en.core index 547801467481e..8b79324323b00 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop_en.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_flop_en.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_attr.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_attr.core index 9ce717d86716c..4a205e5eb037f 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_attr.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_attr.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_wrapper.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_wrapper.core index a5e4b665a69b0..4356a9f661db7 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_wrapper.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_pad_wrapper.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_xor2.core b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_xor2.core index 98adac433df43..3b14815dcdfd0 100644 --- a/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_xor2.core +++ b/hw/ip/prim_xilinx_ultrascale/prim_xilinx_ultrascale_xor2.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_and2.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_and2.sv index 5fbb9dbff16fe..a2d3b5fd2769c 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_and2.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_and2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_buf.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_buf.sv index 349302e3febf2..2a4a7436c32cf 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_buf.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_buf.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_buf.sv index f3467f9671157..773505b626317 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_buf.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_buf.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_div.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_div.sv index e15d49c573b2b..1787584fe2142 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_div.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_div.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_gating.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_gating.sv index 498822b21d947..c554e71eee5f5 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_gating.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_gating.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_inv.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_inv.sv index b5f1d695bfc9e..581dbc3c35210 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_inv.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_inv.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_mux2.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_mux2.sv index ae39a0777083a..b6341d6edc696 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_mux2.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_clock_mux2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop.sv index a76621d1741d7..1cc12692c8405 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop_en.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop_en.sv index 383994303b474..f1acacda6f54e 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop_en.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_flop_en.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_attr.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_attr.sv index 504a6d1a55deb..19235e2aa85ec 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_attr.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_attr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_wrapper.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_wrapper.sv index ff118682e5b53..77d23ad9beb9a 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_wrapper.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_pad_wrapper.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_xor2.sv b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_xor2.sv index 34a157a2c008b..373ba583088da 100644 --- a/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_xor2.sv +++ b/hw/ip/prim_xilinx_ultrascale/rtl/prim_xilinx_ultrascale_xor2.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/BUILD b/hw/ip/pwm/BUILD index f331d57d41bbd..e653f86ff5526 100644 --- a/hw/ip/pwm/BUILD +++ b/hw/ip/pwm/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/data/BUILD b/hw/ip/pwm/data/BUILD index 937d077355bac..901bbefde7f99 100644 --- a/hw/ip/pwm/data/BUILD +++ b/hw/ip/pwm/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/data/pwm.hjson b/hw/ip/pwm/data/pwm.hjson index cb2d7bc83c10f..5ebacb6c6cefa 100644 --- a/hw/ip/pwm/data/pwm.hjson +++ b/hw/ip/pwm/data/pwm.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pwm/data/pwm_sec_cm_testplan.hjson b/hw/ip/pwm/data/pwm_sec_cm_testplan.hjson index ef4751216a0b1..fd13e7a81122f 100644 --- a/hw/ip/pwm/data/pwm_sec_cm_testplan.hjson +++ b/hw/ip/pwm/data/pwm_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/data/pwm_testplan.hjson b/hw/ip/pwm/data/pwm_testplan.hjson index 98093f5d65ae7..948d5db5a6215 100644 --- a/hw/ip/pwm/data/pwm_testplan.hjson +++ b/hw/ip/pwm/data/pwm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pwm/dv/cov/pwm_cov.core b/hw/ip/pwm/dv/cov/pwm_cov.core index b94f1ad308773..6ff493a92252c 100644 --- a/hw/ip/pwm/dv/cov/pwm_cov.core +++ b/hw/ip/pwm/dv/cov/pwm_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_cov:0.1" diff --git a/hw/ip/pwm/dv/cov/pwm_cov_bind.sv b/hw/ip/pwm/dv/cov/pwm_cov_bind.sv index 1156bb84d1c91..951467053c679 100644 --- a/hw/ip/pwm/dv/cov/pwm_cov_bind.sv +++ b/hw/ip/pwm/dv/cov/pwm_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pwm/dv/cov/pwm_cov_if.sv b/hw/ip/pwm/dv/cov/pwm_cov_if.sv index e8d71120bb446..27627bc4ed497 100644 --- a/hw/ip/pwm/dv/cov/pwm_cov_if.sv +++ b/hw/ip/pwm/dv/cov/pwm_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pwm/dv/cov/pwm_unr_excl.vRefine b/hw/ip/pwm/dv/cov/pwm_unr_excl.vRefine index 4694accf9780d..bb59919ec630b 100644 --- a/hw/ip/pwm/dv/cov/pwm_unr_excl.vRefine +++ b/hw/ip/pwm/dv/cov/pwm_unr_excl.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/pwm/dv/env/pwm_env.core b/hw/ip/pwm/dv/env/pwm_env.core index 1a09d17dcb491..475f8ce3daa54 100644 --- a/hw/ip/pwm/dv/env/pwm_env.core +++ b/hw/ip/pwm/dv/env/pwm_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_env:0.1" diff --git a/hw/ip/pwm/dv/env/pwm_env.sv b/hw/ip/pwm/dv/env/pwm_env.sv index 2ec8369a946b1..e7f12f40be672 100644 --- a/hw/ip/pwm/dv/env/pwm_env.sv +++ b/hw/ip/pwm/dv/env/pwm_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_env_cfg.sv b/hw/ip/pwm/dv/env/pwm_env_cfg.sv index 0af12d72ecd53..694ef62339cd0 100644 --- a/hw/ip/pwm/dv/env/pwm_env_cfg.sv +++ b/hw/ip/pwm/dv/env/pwm_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_env_cov.sv b/hw/ip/pwm/dv/env/pwm_env_cov.sv index 2d9941a237abc..9ad54fe170cd2 100644 --- a/hw/ip/pwm/dv/env/pwm_env_cov.sv +++ b/hw/ip/pwm/dv/env/pwm_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_env_pkg.sv b/hw/ip/pwm/dv/env/pwm_env_pkg.sv index 9c8b5f495dc22..6121d1701c542 100644 --- a/hw/ip/pwm/dv/env/pwm_env_pkg.sv +++ b/hw/ip/pwm/dv/env/pwm_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_scoreboard.sv b/hw/ip/pwm/dv/env/pwm_scoreboard.sv index 47c71314902e9..042634b98cc3b 100644 --- a/hw/ip/pwm/dv/env/pwm_scoreboard.sv +++ b/hw/ip/pwm/dv/env/pwm_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_seq_cfg.sv b/hw/ip/pwm/dv/env/pwm_seq_cfg.sv index 76b6afd416dc8..63cf7dc804f63 100644 --- a/hw/ip/pwm/dv/env/pwm_seq_cfg.sv +++ b/hw/ip/pwm/dv/env/pwm_seq_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/pwm_virtual_sequencer.sv b/hw/ip/pwm/dv/env/pwm_virtual_sequencer.sv index e338fa4cc1c83..b46812da9d41e 100644 --- a/hw/ip/pwm/dv/env/pwm_virtual_sequencer.sv +++ b/hw/ip/pwm/dv/env/pwm_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_base_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_base_vseq.sv index 021b1d9f2ac16..15d00075db1ad 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_base_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_common_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_common_vseq.sv index 0a6a59e1fa6f8..aa956d0d03e26 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_common_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_perf_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_perf_vseq.sv index cc264ce3698b4..75bbf0f2e9f7e 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_perf_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_perf_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_rand_output_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_rand_output_vseq.sv index 4b48f076a6ddf..b3abec688e8f6 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_rand_output_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_rand_output_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_smoke_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_smoke_vseq.sv index 46e8b3f8fcc93..c3bb1244c166a 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_smoke_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_stress_all_vseq.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_stress_all_vseq.sv index f2f3eee7ee311..dfbedb6ca78c2 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_stress_all_vseq.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/env/seq_lib/pwm_vseq_list.sv b/hw/ip/pwm/dv/env/seq_lib/pwm_vseq_list.sv index 39ff42a5b22d3..ac7013b5e3262 100644 --- a/hw/ip/pwm/dv/env/seq_lib/pwm_vseq_list.sv +++ b/hw/ip/pwm/dv/env/seq_lib/pwm_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/pwm_sim.core b/hw/ip/pwm/dv/pwm_sim.core index f62f7c11ef597..ad79a35f3322c 100644 --- a/hw/ip/pwm/dv/pwm_sim.core +++ b/hw/ip/pwm/dv/pwm_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_sim:0.1" diff --git a/hw/ip/pwm/dv/pwm_sim_cfg.hjson b/hw/ip/pwm/dv/pwm_sim_cfg.hjson index cd0f38bddbe2e..44503dcac68e5 100644 --- a/hw/ip/pwm/dv/pwm_sim_cfg.hjson +++ b/hw/ip/pwm/dv/pwm_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/pwm/dv/sva/pwm_bind.sv b/hw/ip/pwm/dv/sva/pwm_bind.sv index fb6e15e8494d3..1b1eb92ca26b2 100644 --- a/hw/ip/pwm/dv/sva/pwm_bind.sv +++ b/hw/ip/pwm/dv/sva/pwm_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/sva/pwm_sva.core b/hw/ip/pwm/dv/sva/pwm_sva.core index 8210afcc493ec..d2c7aa4b49b26 100644 --- a/hw/ip/pwm/dv/sva/pwm_sva.core +++ b/hw/ip/pwm/dv/sva/pwm_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_sva:0.1" diff --git a/hw/ip/pwm/dv/tb.sv b/hw/ip/pwm/dv/tb.sv index 23884ab0b3198..5f007e89c46dd 100644 --- a/hw/ip/pwm/dv/tb.sv +++ b/hw/ip/pwm/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pwm/dv/tests/pwm_base_test.sv b/hw/ip/pwm/dv/tests/pwm_base_test.sv index 826647a63ade6..b6835f0894c60 100644 --- a/hw/ip/pwm/dv/tests/pwm_base_test.sv +++ b/hw/ip/pwm/dv/tests/pwm_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/dv/tests/pwm_test.core b/hw/ip/pwm/dv/tests/pwm_test.core index 8e249f16744cd..3f1fc01189d45 100644 --- a/hw/ip/pwm/dv/tests/pwm_test.core +++ b/hw/ip/pwm/dv/tests/pwm_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:pwm_test:0.1" diff --git a/hw/ip/pwm/dv/tests/pwm_test_pkg.sv b/hw/ip/pwm/dv/tests/pwm_test_pkg.sv index dbe3cd04e0e6c..0dacbeccaec89 100644 --- a/hw/ip/pwm/dv/tests/pwm_test_pkg.sv +++ b/hw/ip/pwm/dv/tests/pwm_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/lint/pwm.vlt b/hw/ip/pwm/lint/pwm.vlt index 02c518ce0b792..9b3e60e1153f6 100644 --- a/hw/ip/pwm/lint/pwm.vlt +++ b/hw/ip/pwm/lint/pwm.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/lint/pwm.waiver b/hw/ip/pwm/lint/pwm.waiver index dbe9df4f05729..f7d466897de70 100644 --- a/hw/ip/pwm/lint/pwm.waiver +++ b/hw/ip/pwm/lint/pwm.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/pwm/pwm.core b/hw/ip/pwm/pwm.core index 6d295f98c312b..3d18758715b80 100644 --- a/hw/ip/pwm/pwm.core +++ b/hw/ip/pwm/pwm.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:pwm:0.1" diff --git a/hw/ip/pwm/rtl/pwm.sv b/hw/ip/pwm/rtl/pwm.sv index bf64132fda084..21925e99a77c9 100644 --- a/hw/ip/pwm/rtl/pwm.sv +++ b/hw/ip/pwm/rtl/pwm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/rtl/pwm_chan.sv b/hw/ip/pwm/rtl/pwm_chan.sv index 8ab2fe1f99a95..6d591c466d3f6 100644 --- a/hw/ip/pwm/rtl/pwm_chan.sv +++ b/hw/ip/pwm/rtl/pwm_chan.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/pwm/rtl/pwm_core.sv b/hw/ip/pwm/rtl/pwm_core.sv index 909092782ec34..76a73cc59a786 100644 --- a/hw/ip/pwm/rtl/pwm_core.sv +++ b/hw/ip/pwm/rtl/pwm_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pwm/rtl/pwm_reg_pkg.sv b/hw/ip/pwm/rtl/pwm_reg_pkg.sv index f1360041078aa..696f2989320c2 100644 --- a/hw/ip/pwm/rtl/pwm_reg_pkg.sv +++ b/hw/ip/pwm/rtl/pwm_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/pwm/rtl/pwm_reg_top.sv b/hw/ip/pwm/rtl/pwm_reg_top.sv index fce02cd93123c..2d1eee529d2ee 100644 --- a/hw/ip/pwm/rtl/pwm_reg_top.sv +++ b/hw/ip/pwm/rtl/pwm_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/BUILD b/hw/ip/rom_ctrl/BUILD index c96ffa414deaf..a1221550514cb 100644 --- a/hw/ip/rom_ctrl/BUILD +++ b/hw/ip/rom_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/data/BUILD b/hw/ip/rom_ctrl/data/BUILD index a50fd9704d1b3..5ef1ef3de3797 100644 --- a/hw/ip/rom_ctrl/data/BUILD +++ b/hw/ip/rom_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/data/rom_ctrl.hjson b/hw/ip/rom_ctrl/data/rom_ctrl.hjson index 7e7da8d1e38db..d022bedd4ca62 100644 --- a/hw/ip/rom_ctrl/data/rom_ctrl.hjson +++ b/hw/ip/rom_ctrl/data/rom_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rom_ctrl/data/rom_ctrl_sec_cm_testplan.hjson b/hw/ip/rom_ctrl/data/rom_ctrl_sec_cm_testplan.hjson index 49da9b37f25bb..4fcc62ad4f152 100644 --- a/hw/ip/rom_ctrl/data/rom_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/rom_ctrl/data/rom_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/data/rom_ctrl_testplan.hjson b/hw/ip/rom_ctrl/data/rom_ctrl_testplan.hjson index 4c8b294b3ad68..7cb17824993c9 100644 --- a/hw/ip/rom_ctrl/data/rom_ctrl_testplan.hjson +++ b/hw/ip/rom_ctrl/data/rom_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov.core b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov.core index e8adce581dbe1..a24281251fe8d 100644 --- a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov.core +++ b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rom_ctrl_cov" diff --git a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_bind.sv b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_bind.sv index 25760e6023807..1d36c0bc1d1ba 100644 --- a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_bind.sv +++ b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_excl.el b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_excl.el index 166ed7bb27797..c7714fedfea57 100644 --- a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_excl.el +++ b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_if.sv b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_if.sv index 7f579bb614fc3..b0c591f2c386c 100644 --- a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_if.sv +++ b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_unr_excl.el b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_unr_excl.el index 2d5c634182e1d..88d6155abb433 100644 --- a/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_unr_excl.el +++ b/hw/ip/rom_ctrl/dv/cov/rom_ctrl_cov_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.core b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.core index 36522d77c853e..6dd4f8cf95eb4 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.core +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rom_ctrl_env:0.1" diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.sv index 8490482c44a1a..7498bf34e417a 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cfg.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cfg.sv index c352ee4b5e49e..a1a05e4a5416e 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cfg.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cov.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cov.sv index 52665e7c88d06..57478f09e8f71 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cov.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_pkg.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_pkg.sv index 0b54fe3890360..8b446d56a9d80 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_pkg.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_if.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_if.sv index 33de49b92086c..03ace5696b8a8 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_if.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_prim_ral_pkg.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_prim_ral_pkg.sv index f01e554260092..17b564c13a6ff 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_prim_ral_pkg.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_prim_ral_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_scoreboard.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_scoreboard.sv index 84c08d96cb375..73354d6928c61 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_scoreboard.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/rom_ctrl_virtual_sequencer.sv b/hw/ip/rom_ctrl/dv/env/rom_ctrl_virtual_sequencer.sv index e44246dd99d2e..4bbdccf539406 100644 --- a/hw/ip/rom_ctrl/dv/env/rom_ctrl_virtual_sequencer.sv +++ b/hw/ip/rom_ctrl/dv/env/rom_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_base_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_base_vseq.sv index ea1962d2acd75..37b3766dd501e 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_base_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_common_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_common_vseq.sv index 63578aaecd526..d77b58960bf03 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_common_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_corrupt_sig_fatal_chk_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_corrupt_sig_fatal_chk_vseq.sv index 1d291e9919e26..dc5577bf453f6 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_corrupt_sig_fatal_chk_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_corrupt_sig_fatal_chk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_kmac_err_chk_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_kmac_err_chk_vseq.sv index 8be8054f4345b..c6370064f544b 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_kmac_err_chk_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_kmac_err_chk_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_smoke_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_smoke_vseq.sv index 1db8239063243..29bbf30415e06 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_smoke_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_stress_all_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_stress_all_vseq.sv index 2dfe7a499c15b..2b0a908294cd1 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_stress_all_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_throughput_vseq.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_throughput_vseq.sv index 5cca7e2d01e17..ff38496988621 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_throughput_vseq.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_throughput_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_vseq_list.sv b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_vseq_list.sv index bbadb90cf1e90..ee9d8c7d8912f 100644 --- a/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_vseq_list.sv +++ b/hw/ip/rom_ctrl/dv/env/seq_lib/rom_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/rom_ctrl_32kB_sim_cfg.hjson b/hw/ip/rom_ctrl/dv/rom_ctrl_32kB_sim_cfg.hjson index 8dffea5a4fc08..941e953bde562 100644 --- a/hw/ip/rom_ctrl/dv/rom_ctrl_32kB_sim_cfg.hjson +++ b/hw/ip/rom_ctrl/dv/rom_ctrl_32kB_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/rom_ctrl_64kB_sim_cfg.hjson b/hw/ip/rom_ctrl/dv/rom_ctrl_64kB_sim_cfg.hjson index 8f269954f2990..6d142edeca2f0 100644 --- a/hw/ip/rom_ctrl/dv/rom_ctrl_64kB_sim_cfg.hjson +++ b/hw/ip/rom_ctrl/dv/rom_ctrl_64kB_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/rom_ctrl_base_sim_cfg.hjson b/hw/ip/rom_ctrl/dv/rom_ctrl_base_sim_cfg.hjson index c95ab27f53739..5968976be3dcc 100644 --- a/hw/ip/rom_ctrl/dv/rom_ctrl_base_sim_cfg.hjson +++ b/hw/ip/rom_ctrl/dv/rom_ctrl_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rom_ctrl/dv/rom_ctrl_sim.core b/hw/ip/rom_ctrl/dv/rom_ctrl_sim.core index cd9c4290be919..255a2906d0c7e 100644 --- a/hw/ip/rom_ctrl/dv/rom_ctrl_sim.core +++ b/hw/ip/rom_ctrl/dv/rom_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rom_ctrl_sim:0.1" diff --git a/hw/ip/rom_ctrl/dv/sva/rom_ctrl_bind.sv b/hw/ip/rom_ctrl/dv/sva/rom_ctrl_bind.sv index f8535709449ef..7dd50d8063bab 100644 --- a/hw/ip/rom_ctrl/dv/sva/rom_ctrl_bind.sv +++ b/hw/ip/rom_ctrl/dv/sva/rom_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/sva/rom_ctrl_sva.core b/hw/ip/rom_ctrl/dv/sva/rom_ctrl_sva.core index a71cf3e14b6ea..52be8798885c3 100644 --- a/hw/ip/rom_ctrl/dv/sva/rom_ctrl_sva.core +++ b/hw/ip/rom_ctrl/dv/sva/rom_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rom_ctrl_sva:0.1" diff --git a/hw/ip/rom_ctrl/dv/tb.sv b/hw/ip/rom_ctrl/dv/tb.sv index 0d44d9c388a5c..d8803eed0f2cb 100644 --- a/hw/ip/rom_ctrl/dv/tb.sv +++ b/hw/ip/rom_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_base_test.sv b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_base_test.sv index c22c46ffd1e21..79a3622329442 100644 --- a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_base_test.sv +++ b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test.core b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test.core index 5c37a0a523fcf..28e5ee699e151 100644 --- a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test.core +++ b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rom_ctrl_test:0.1" diff --git a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test_pkg.sv b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test_pkg.sv index 917bf2e694047..b8bf426969258 100644 --- a/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test_pkg.sv +++ b/hw/ip/rom_ctrl/dv/tests/rom_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/lint/rom_ctrl.vlt b/hw/ip/rom_ctrl/lint/rom_ctrl.vlt index affe7509e6b00..ed01d25f06062 100644 --- a/hw/ip/rom_ctrl/lint/rom_ctrl.vlt +++ b/hw/ip/rom_ctrl/lint/rom_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/lint/rom_ctrl.waiver b/hw/ip/rom_ctrl/lint/rom_ctrl.waiver index d3c403c164f39..0e352a5663d76 100644 --- a/hw/ip/rom_ctrl/lint/rom_ctrl.waiver +++ b/hw/ip/rom_ctrl/lint/rom_ctrl.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rom_ctrl.core b/hw/ip/rom_ctrl/rom_ctrl.core index c7b10e023e069..07aa0faf55983 100644 --- a/hw/ip/rom_ctrl/rom_ctrl.core +++ b/hw/ip/rom_ctrl/rom_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rom_ctrl:0.1" diff --git a/hw/ip/rom_ctrl/rom_ctrl_pkg.core b/hw/ip/rom_ctrl/rom_ctrl_pkg.core index 1e61784c98972..f5da62a7bd414 100644 --- a/hw/ip/rom_ctrl/rom_ctrl_pkg.core +++ b/hw/ip/rom_ctrl/rom_ctrl_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rom_ctrl_pkg:0.1" diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl.sv index dc1ad17d41243..2b432a085bae8 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_compare.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_compare.sv index b104380ac5de2..6354f401e2fa1 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_compare.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_compare.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_counter.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_counter.sv index 3b2dd45725084..ba93fbf1ff02c 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_counter.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_counter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_fsm.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_fsm.sv index e8ea6d3d43eea..b13518c706591 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_fsm.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_mux.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_mux.sv index 729a82072db85..4f0f7c14588c2 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_mux.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_mux.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_pkg.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_pkg.sv index dc2701777aa23..344aed3d591a8 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_pkg.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_reg_pkg.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_reg_pkg.sv index f8cae82b3a32c..b214e0b5d4718 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_reg_pkg.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_regs_reg_top.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_regs_reg_top.sv index 8b1847c815fdd..689fe75f700af 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_regs_reg_top.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_regs_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_rom_reg_top.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_rom_reg_top.sv index cfbffc60d7adb..ce97469df5162 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_rom_reg_top.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_rom_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rom_ctrl/rtl/rom_ctrl_scrambled_rom.sv b/hw/ip/rom_ctrl/rtl/rom_ctrl_scrambled_rom.sv index 3456213422bd8..e63f442daa247 100644 --- a/hw/ip/rom_ctrl/rtl/rom_ctrl_scrambled_rom.sv +++ b/hw/ip/rom_ctrl/rtl/rom_ctrl_scrambled_rom.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/BUILD b/hw/ip/rom_ctrl/util/BUILD index 6c4b7183d14b2..76f5fff1ea26c 100644 --- a/hw/ip/rom_ctrl/util/BUILD +++ b/hw/ip/rom_ctrl/util/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/Makefile b/hw/ip/rom_ctrl/util/Makefile index e5668e90f8d92..e804492e9367c 100644 --- a/hw/ip/rom_ctrl/util/Makefile +++ b/hw/ip/rom_ctrl/util/Makefile @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/gen_vivado_mem_image.py b/hw/ip/rom_ctrl/util/gen_vivado_mem_image.py index d76d5410efbe3..9a04c52f54394 100755 --- a/hw/ip/rom_ctrl/util/gen_vivado_mem_image.py +++ b/hw/ip/rom_ctrl/util/gen_vivado_mem_image.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/gen_vivado_mem_image_test.py b/hw/ip/rom_ctrl/util/gen_vivado_mem_image_test.py index ada94dced1435..eda0c20e4e615 100644 --- a/hw/ip/rom_ctrl/util/gen_vivado_mem_image_test.py +++ b/hw/ip/rom_ctrl/util/gen_vivado_mem_image_test.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/mem.py b/hw/ip/rom_ctrl/util/mem.py index 1f96eeb93ea48..f2a6dea2c7280 100644 --- a/hw/ip/rom_ctrl/util/mem.py +++ b/hw/ip/rom_ctrl/util/mem.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rom_ctrl/util/scramble_image.py b/hw/ip/rom_ctrl/util/scramble_image.py index 32ead7239e652..00245d6c5d0ba 100755 --- a/hw/ip/rom_ctrl/util/scramble_image.py +++ b/hw/ip/rom_ctrl/util/scramble_image.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 '''Script for scrambling a ROM image''' diff --git a/hw/ip/rv_core_ibex/BUILD b/hw/ip/rv_core_ibex/BUILD index 5341ae922f5d6..be60e3e6f9406 100644 --- a/hw/ip/rv_core_ibex/BUILD +++ b/hw/ip/rv_core_ibex/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/data/BUILD b/hw/ip/rv_core_ibex/data/BUILD index d6c3d9f70885d..1dbf8c16870ea 100644 --- a/hw/ip/rv_core_ibex/data/BUILD +++ b/hw/ip/rv_core_ibex/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/data/rv_core_ibex.hjson b/hw/ip/rv_core_ibex/data/rv_core_ibex.hjson index 28a2765a00087..df6e0b8047ee0 100644 --- a/hw/ip/rv_core_ibex/data/rv_core_ibex.hjson +++ b/hw/ip/rv_core_ibex/data/rv_core_ibex.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_core_ibex/data/rv_core_ibex_sec_cm_testplan.hjson b/hw/ip/rv_core_ibex/data/rv_core_ibex_sec_cm_testplan.hjson index ae295c8f4a3f4..766f8fc4cebbe 100644 --- a/hw/ip/rv_core_ibex/data/rv_core_ibex_sec_cm_testplan.hjson +++ b/hw/ip/rv_core_ibex/data/rv_core_ibex_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/data/rv_core_ibex_testplan.hjson b/hw/ip/rv_core_ibex/data/rv_core_ibex_testplan.hjson index 1bf9298abef2c..dd1b140ad2af2 100644 --- a/hw/ip/rv_core_ibex/data/rv_core_ibex_testplan.hjson +++ b/hw/ip/rv_core_ibex/data/rv_core_ibex_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_bind.sv b/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_bind.sv index d3176106f4315..b2a842e45c1e4 100644 --- a/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_bind.sv +++ b/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_sva.core b/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_sva.core index d37f464b59b7a..8945daf03870f 100644 --- a/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_sva.core +++ b/hw/ip/rv_core_ibex/dv/sva/rv_core_ibex_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_core_ibex_sva:0.1" diff --git a/hw/ip/rv_core_ibex/lint/rv_core_ibex.waiver b/hw/ip/rv_core_ibex/lint/rv_core_ibex.waiver index 5283b78a3c834..bad4909e2e2ce 100644 --- a/hw/ip/rv_core_ibex/lint/rv_core_ibex.waiver +++ b/hw/ip/rv_core_ibex/lint/rv_core_ibex.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/rv_core_ibex/rtl/ibex_pmp_reset.svh b/hw/ip/rv_core_ibex/rtl/ibex_pmp_reset.svh index 5b0dc133af052..26f468f877300 100644 --- a/hw/ip/rv_core_ibex/rtl/ibex_pmp_reset.svh +++ b/hw/ip/rv_core_ibex/rtl/ibex_pmp_reset.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_addr_trans.sv b/hw/ip/rv_core_ibex/rtl/rv_core_addr_trans.sv index 4838eb9a07c47..3d91a53d4cc4e 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_addr_trans.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_addr_trans.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_ibex.sv b/hw/ip/rv_core_ibex/rtl/rv_core_ibex.sv index 5ada6b607f344..bfb84242d465b 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_ibex.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_ibex.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_cfg_reg_top.sv b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_cfg_reg_top.sv index 255895d907f36..ba60e2bfb958e 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_cfg_reg_top.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_cfg_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_peri.sv b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_peri.sv index 3c76bb34ce334..57180bbed0769 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_peri.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_peri.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_pkg.sv b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_pkg.sv index 0ec0edd24f2a1..5ea7d41c168f1 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_pkg.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_reg_pkg.sv b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_reg_pkg.sv index abb3fa0e13400..089b28cd15f13 100644 --- a/hw/ip/rv_core_ibex/rtl/rv_core_ibex_reg_pkg.sv +++ b/hw/ip/rv_core_ibex/rtl/rv_core_ibex_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_core_ibex/rv_core_ibex.core b/hw/ip/rv_core_ibex/rv_core_ibex.core index f42b88fc968ba..e95dedb2bcb2e 100644 --- a/hw/ip/rv_core_ibex/rv_core_ibex.core +++ b/hw/ip/rv_core_ibex/rv_core_ibex.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rv_core_ibex:0.1" diff --git a/hw/ip/rv_core_ibex/rv_core_ibex_pkg.core b/hw/ip/rv_core_ibex/rv_core_ibex_pkg.core index ececee576caf7..dceb8f0dbde18 100644 --- a/hw/ip/rv_core_ibex/rv_core_ibex_pkg.core +++ b/hw/ip/rv_core_ibex/rv_core_ibex_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rv_core_ibex_pkg:0.1" diff --git a/hw/ip/rv_core_ibex/syn/constraints.sdc b/hw/ip/rv_core_ibex/syn/constraints.sdc index a9e37ba64eadc..0b119da970832 100644 --- a/hw/ip/rv_core_ibex/syn/constraints.sdc +++ b/hw/ip/rv_core_ibex/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/rv_core_ibex/syn/post_elab_gtech.tcl b/hw/ip/rv_core_ibex/syn/post_elab_gtech.tcl index df144b4c3c659..abe1a8c181f27 100644 --- a/hw/ip/rv_core_ibex/syn/post_elab_gtech.tcl +++ b/hw/ip/rv_core_ibex/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/rv_core_ibex/syn/rv_core_ibex_gtech_syn_cfg.hjson b/hw/ip/rv_core_ibex/syn/rv_core_ibex_gtech_syn_cfg.hjson index 89d0c8b0c1f03..6b0564697ac6e 100644 --- a/hw/ip/rv_core_ibex/syn/rv_core_ibex_gtech_syn_cfg.hjson +++ b/hw/ip/rv_core_ibex/syn/rv_core_ibex_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_core_ibex/syn/rv_core_ibex_syn_cfg.hjson b/hw/ip/rv_core_ibex/syn/rv_core_ibex_syn_cfg.hjson index 96f62e48342d1..5ce4e29f4b64b 100644 --- a/hw/ip/rv_core_ibex/syn/rv_core_ibex_syn_cfg.hjson +++ b/hw/ip/rv_core_ibex/syn/rv_core_ibex_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_dm/BUILD b/hw/ip/rv_dm/BUILD index 3541688cd9141..5b40f784cca3c 100644 --- a/hw/ip/rv_dm/BUILD +++ b/hw/ip/rv_dm/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/data/BUILD b/hw/ip/rv_dm/data/BUILD index 36beb77a655e7..dda56de039e27 100644 --- a/hw/ip/rv_dm/data/BUILD +++ b/hw/ip/rv_dm/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/data/rv_dm.hjson b/hw/ip/rv_dm/data/rv_dm.hjson index b4225c1d65c95..95463930c48d5 100644 --- a/hw/ip/rv_dm/data/rv_dm.hjson +++ b/hw/ip/rv_dm/data/rv_dm.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_dm/data/rv_dm_sec_cm_testplan.hjson b/hw/ip/rv_dm/data/rv_dm_sec_cm_testplan.hjson index d2b953161a12b..4911a77b382a3 100644 --- a/hw/ip/rv_dm/data/rv_dm_sec_cm_testplan.hjson +++ b/hw/ip/rv_dm/data/rv_dm_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/data/rv_dm_testplan.hjson b/hw/ip/rv_dm/data/rv_dm_testplan.hjson index d1fe9d797d137..fd53d1a627f62 100644 --- a/hw/ip/rv_dm/data/rv_dm_testplan.hjson +++ b/hw/ip/rv_dm/data/rv_dm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_dm/dv/cov/cover.cfg b/hw/ip/rv_dm/dv/cov/cover.cfg index 9ad4d7e6726d8..d687b71806376 100644 --- a/hw/ip/rv_dm/dv/cov/cover.cfg +++ b/hw/ip/rv_dm/dv/cov/cover.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/cov/cover_reg_top.cfg b/hw/ip/rv_dm/dv/cov/cover_reg_top.cfg index e3315e28f118d..a56603dfa771c 100644 --- a/hw/ip/rv_dm/dv/cov/cover_reg_top.cfg +++ b/hw/ip/rv_dm/dv/cov/cover_reg_top.cfg @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_env.core b/hw/ip/rv_dm/dv/env/rv_dm_env.core index 544ee79d636f2..6beb44667c6bf 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_env.core +++ b/hw/ip/rv_dm/dv/env/rv_dm_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_dm_env:0.1" diff --git a/hw/ip/rv_dm/dv/env/rv_dm_env.sv b/hw/ip/rv_dm/dv/env/rv_dm_env.sv index 66d5538189965..972cd2b2e0172 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_env.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_env_cfg.sv b/hw/ip/rv_dm/dv/env/rv_dm_env_cfg.sv index 2e3ff0cb8501f..d379ff96fb7c8 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_env_cfg.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_env_cov.sv b/hw/ip/rv_dm/dv/env/rv_dm_env_cov.sv index 19f4719d9e73c..7afa9a208df6d 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_env_cov.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_env_pkg.sv b/hw/ip/rv_dm/dv/env/rv_dm_env_pkg.sv index 383c292396762..cacf78e2ace2d 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_env_pkg.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_if.sv b/hw/ip/rv_dm/dv/env/rv_dm_if.sv index b8c60f6337e95..30945f5ea7afc 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_if.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_scoreboard.sv b/hw/ip/rv_dm/dv/env/rv_dm_scoreboard.sv index f162865fe3e95..61d3c077aa5d8 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_scoreboard.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/rv_dm_virtual_sequencer.sv b/hw/ip/rv_dm/dv/env/rv_dm_virtual_sequencer.sv index ce073308dacfc..11b486dfef749 100644 --- a/hw/ip/rv_dm/dv/env/rv_dm_virtual_sequencer.sv +++ b/hw/ip/rv_dm/dv/env/rv_dm_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_abstractcmd_status_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_abstractcmd_status_vseq.sv index 2fbb0ae8dacc5..5bfc1ec6fc8a0 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_abstractcmd_status_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_abstractcmd_status_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_base_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_base_vseq.sv index 940eb40f3ca04..bec9d393ea186 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_base_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_busy_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_busy_vseq.sv index 85c5ba9447e00..64554fa88e9e0 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_busy_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_busy_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_exception_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_exception_vseq.sv index a00dcd9bc1342..003347e4a40b6 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_exception_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_exception_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_halt_resume_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_halt_resume_vseq.sv index 1f88b51e364ac..7629cbd1f1cd5 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_halt_resume_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_halt_resume_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_not_supported_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_not_supported_vseq.sv index 7a59f8a8a383b..d2595bb7a97a6 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_not_supported_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_cmderr_not_supported_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_common_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_common_vseq.sv index 048ea92fa1693..1ae22b5bbdcea 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_common_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_dataaddr_rw_access_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_dataaddr_rw_access_vseq.sv index f79414ff09948..43e7d1e7b4833 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_dataaddr_rw_access_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_dataaddr_rw_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_halt_resume_whereto_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_halt_resume_whereto_vseq.sv index 7fcd1ad20ed0d..107346f6080f8 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_halt_resume_whereto_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_halt_resume_whereto_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_hart_unavail_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_hart_unavail_vseq.sv index 5647d79ed0898..8839d31b9568c 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_hart_unavail_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_hart_unavail_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_csr_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_csr_vseq.sv index e028c616f47c1..de8e712f5b939 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_csr_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_csr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_debug_disabled_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_debug_disabled_vseq.sv index 5c61025909d95..878b2da8fc6f7 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_debug_disabled_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_debug_disabled_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_dm_inactive_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_dm_inactive_vseq.sv index fd76aa32c7fa7..1a1cac9e994e6 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_dm_inactive_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dmi_dm_inactive_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_csr_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_csr_vseq.sv index 19a889d0f8b1c..466f0353eabc6 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_csr_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_csr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_hard_reset_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_hard_reset_vseq.sv index b569ac09b3b50..85322fd1c8bf9 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_hard_reset_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_hard_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_idle_hint_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_idle_hint_vseq.sv index 6b6345995cb4c..91a1b9a298b82 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_idle_hint_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_jtag_dtm_idle_hint_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_halted_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_halted_vseq.sv index ff6d4a3e92b07..5ae0b5e4b847e 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_halted_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_halted_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_resuming_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_resuming_vseq.sv index eadc758c507f7..63140b9e1b0f7 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_resuming_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_mem_tl_access_resuming_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_ndmreset_req_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_ndmreset_req_vseq.sv index 39838abf07699..0635565f34cf3 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_ndmreset_req_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_ndmreset_req_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_busy_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_busy_vseq.sv index 1afddc0f7b4b7..79b7c8d664b45 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_busy_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_busy_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_read_write_execute_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_read_write_execute_vseq.sv index 5031c6b095e0d..3750d419dfc3f 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_read_write_execute_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_progbuf_read_write_execute_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_rom_read_access_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_rom_read_access_vseq.sv index dc26f112b3af0..1b2f5741a87bc 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_rom_read_access_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_rom_read_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_sba_tl_access_vseq_lib.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_sba_tl_access_vseq_lib.sv index 14dd7ebabf656..8c4e5ffe64caf 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_sba_tl_access_vseq_lib.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_sba_tl_access_vseq_lib.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_smoke_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_smoke_vseq.sv index acbe947c0ecce..9e8857fd420c9 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_smoke_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_stress_all_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_stress_all_vseq.sv index c8c32170d14e5..3096ba256f41c 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_stress_all_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_tap_fsm_vseq.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_tap_fsm_vseq.sv index 8e4e55951b282..f083b10f3b12f 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_tap_fsm_vseq.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_tap_fsm_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_vseq_list.sv b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_vseq_list.sv index 1e4406cd832f9..2bd9cd1d01745 100644 --- a/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_vseq_list.sv +++ b/hw/ip/rv_dm/dv/env/seq_lib/rv_dm_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/rv_dm_sim.core b/hw/ip/rv_dm/dv/rv_dm_sim.core index 47e4e1903275f..ef91a64dcc38e 100644 --- a/hw/ip/rv_dm/dv/rv_dm_sim.core +++ b/hw/ip/rv_dm/dv/rv_dm_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_dm_sim:0.1" diff --git a/hw/ip/rv_dm/dv/rv_dm_sim_cfg.hjson b/hw/ip/rv_dm/dv/rv_dm_sim_cfg.hjson index fdcb21b6b0590..553e3605db265 100644 --- a/hw/ip/rv_dm/dv/rv_dm_sim_cfg.hjson +++ b/hw/ip/rv_dm/dv/rv_dm_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_dm/dv/sva/rv_dm_bind.sv b/hw/ip/rv_dm/dv/sva/rv_dm_bind.sv index a013f967277bb..1b5eb5e539f81 100644 --- a/hw/ip/rv_dm/dv/sva/rv_dm_bind.sv +++ b/hw/ip/rv_dm/dv/sva/rv_dm_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/sva/rv_dm_sva.core b/hw/ip/rv_dm/dv/sva/rv_dm_sva.core index e18fd798f88a4..18df710a61c51 100644 --- a/hw/ip/rv_dm/dv/sva/rv_dm_sva.core +++ b/hw/ip/rv_dm/dv/sva/rv_dm_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_dm_sva:0.1" diff --git a/hw/ip/rv_dm/dv/tb.sv b/hw/ip/rv_dm/dv/tb.sv index d4b854f560c02..f8f03477879fc 100644 --- a/hw/ip/rv_dm/dv/tb.sv +++ b/hw/ip/rv_dm/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/dv/tests/rv_dm_base_test.sv b/hw/ip/rv_dm/dv/tests/rv_dm_base_test.sv index 44d5213547980..eca2ff9bbb2e7 100644 --- a/hw/ip/rv_dm/dv/tests/rv_dm_base_test.sv +++ b/hw/ip/rv_dm/dv/tests/rv_dm_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/dv/tests/rv_dm_test.core b/hw/ip/rv_dm/dv/tests/rv_dm_test.core index bb7af2a12197d..af788226b2d9b 100644 --- a/hw/ip/rv_dm/dv/tests/rv_dm_test.core +++ b/hw/ip/rv_dm/dv/tests/rv_dm_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_dm_test:0.1" diff --git a/hw/ip/rv_dm/dv/tests/rv_dm_test_pkg.sv b/hw/ip/rv_dm/dv/tests/rv_dm_test_pkg.sv index 42d573efe4f99..26fa06d54caac 100644 --- a/hw/ip/rv_dm/dv/tests/rv_dm_test_pkg.sv +++ b/hw/ip/rv_dm/dv/tests/rv_dm_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/jtag_pkg.core b/hw/ip/rv_dm/jtag_pkg.core index f45d83c4e23a1..bee5460111560 100644 --- a/hw/ip/rv_dm/jtag_pkg.core +++ b/hw/ip/rv_dm/jtag_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:jtag_pkg:0.1" diff --git a/hw/ip/rv_dm/lint/rv_dm.vlt b/hw/ip/rv_dm/lint/rv_dm.vlt index 315a08e60805f..10659901411da 100644 --- a/hw/ip/rv_dm/lint/rv_dm.vlt +++ b/hw/ip/rv_dm/lint/rv_dm.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/lint/rv_dm.waiver b/hw/ip/rv_dm/lint/rv_dm.waiver index a87a791c18b61..6cf85ff1fec40 100644 --- a/hw/ip/rv_dm/lint/rv_dm.waiver +++ b/hw/ip/rv_dm/lint/rv_dm.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/rv_dm/rtl/jtag_pkg.sv b/hw/ip/rv_dm/rtl/jtag_pkg.sv index 2a67ee07e2ba5..9a8355e8bd4ef 100644 --- a/hw/ip/rv_dm/rtl/jtag_pkg.sv +++ b/hw/ip/rv_dm/rtl/jtag_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/rtl/rv_dm.sv b/hw/ip/rv_dm/rtl/rv_dm.sv index 30af79e1247a1..cb9e2fb192149 100644 --- a/hw/ip/rv_dm/rtl/rv_dm.sv +++ b/hw/ip/rv_dm/rtl/rv_dm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_dm/rtl/rv_dm_mem_reg_top.sv b/hw/ip/rv_dm/rtl/rv_dm_mem_reg_top.sv index 70e16da8f1cdd..1e40a785e882d 100644 --- a/hw/ip/rv_dm/rtl/rv_dm_mem_reg_top.sv +++ b/hw/ip/rv_dm/rtl/rv_dm_mem_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/rtl/rv_dm_pkg.sv b/hw/ip/rv_dm/rtl/rv_dm_pkg.sv index d9b118e6c8dcb..cd70ee0fc9629 100644 --- a/hw/ip/rv_dm/rtl/rv_dm_pkg.sv +++ b/hw/ip/rv_dm/rtl/rv_dm_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/rtl/rv_dm_reg_pkg.sv b/hw/ip/rv_dm/rtl/rv_dm_reg_pkg.sv index 71e070e15fe0f..e1d61bb9eed6c 100644 --- a/hw/ip/rv_dm/rtl/rv_dm_reg_pkg.sv +++ b/hw/ip/rv_dm/rtl/rv_dm_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/rtl/rv_dm_regs_reg_top.sv b/hw/ip/rv_dm/rtl/rv_dm_regs_reg_top.sv index f65b0c983df61..9a12acf6362d1 100644 --- a/hw/ip/rv_dm/rtl/rv_dm_regs_reg_top.sv +++ b/hw/ip/rv_dm/rtl/rv_dm_regs_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_dm/rv_dm.core b/hw/ip/rv_dm/rv_dm.core index 69f92f99a4e64..bed37f7cfbe96 100644 --- a/hw/ip/rv_dm/rv_dm.core +++ b/hw/ip/rv_dm/rv_dm.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rv_dm:0.1" diff --git a/hw/ip/rv_timer/BUILD b/hw/ip/rv_timer/BUILD index 158f1da74125d..fd06fb241b605 100644 --- a/hw/ip/rv_timer/BUILD +++ b/hw/ip/rv_timer/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/data/BUILD b/hw/ip/rv_timer/data/BUILD index 72673ba394850..b0d0984cd92ae 100644 --- a/hw/ip/rv_timer/data/BUILD +++ b/hw/ip/rv_timer/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/data/rv_timer.hjson b/hw/ip/rv_timer/data/rv_timer.hjson index 03152717894b0..89133b3dcb6d6 100644 --- a/hw/ip/rv_timer/data/rv_timer.hjson +++ b/hw/ip/rv_timer/data/rv_timer.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/data/rv_timer.hjson.tpl b/hw/ip/rv_timer/data/rv_timer.hjson.tpl index 548142293f9ad..bf5d2f2d84b7a 100644 --- a/hw/ip/rv_timer/data/rv_timer.hjson.tpl +++ b/hw/ip/rv_timer/data/rv_timer.hjson.tpl @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/data/rv_timer_sec_cm_testplan.hjson b/hw/ip/rv_timer/data/rv_timer_sec_cm_testplan.hjson index 00cc63f33fe65..40962d929a588 100644 --- a/hw/ip/rv_timer/data/rv_timer_sec_cm_testplan.hjson +++ b/hw/ip/rv_timer/data/rv_timer_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/data/rv_timer_testplan.hjson b/hw/ip/rv_timer/data/rv_timer_testplan.hjson index 12d5d2143f8f4..197a05ca9619e 100644 --- a/hw/ip/rv_timer/data/rv_timer_testplan.hjson +++ b/hw/ip/rv_timer/data/rv_timer_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_timer/dv/env/rv_timer_env.core b/hw/ip/rv_timer/dv/env/rv_timer_env.core index 4669628a14227..b1efb02f9e98e 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_env.core +++ b/hw/ip/rv_timer/dv/env/rv_timer_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_timer_env:0.1" diff --git a/hw/ip/rv_timer/dv/env/rv_timer_env.sv b/hw/ip/rv_timer/dv/env/rv_timer_env.sv index 3f6d9a031514f..0b65b027d25e0 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_env.sv +++ b/hw/ip/rv_timer/dv/env/rv_timer_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/rv_timer_env_cfg.sv b/hw/ip/rv_timer/dv/env/rv_timer_env_cfg.sv index 9d2f330185be2..7a51fcf055cd6 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_env_cfg.sv +++ b/hw/ip/rv_timer/dv/env/rv_timer_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/rv_timer_env_cov.sv b/hw/ip/rv_timer/dv/env/rv_timer_env_cov.sv index 2551c634c7b5a..d59d711248bd3 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_env_cov.sv +++ b/hw/ip/rv_timer/dv/env/rv_timer_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/rv_timer_env_pkg.sv b/hw/ip/rv_timer/dv/env/rv_timer_env_pkg.sv index e8d85286fbbff..ba587b4608f5e 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_env_pkg.sv +++ b/hw/ip/rv_timer/dv/env/rv_timer_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/rv_timer_scoreboard.sv b/hw/ip/rv_timer/dv/env/rv_timer_scoreboard.sv index c76d60ff44861..a19ade400985e 100644 --- a/hw/ip/rv_timer/dv/env/rv_timer_scoreboard.sv +++ b/hw/ip/rv_timer/dv/env/rv_timer_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv index c45a3af148275..e56b3721a8a39 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_cfg_update_on_fly_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_cfg_update_on_fly_vseq.sv index edaaa9de37227..3f840b5347a17 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_cfg_update_on_fly_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_cfg_update_on_fly_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_common_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_common_vseq.sv index 538f28391d36f..01b187db528b4 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_common_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_disabled_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_disabled_vseq.sv index 0b009b843da4b..813ff14389307 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_disabled_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_disabled_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_reset_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_reset_vseq.sv index 513c919d6920f..5d868b2da05ab 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_reset_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_vseq.sv index a8f05469b86d0..4180f65a3ed27 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_random_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_stress_all_vseq.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_stress_all_vseq.sv index e1d8cf896492a..6efa51f0cca22 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_stress_all_vseq.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_vseq_list.sv b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_vseq_list.sv index e6646eecef34f..85bd9cde73cb9 100644 --- a/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_vseq_list.sv +++ b/hw/ip/rv_timer/dv/env/seq_lib/rv_timer_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/rv_timer_sim.core b/hw/ip/rv_timer/dv/rv_timer_sim.core index 96b7001abbd8f..0ebed9592f7e9 100644 --- a/hw/ip/rv_timer/dv/rv_timer_sim.core +++ b/hw/ip/rv_timer/dv/rv_timer_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_timer_sim:0.1" diff --git a/hw/ip/rv_timer/dv/rv_timer_sim_cfg.hjson b/hw/ip/rv_timer/dv/rv_timer_sim_cfg.hjson index 139e03550d569..d3a78c47d7738 100644 --- a/hw/ip/rv_timer/dv/rv_timer_sim_cfg.hjson +++ b/hw/ip/rv_timer/dv/rv_timer_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/rv_timer/dv/sva/rv_timer_bind.sv b/hw/ip/rv_timer/dv/sva/rv_timer_bind.sv index ecb9162b6e8a9..cb1ad7dc96c09 100644 --- a/hw/ip/rv_timer/dv/sva/rv_timer_bind.sv +++ b/hw/ip/rv_timer/dv/sva/rv_timer_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/sva/rv_timer_sva.core b/hw/ip/rv_timer/dv/sva/rv_timer_sva.core index 3158188c59b4c..edd79eb738ecb 100644 --- a/hw/ip/rv_timer/dv/sva/rv_timer_sva.core +++ b/hw/ip/rv_timer/dv/sva/rv_timer_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_timer_sva:0.1" diff --git a/hw/ip/rv_timer/dv/tb/tb.sv b/hw/ip/rv_timer/dv/tb/tb.sv index 99154c00d40b1..d70c44c95b80e 100644 --- a/hw/ip/rv_timer/dv/tb/tb.sv +++ b/hw/ip/rv_timer/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/dv/tests/rv_timer_base_test.sv b/hw/ip/rv_timer/dv/tests/rv_timer_base_test.sv index a68b51eb509ea..bcc708d6253ee 100644 --- a/hw/ip/rv_timer/dv/tests/rv_timer_base_test.sv +++ b/hw/ip/rv_timer/dv/tests/rv_timer_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/dv/tests/rv_timer_test.core b/hw/ip/rv_timer/dv/tests/rv_timer_test.core index a69c65c032f54..cd9031a606d84 100644 --- a/hw/ip/rv_timer/dv/tests/rv_timer_test.core +++ b/hw/ip/rv_timer/dv/tests/rv_timer_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:rv_timer_test:0.1" diff --git a/hw/ip/rv_timer/dv/tests/rv_timer_test_pkg.sv b/hw/ip/rv_timer/dv/tests/rv_timer_test_pkg.sv index 475ca2040e4b7..0c53fd6e52e50 100644 --- a/hw/ip/rv_timer/dv/tests/rv_timer_test_pkg.sv +++ b/hw/ip/rv_timer/dv/tests/rv_timer_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/rv_timer/lint/rv_timer.vlt b/hw/ip/rv_timer/lint/rv_timer.vlt index ed26683eecbec..3eb88f3040ba7 100644 --- a/hw/ip/rv_timer/lint/rv_timer.vlt +++ b/hw/ip/rv_timer/lint/rv_timer.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/lint/rv_timer.waiver b/hw/ip/rv_timer/lint/rv_timer.waiver index bf6116f89a974..fea9dacf1d566 100644 --- a/hw/ip/rv_timer/lint/rv_timer.waiver +++ b/hw/ip/rv_timer/lint/rv_timer.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/rv_timer/rtl/rv_timer.sv b/hw/ip/rv_timer/rtl/rv_timer.sv index 3b2bde8a07781..2325d5b4ada5b 100644 --- a/hw/ip/rv_timer/rtl/rv_timer.sv +++ b/hw/ip/rv_timer/rtl/rv_timer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/rtl/rv_timer_reg_pkg.sv b/hw/ip/rv_timer/rtl/rv_timer_reg_pkg.sv index f7aebda83d025..a5386c535b9c1 100644 --- a/hw/ip/rv_timer/rtl/rv_timer_reg_pkg.sv +++ b/hw/ip/rv_timer/rtl/rv_timer_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/rtl/rv_timer_reg_top.sv b/hw/ip/rv_timer/rtl/rv_timer_reg_top.sv index 94bb5bbb9125b..fa6992a78387c 100644 --- a/hw/ip/rv_timer/rtl/rv_timer_reg_top.sv +++ b/hw/ip/rv_timer/rtl/rv_timer_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/rtl/timer_core.sv b/hw/ip/rv_timer/rtl/timer_core.sv index 69230c4c2d2e2..a3b663e8e562e 100644 --- a/hw/ip/rv_timer/rtl/timer_core.sv +++ b/hw/ip/rv_timer/rtl/timer_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/rv_timer/rv_timer.core b/hw/ip/rv_timer/rv_timer.core index 2b2fbbec7d13c..59469652f8762 100644 --- a/hw/ip/rv_timer/rv_timer.core +++ b/hw/ip/rv_timer/rv_timer.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:rv_timer:0.1" diff --git a/hw/ip/rv_timer/util/reg_timer.py b/hw/ip/rv_timer/util/reg_timer.py index 2797e95e077f9..493051b96b972 100755 --- a/hw/ip/rv_timer/util/reg_timer.py +++ b/hw/ip/rv_timer/util/reg_timer.py @@ -1,5 +1,5 @@ #!/usr/bin/env python3 -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 r"""Mako template to Hjson register description diff --git a/hw/ip/spi_device/BUILD b/hw/ip/spi_device/BUILD index bbc8bfc62389f..4a0d0c31905f9 100644 --- a/hw/ip/spi_device/BUILD +++ b/hw/ip/spi_device/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/data/BUILD b/hw/ip/spi_device/data/BUILD index 7b8e4dc9b259a..97e6ff1afea2d 100644 --- a/hw/ip/spi_device/data/BUILD +++ b/hw/ip/spi_device/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/data/spi_device.hjson b/hw/ip/spi_device/data/spi_device.hjson index cc5f5b61f61fa..31cb5fb3bd9e0 100644 --- a/hw/ip/spi_device/data/spi_device.hjson +++ b/hw/ip/spi_device/data/spi_device.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/data/spi_device_sec_cm_testplan.hjson b/hw/ip/spi_device/data/spi_device_sec_cm_testplan.hjson index 6218c86bef646..afe9d80fdd079 100644 --- a/hw/ip/spi_device/data/spi_device_sec_cm_testplan.hjson +++ b/hw/ip/spi_device/data/spi_device_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/data/spi_device_testplan.hjson b/hw/ip/spi_device/data/spi_device_testplan.hjson index 2215d79c4b555..eaf6593ec6054 100644 --- a/hw/ip/spi_device/data/spi_device_testplan.hjson +++ b/hw/ip/spi_device/data/spi_device_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/dv/base_sim_cfg.hjson b/hw/ip/spi_device/dv/base_sim_cfg.hjson index 904717a0ea6ee..b9a5a006131b0 100644 --- a/hw/ip/spi_device/dv/base_sim_cfg.hjson +++ b/hw/ip/spi_device/dv/base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/dv/cov/spi_device_cov_excl.el b/hw/ip/spi_device/dv/cov/spi_device_cov_excl.el index d39c261261458..807c8c401c47c 100644 --- a/hw/ip/spi_device/dv/cov/spi_device_cov_excl.el +++ b/hw/ip/spi_device/dv/cov/spi_device_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_base_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_base_vseq.sv index 10885adc98140..5eeaba3c6a003 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_base_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_cfg_cmd_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_cfg_cmd_vseq.sv index c6b25ee644471..c27c8d3be389d 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_cfg_cmd_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_cfg_cmd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_common_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_common_vseq.sv index c76f1358cd9a7..29b395cebd484 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_common_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_csb_read_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_csb_read_vseq.sv index 6bb667c609d83..e4d71866787a2 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_csb_read_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_csb_read_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_all_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_all_vseq.sv index 28fa076d4319f..39fb1166a24eb 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_all_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_min_idle_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_min_idle_vseq.sv index 38d790dfbe0b0..a54726e5e7dc7 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_min_idle_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_min_idle_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_vseq.sv index 32b16d5fa641d..af508394fd850 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_and_tpm_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_mode_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_mode_vseq.sv index 099077df6b931..36ebd5423df58 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_mode_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_flash_mode_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_intercept_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_intercept_vseq.sv index 6151d379cddfb..beea81497d6c1 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_intercept_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_intercept_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_mailbox_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_mailbox_vseq.sv index 27e684f365ef6..941d2b28d4383 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_mailbox_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_mailbox_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_mem_parity_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_mem_parity_vseq.sv index 36be91baf4c51..826cc00c7459c 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_mem_parity_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_mem_parity_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_addr_payload_swap_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_addr_payload_swap_vseq.sv index d850e307d3dc8..8606c9f04fd00 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_addr_payload_swap_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_addr_payload_swap_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_base_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_base_vseq.sv index 86588804f4d98..33457a2011838 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_base_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_cmd_filtering_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_cmd_filtering_vseq.sv index e4f59cf0dbac0..9e683c506d60d 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_cmd_filtering_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_pass_cmd_filtering_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_ram_cfg_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_ram_cfg_vseq.sv index eb1960d9938bf..8c78705465df7 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_ram_cfg_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_ram_cfg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_read_buffer_direct_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_read_buffer_direct_vseq.sv index bf98563ad2094..f63b226061f8a 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_read_buffer_direct_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_read_buffer_direct_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_stress_all_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_stress_all_vseq.sv index 4844a39fa9bf3..71dbba8d453f9 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_stress_all_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_all_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_all_vseq.sv index b2e2c679f7ad2..1f6e66aa13857 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_all_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_base_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_base_vseq.sv index 0360ce1b2e916..3b6c2f509aa5d 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_base_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_read_hw_reg_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_read_hw_reg_vseq.sv index 85c8de281a605..e9cc1cd6f3a7f 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_read_hw_reg_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_read_hw_reg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_rw_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_rw_vseq.sv index 93c17b229f5d9..970e1e736788b 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_rw_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_rw_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_sts_read_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_sts_read_vseq.sv index abdeee4528626..e858fe4007d88 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_sts_read_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_tpm_sts_read_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_upload_vseq.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_upload_vseq.sv index 5eeb059d5e51a..4462b8b80227b 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_upload_vseq.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_upload_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/seq_lib/spi_device_vseq_list.sv b/hw/ip/spi_device/dv/env/seq_lib/spi_device_vseq_list.sv index 2523bef2ffe16..adc2b1e09e549 100644 --- a/hw/ip/spi_device/dv/env/seq_lib/spi_device_vseq_list.sv +++ b/hw/ip/spi_device/dv/env/seq_lib/spi_device_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_env.core b/hw/ip/spi_device/dv/env/spi_device_env.core index 04e0c3aaa3615..42ab236ba163e 100644 --- a/hw/ip/spi_device/dv/env/spi_device_env.core +++ b/hw/ip/spi_device/dv/env/spi_device_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_device_env:0.1" diff --git a/hw/ip/spi_device/dv/env/spi_device_env.sv b/hw/ip/spi_device/dv/env/spi_device_env.sv index 7a9a95bc711f0..6950c4a85fb82 100644 --- a/hw/ip/spi_device/dv/env/spi_device_env.sv +++ b/hw/ip/spi_device/dv/env/spi_device_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_env_cfg.sv b/hw/ip/spi_device/dv/env/spi_device_env_cfg.sv index f139bb7e66b47..e65beadcf061b 100644 --- a/hw/ip/spi_device/dv/env/spi_device_env_cfg.sv +++ b/hw/ip/spi_device/dv/env/spi_device_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_env_cov.sv b/hw/ip/spi_device/dv/env/spi_device_env_cov.sv index d7e3a204886d7..38a9e5bca8d24 100644 --- a/hw/ip/spi_device/dv/env/spi_device_env_cov.sv +++ b/hw/ip/spi_device/dv/env/spi_device_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_env_pkg.sv b/hw/ip/spi_device/dv/env/spi_device_env_pkg.sv index 67a64cf478647..a91ccc16be526 100644 --- a/hw/ip/spi_device/dv/env/spi_device_env_pkg.sv +++ b/hw/ip/spi_device/dv/env/spi_device_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_scoreboard.sv b/hw/ip/spi_device/dv/env/spi_device_scoreboard.sv index 35fec7013bdca..37c634853387a 100644 --- a/hw/ip/spi_device/dv/env/spi_device_scoreboard.sv +++ b/hw/ip/spi_device/dv/env/spi_device_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/env/spi_device_virtual_sequencer.sv b/hw/ip/spi_device/dv/env/spi_device_virtual_sequencer.sv index b782b78b23946..cd06e46eb56b5 100644 --- a/hw/ip/spi_device/dv/env/spi_device_virtual_sequencer.sv +++ b/hw/ip/spi_device/dv/env/spi_device_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/spi_device_1r1w_sim_cfg.hjson b/hw/ip/spi_device/dv/spi_device_1r1w_sim_cfg.hjson index 530b53598f991..0f0bfd7e8b79a 100644 --- a/hw/ip/spi_device/dv/spi_device_1r1w_sim_cfg.hjson +++ b/hw/ip/spi_device/dv/spi_device_1r1w_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/spi_device_2p_sim_cfg.hjson b/hw/ip/spi_device/dv/spi_device_2p_sim_cfg.hjson index 591cd48594264..87158a3794970 100644 --- a/hw/ip/spi_device/dv/spi_device_2p_sim_cfg.hjson +++ b/hw/ip/spi_device/dv/spi_device_2p_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/spi_device_sim.core b/hw/ip/spi_device/dv/spi_device_sim.core index 54f39e5d173ca..411d0a93c058b 100644 --- a/hw/ip/spi_device/dv/spi_device_sim.core +++ b/hw/ip/spi_device/dv/spi_device_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_device_sim:0.1" diff --git a/hw/ip/spi_device/dv/sva/spi_device_bind.sv b/hw/ip/spi_device/dv/sva/spi_device_bind.sv index 6fca11b698fe6..cbd2fedbc5236 100644 --- a/hw/ip/spi_device/dv/sva/spi_device_bind.sv +++ b/hw/ip/spi_device/dv/sva/spi_device_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/sva/spi_device_sva.core b/hw/ip/spi_device/dv/sva/spi_device_sva.core index 7523b056369e5..7587011a9872b 100644 --- a/hw/ip/spi_device/dv/sva/spi_device_sva.core +++ b/hw/ip/spi_device/dv/sva/spi_device_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_device_sva:0.1" diff --git a/hw/ip/spi_device/dv/tb/tb.sv b/hw/ip/spi_device/dv/tb/tb.sv index 71b2895af1555..8e8722b681131 100644 --- a/hw/ip/spi_device/dv/tb/tb.sv +++ b/hw/ip/spi_device/dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/dv/tests/spi_device_base_test.sv b/hw/ip/spi_device/dv/tests/spi_device_base_test.sv index 27982438ccd43..1ed9a2085c674 100644 --- a/hw/ip/spi_device/dv/tests/spi_device_base_test.sv +++ b/hw/ip/spi_device/dv/tests/spi_device_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/dv/tests/spi_device_test.core b/hw/ip/spi_device/dv/tests/spi_device_test.core index cc89349a0cf8d..9d517c3a95ae6 100644 --- a/hw/ip/spi_device/dv/tests/spi_device_test.core +++ b/hw/ip/spi_device/dv/tests/spi_device_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_device_test:0.1" diff --git a/hw/ip/spi_device/dv/tests/spi_device_test_pkg.sv b/hw/ip/spi_device/dv/tests/spi_device_test_pkg.sv index 4440f2c96d415..0929ca84fa7ad 100644 --- a/hw/ip/spi_device/dv/tests/spi_device_test_pkg.sv +++ b/hw/ip/spi_device/dv/tests/spi_device_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/lint/spi_device.vlt b/hw/ip/spi_device/lint/spi_device.vlt index df04d4a24c101..503c404653a35 100644 --- a/hw/ip/spi_device/lint/spi_device.vlt +++ b/hw/ip/spi_device/lint/spi_device.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/lint/spi_device.waiver b/hw/ip/spi_device/lint/spi_device.waiver index b6ad9e74f35ae..902d1d10bae70 100644 --- a/hw/ip/spi_device/lint/spi_device.waiver +++ b/hw/ip/spi_device/lint/spi_device.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/spi_device/lint/spi_tpm.waiver b/hw/ip/spi_device/lint/spi_tpm.waiver index 06df28af3b2aa..a6eb93a0d7389 100644 --- a/hw/ip/spi_device/lint/spi_tpm.waiver +++ b/hw/ip/spi_device/lint/spi_tpm.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/spi_device/pre_dv/program/prog_passthrough_host.sv b/hw/ip/spi_device/pre_dv/program/prog_passthrough_host.sv index c43baa17c2857..a643ad73cddc9 100644 --- a/hw/ip/spi_device/pre_dv/program/prog_passthrough_host.sv +++ b/hw/ip/spi_device/pre_dv/program/prog_passthrough_host.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/program/prog_passthrough_sw.sv b/hw/ip/spi_device/pre_dv/program/prog_passthrough_sw.sv index c0388a8131278..d9e45b41a6486 100644 --- a/hw/ip/spi_device/pre_dv/program/prog_passthrough_sw.sv +++ b/hw/ip/spi_device/pre_dv/program/prog_passthrough_sw.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/program/spiflash.sv b/hw/ip/spi_device/pre_dv/program/spiflash.sv index 8181d6a6feb73..67c6fe33f4335 100644 --- a/hw/ip/spi_device/pre_dv/program/spiflash.sv +++ b/hw/ip/spi_device/pre_dv/program/spiflash.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/spi_tpm_sim.core b/hw/ip/spi_device/pre_dv/spi_tpm_sim.core index 7fc19b971772f..6408391ca2a18 100644 --- a/hw/ip/spi_device/pre_dv/spi_tpm_sim.core +++ b/hw/ip/spi_device/pre_dv/spi_tpm_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_tpm_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spi_tpm_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spi_tpm_sim_cfg.hjson index 236c96829a1db..de9cb2cae1f95 100644 --- a/hw/ip/spi_device/pre_dv/spi_tpm_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spi_tpm_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/spid_jedec.core b/hw/ip/spi_device/pre_dv/spid_jedec.core index 73a3947e2221d..6d0e8c6dbd62d 100644 --- a/hw/ip/spi_device/pre_dv/spid_jedec.core +++ b/hw/ip/spi_device/pre_dv/spid_jedec.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spid_jedec_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spid_jedec_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spid_jedec_sim_cfg.hjson index 84c0b9c90eac9..cc8f7acb9459d 100644 --- a/hw/ip/spi_device/pre_dv/spid_jedec_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spid_jedec_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/spid_passthrough.core b/hw/ip/spi_device/pre_dv/spid_passthrough.core index f229ded493a35..eca4c19318f9f 100644 --- a/hw/ip/spi_device/pre_dv/spid_passthrough.core +++ b/hw/ip/spi_device/pre_dv/spid_passthrough.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spid_passthrough_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spid_passthrough_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spid_passthrough_sim_cfg.hjson index 432ebd6244945..3125b93eb0745 100644 --- a/hw/ip/spi_device/pre_dv/spid_passthrough_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spid_passthrough_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/spid_readcmd.core b/hw/ip/spi_device/pre_dv/spid_readcmd.core index 85f7dec141b18..f2c5327da7138 100644 --- a/hw/ip/spi_device/pre_dv/spid_readcmd.core +++ b/hw/ip/spi_device/pre_dv/spid_readcmd.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spid_readcmd_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spid_readcmd_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spid_readcmd_sim_cfg.hjson index b288714374044..578f96fbb775b 100644 --- a/hw/ip/spi_device/pre_dv/spid_readcmd_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spid_readcmd_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/spid_status.core b/hw/ip/spi_device/pre_dv/spid_status.core index 696bfe9be82ce..fefd9e9013740 100644 --- a/hw/ip/spi_device/pre_dv/spid_status.core +++ b/hw/ip/spi_device/pre_dv/spid_status.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spid_status_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spid_status_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spid_status_sim_cfg.hjson index 6ab89bd028833..8595d90f507a2 100644 --- a/hw/ip/spi_device/pre_dv/spid_status_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spid_status_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/spid_upload.core b/hw/ip/spi_device/pre_dv/spid_upload.core index 43bff512b9aab..eb2a5467dcd3b 100644 --- a/hw/ip/spi_device/pre_dv/spid_upload.core +++ b/hw/ip/spi_device/pre_dv/spid_upload.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spid_upload_sim:0.1" diff --git a/hw/ip/spi_device/pre_dv/spid_upload_sim_cfg.hjson b/hw/ip/spi_device/pre_dv/spid_upload_sim_cfg.hjson index cf65c6cc980ab..95dc57afcc871 100644 --- a/hw/ip/spi_device/pre_dv/spid_upload_sim_cfg.hjson +++ b/hw/ip/spi_device/pre_dv/spid_upload_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_device/pre_dv/tb/spi_tpm_tb.sv b/hw/ip/spi_device/pre_dv/tb/spi_tpm_tb.sv index 7986d5ad22f1f..e22d2b1372ac4 100644 --- a/hw/ip/spi_device/pre_dv/tb/spi_tpm_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spi_tpm_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_common.sv b/hw/ip/spi_device/pre_dv/tb/spid_common.sv index 854fb9f551327..e185705c76927 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_common.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_common.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_jedec_tb.sv b/hw/ip/spi_device/pre_dv/tb/spid_jedec_tb.sv index 67215d36de3de..f5bbd920fff46 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_jedec_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_jedec_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_passthrough_tb.sv b/hw/ip/spi_device/pre_dv/tb/spid_passthrough_tb.sv index 0c8ea713f3a2f..37627c3fcccac 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_passthrough_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_passthrough_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_readcmd_tb.sv b/hw/ip/spi_device/pre_dv/tb/spid_readcmd_tb.sv index e763a7f92cd8f..445093cdeba3e 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_readcmd_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_readcmd_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_status_tb.sv b/hw/ip/spi_device/pre_dv/tb/spid_status_tb.sv index 619733461ccef..82a396f010f8c 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_status_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_status_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/pre_dv/tb/spid_upload_tb.sv b/hw/ip/spi_device/pre_dv/tb/spid_upload_tb.sv index 8f751715a9a55..b05244ee7e19a 100644 --- a/hw/ip/spi_device/pre_dv/tb/spid_upload_tb.sv +++ b/hw/ip/spi_device/pre_dv/tb/spid_upload_tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_cmdparse.sv b/hw/ip/spi_device/rtl/spi_cmdparse.sv index f9401cad1593a..509b2cc15f557 100644 --- a/hw/ip/spi_device/rtl/spi_cmdparse.sv +++ b/hw/ip/spi_device/rtl/spi_cmdparse.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_device.sv b/hw/ip/spi_device/rtl/spi_device.sv index edda600786c86..72be2d14cf948 100644 --- a/hw/ip/spi_device/rtl/spi_device.sv +++ b/hw/ip/spi_device/rtl/spi_device.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_device_pkg.sv b/hw/ip/spi_device/rtl/spi_device_pkg.sv index c947a99135dcc..32f4bccc0b059 100644 --- a/hw/ip/spi_device/rtl/spi_device_pkg.sv +++ b/hw/ip/spi_device/rtl/spi_device_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_device_reg_pkg.sv b/hw/ip/spi_device/rtl/spi_device_reg_pkg.sv index 656fb0b58070c..3842127c691dd 100644 --- a/hw/ip/spi_device/rtl/spi_device_reg_pkg.sv +++ b/hw/ip/spi_device/rtl/spi_device_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_device_reg_top.sv b/hw/ip/spi_device/rtl/spi_device_reg_top.sv index 1de1867f92def..0c029b9f48355 100644 --- a/hw/ip/spi_device/rtl/spi_device_reg_top.sv +++ b/hw/ip/spi_device/rtl/spi_device_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_p2s.sv b/hw/ip/spi_device/rtl/spi_p2s.sv index 55fb0c20fa550..5b3a9b1618017 100644 --- a/hw/ip/spi_device/rtl/spi_p2s.sv +++ b/hw/ip/spi_device/rtl/spi_p2s.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_passthrough.sv b/hw/ip/spi_device/rtl/spi_passthrough.sv index 1eb4eeb351bdc..afb68574f9981 100644 --- a/hw/ip/spi_device/rtl/spi_passthrough.sv +++ b/hw/ip/spi_device/rtl/spi_passthrough.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_readcmd.sv b/hw/ip/spi_device/rtl/spi_readcmd.sv index 4b4a5e82551f7..c7c2819570a6b 100644 --- a/hw/ip/spi_device/rtl/spi_readcmd.sv +++ b/hw/ip/spi_device/rtl/spi_readcmd.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_s2p.sv b/hw/ip/spi_device/rtl/spi_s2p.sv index 040f020aeb695..3ff51121197f9 100644 --- a/hw/ip/spi_device/rtl/spi_s2p.sv +++ b/hw/ip/spi_device/rtl/spi_s2p.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spi_tpm.sv b/hw/ip/spi_device/rtl/spi_tpm.sv index eab911e88d18f..00d80e94c2618 100644 --- a/hw/ip/spi_device/rtl/spi_tpm.sv +++ b/hw/ip/spi_device/rtl/spi_tpm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_addr_4b.sv b/hw/ip/spi_device/rtl/spid_addr_4b.sv index 6fe6cb1be4c0c..a2c431b3a0662 100644 --- a/hw/ip/spi_device/rtl/spid_addr_4b.sv +++ b/hw/ip/spi_device/rtl/spid_addr_4b.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_csb_sync.sv b/hw/ip/spi_device/rtl/spid_csb_sync.sv index 32e0f70789fbc..86615210fb342 100644 --- a/hw/ip/spi_device/rtl/spid_csb_sync.sv +++ b/hw/ip/spi_device/rtl/spid_csb_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_device/rtl/spid_dpram.sv b/hw/ip/spi_device/rtl/spid_dpram.sv index 30f748f089836..646cc3e58534e 100644 --- a/hw/ip/spi_device/rtl/spid_dpram.sv +++ b/hw/ip/spi_device/rtl/spid_dpram.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_fifo2sram_adapter.sv b/hw/ip/spi_device/rtl/spid_fifo2sram_adapter.sv index 9017bbd908335..30cc9996f174f 100644 --- a/hw/ip/spi_device/rtl/spid_fifo2sram_adapter.sv +++ b/hw/ip/spi_device/rtl/spid_fifo2sram_adapter.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_jedec.sv b/hw/ip/spi_device/rtl/spid_jedec.sv index cf1ce407e754c..2c8f0a63d5030 100644 --- a/hw/ip/spi_device/rtl/spid_jedec.sv +++ b/hw/ip/spi_device/rtl/spid_jedec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_readbuffer.sv b/hw/ip/spi_device/rtl/spid_readbuffer.sv index a59ad38e25b30..2a59337acba42 100644 --- a/hw/ip/spi_device/rtl/spid_readbuffer.sv +++ b/hw/ip/spi_device/rtl/spid_readbuffer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_readsram.sv b/hw/ip/spi_device/rtl/spid_readsram.sv index 73b8baee42256..fb5640e51d2b1 100644 --- a/hw/ip/spi_device/rtl/spid_readsram.sv +++ b/hw/ip/spi_device/rtl/spid_readsram.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_status.sv b/hw/ip/spi_device/rtl/spid_status.sv index 12dcfebbc2f6f..edc9024f83387 100644 --- a/hw/ip/spi_device/rtl/spid_status.sv +++ b/hw/ip/spi_device/rtl/spid_status.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/rtl/spid_upload.sv b/hw/ip/spi_device/rtl/spid_upload.sv index cc55dca4a371d..6e802b450c80b 100644 --- a/hw/ip/spi_device/rtl/spid_upload.sv +++ b/hw/ip/spi_device/rtl/spid_upload.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_device/spi_device.core b/hw/ip/spi_device/spi_device.core index 31ff784f65665..c63f39b06331c 100644 --- a/hw/ip/spi_device/spi_device.core +++ b/hw/ip/spi_device/spi_device.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:spi_device:0.1" diff --git a/hw/ip/spi_device/spi_device_pkg.core b/hw/ip/spi_device/spi_device_pkg.core index 0785d9c4681db..ab78bfc0fcc83 100644 --- a/hw/ip/spi_device/spi_device_pkg.core +++ b/hw/ip/spi_device/spi_device_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:spi_device_pkg:0.1" diff --git a/hw/ip/spi_host/BUILD b/hw/ip/spi_host/BUILD index 4f277e4618dcb..23fe00ae31d7a 100644 --- a/hw/ip/spi_host/BUILD +++ b/hw/ip/spi_host/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/data/BUILD b/hw/ip/spi_host/data/BUILD index 8cd5459093d19..bbbf3b6abe290 100644 --- a/hw/ip/spi_host/data/BUILD +++ b/hw/ip/spi_host/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/data/spi_host.hjson b/hw/ip/spi_host/data/spi_host.hjson index abc7346a09ef5..f81711ce4b38b 100644 --- a/hw/ip/spi_host/data/spi_host.hjson +++ b/hw/ip/spi_host/data/spi_host.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_host/data/spi_host_sec_cm_testplan.hjson b/hw/ip/spi_host/data/spi_host_sec_cm_testplan.hjson index d5fc8026b7dca..315a908292beb 100644 --- a/hw/ip/spi_host/data/spi_host_sec_cm_testplan.hjson +++ b/hw/ip/spi_host/data/spi_host_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/data/spi_host_testplan.hjson b/hw/ip/spi_host/data/spi_host_testplan.hjson index 6d7ce7e4e6567..8fe1deea99a84 100644 --- a/hw/ip/spi_host/data/spi_host_testplan.hjson +++ b/hw/ip/spi_host/data/spi_host_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_host/dv/cov/spi_host_cov.core b/hw/ip/spi_host/dv/cov/spi_host_cov.core index b685522be3631..2c8f5d34361d2 100644 --- a/hw/ip/spi_host/dv/cov/spi_host_cov.core +++ b/hw/ip/spi_host/dv/cov/spi_host_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_host_cov:0.1" diff --git a/hw/ip/spi_host/dv/cov/spi_host_cov_bind.sv b/hw/ip/spi_host/dv/cov/spi_host_cov_bind.sv index b7214d69508b8..785d95968a8ce 100644 --- a/hw/ip/spi_host/dv/cov/spi_host_cov_bind.sv +++ b/hw/ip/spi_host/dv/cov/spi_host_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/dv/cov/spi_host_cov_if.sv b/hw/ip/spi_host/dv/cov/spi_host_cov_if.sv index 96838f3655ea6..2327135a6accd 100644 --- a/hw/ip/spi_host/dv/cov/spi_host_cov_if.sv +++ b/hw/ip/spi_host/dv/cov/spi_host_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/dv/cov/spi_host_unr_excl.vRefine b/hw/ip/spi_host/dv/cov/spi_host_unr_excl.vRefine index d870d10cd8e2c..e7dd6782c5a89 100644 --- a/hw/ip/spi_host/dv/cov/spi_host_unr_excl.vRefine +++ b/hw/ip/spi_host/dv/cov/spi_host_unr_excl.vRefine @@ -1,6 +1,6 @@ diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_base_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_base_vseq.sv index 6004c7da303d5..0a8e735c25fa5 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_base_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_common_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_common_vseq.sv index 1f06c1d37c2f2..6e32181b485cb 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_common_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_error_cmd_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_error_cmd_vseq.sv index 1498db8f2d948..afbb191556b62 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_error_cmd_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_error_cmd_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_event_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_event_vseq.sv index 9473a97181abd..54727d111c395 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_event_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_event_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_idlecsbactive_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_idlecsbactive_vseq.sv index ae05585117373..2f1853a99f76c 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_idlecsbactive_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_idlecsbactive_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_overflow_underflow_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_overflow_underflow_vseq.sv index b9953965eb52c..2bb87546b75ff 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_overflow_underflow_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_overflow_underflow_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_passthrough_mode_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_passthrough_mode_vseq.sv index c289fa6657eed..9d2066cb2137f 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_passthrough_mode_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_passthrough_mode_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_performance_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_performance_vseq.sv index ce999f6efae08..d01770fca9e02 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_performance_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_performance_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_smoke_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_smoke_vseq.sv index 33ba46ac74dd3..d0e2a1a0464cb 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_smoke_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_speed_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_speed_vseq.sv index e9c7b20424f7d..c6da2cd6bb9d5 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_speed_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_speed_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_spien_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_spien_vseq.sv index 536513952c9b4..9286da57a2832 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_spien_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_spien_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_status_stall_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_status_stall_vseq.sv index c44492c6658f1..3a22b1c912f2b 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_status_stall_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_status_stall_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_stress_all_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_stress_all_vseq.sv index a7f4c4b1af37d..288e2548a0046 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_stress_all_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_sw_reset_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_sw_reset_vseq.sv index cacdc54cf06e1..a5d7e78b195e5 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_sw_reset_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_sw_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_tx_rx_vseq.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_tx_rx_vseq.sv index 5b778d1db21fa..b1079a30f7c77 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_tx_rx_vseq.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_tx_rx_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/seq_lib/spi_host_vseq_list.sv b/hw/ip/spi_host/dv/env/seq_lib/spi_host_vseq_list.sv index b83cbfc2c2286..256fb2210423f 100644 --- a/hw/ip/spi_host/dv/env/seq_lib/spi_host_vseq_list.sv +++ b/hw/ip/spi_host/dv/env/seq_lib/spi_host_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_env.core b/hw/ip/spi_host/dv/env/spi_host_env.core index c96d0e188ea29..5bbacd8777e97 100644 --- a/hw/ip/spi_host/dv/env/spi_host_env.core +++ b/hw/ip/spi_host/dv/env/spi_host_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_host_env:0.1" diff --git a/hw/ip/spi_host/dv/env/spi_host_env.sv b/hw/ip/spi_host/dv/env/spi_host_env.sv index b6119ec171f8c..f033af68a7914 100644 --- a/hw/ip/spi_host/dv/env/spi_host_env.sv +++ b/hw/ip/spi_host/dv/env/spi_host_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_env_cfg.sv b/hw/ip/spi_host/dv/env/spi_host_env_cfg.sv index 3f3ca8a1048dd..a0932cc3d9eed 100644 --- a/hw/ip/spi_host/dv/env/spi_host_env_cfg.sv +++ b/hw/ip/spi_host/dv/env/spi_host_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_env_cov.sv b/hw/ip/spi_host/dv/env/spi_host_env_cov.sv index 6b3aea004e302..893ea4f88ff76 100644 --- a/hw/ip/spi_host/dv/env/spi_host_env_cov.sv +++ b/hw/ip/spi_host/dv/env/spi_host_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_env_pkg.sv b/hw/ip/spi_host/dv/env/spi_host_env_pkg.sv index b3a0cabce698c..117e8d5d43b9c 100644 --- a/hw/ip/spi_host/dv/env/spi_host_env_pkg.sv +++ b/hw/ip/spi_host/dv/env/spi_host_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_scoreboard.sv b/hw/ip/spi_host/dv/env/spi_host_scoreboard.sv index dd11d9cfc0921..7cefe99c2a476 100644 --- a/hw/ip/spi_host/dv/env/spi_host_scoreboard.sv +++ b/hw/ip/spi_host/dv/env/spi_host_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_seq_cfg.sv b/hw/ip/spi_host/dv/env/spi_host_seq_cfg.sv index b0218f3f607e9..8d2cd53143035 100644 --- a/hw/ip/spi_host/dv/env/spi_host_seq_cfg.sv +++ b/hw/ip/spi_host/dv/env/spi_host_seq_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_host_virtual_sequencer.sv b/hw/ip/spi_host/dv/env/spi_host_virtual_sequencer.sv index 2499c1379b6b9..c3bf519561b4a 100644 --- a/hw/ip/spi_host/dv/env/spi_host_virtual_sequencer.sv +++ b/hw/ip/spi_host/dv/env/spi_host_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_passthrough_if.sv b/hw/ip/spi_host/dv/env/spi_passthrough_if.sv index e65479357f7f8..f17543578cd88 100644 --- a/hw/ip/spi_host/dv/env/spi_passthrough_if.sv +++ b/hw/ip/spi_host/dv/env/spi_passthrough_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_segment_item.sv b/hw/ip/spi_host/dv/env/spi_segment_item.sv index cbac59eda81ed..10742e2b59d8e 100644 --- a/hw/ip/spi_host/dv/env/spi_segment_item.sv +++ b/hw/ip/spi_host/dv/env/spi_segment_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/env/spi_transaction_item.sv b/hw/ip/spi_host/dv/env/spi_transaction_item.sv index 7c403b50c2dbb..66e7a17df5ca8 100644 --- a/hw/ip/spi_host/dv/env/spi_transaction_item.sv +++ b/hw/ip/spi_host/dv/env/spi_transaction_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/spi_host_sim.core b/hw/ip/spi_host/dv/spi_host_sim.core index 908fd2c3f5138..fa4ae292881a6 100644 --- a/hw/ip/spi_host/dv/spi_host_sim.core +++ b/hw/ip/spi_host/dv/spi_host_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_host_sim:1.0" diff --git a/hw/ip/spi_host/dv/spi_host_sim_cfg.hjson b/hw/ip/spi_host/dv/spi_host_sim_cfg.hjson index 3f7f4513defdf..19fb6221a863b 100644 --- a/hw/ip/spi_host/dv/spi_host_sim_cfg.hjson +++ b/hw/ip/spi_host/dv/spi_host_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/spi_host/dv/sva/spi_host_bind.sv b/hw/ip/spi_host/dv/sva/spi_host_bind.sv index b03ded258fa7a..ca3ee79c01206 100644 --- a/hw/ip/spi_host/dv/sva/spi_host_bind.sv +++ b/hw/ip/spi_host/dv/sva/spi_host_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/sva/spi_host_sva.core b/hw/ip/spi_host/dv/sva/spi_host_sva.core index fc04017fed4fe..6a09a7ed3ca2a 100644 --- a/hw/ip/spi_host/dv/sva/spi_host_sva.core +++ b/hw/ip/spi_host/dv/sva/spi_host_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_host_sva:0.1" diff --git a/hw/ip/spi_host/dv/tb.sv b/hw/ip/spi_host/dv/tb.sv index ea7b3561d6371..a507e32d54a67 100644 --- a/hw/ip/spi_host/dv/tb.sv +++ b/hw/ip/spi_host/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/dv/tests/spi_host_base_test.sv b/hw/ip/spi_host/dv/tests/spi_host_base_test.sv index 5874554f3ebb3..86eb66e85e60b 100644 --- a/hw/ip/spi_host/dv/tests/spi_host_base_test.sv +++ b/hw/ip/spi_host/dv/tests/spi_host_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/dv/tests/spi_host_test.core b/hw/ip/spi_host/dv/tests/spi_host_test.core index 85fb9e1cfe571..cdd547b6d77c0 100644 --- a/hw/ip/spi_host/dv/tests/spi_host_test.core +++ b/hw/ip/spi_host/dv/tests/spi_host_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:spi_host_test:0.1" diff --git a/hw/ip/spi_host/dv/tests/spi_host_test_pkg.sv b/hw/ip/spi_host/dv/tests/spi_host_test_pkg.sv index 2605e59232748..dca682f71575b 100644 --- a/hw/ip/spi_host/dv/tests/spi_host_test_pkg.sv +++ b/hw/ip/spi_host/dv/tests/spi_host_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/spi_host/lint/spi_host.vlt b/hw/ip/spi_host/lint/spi_host.vlt index 726c4e6948ec4..7adc9b0bbeea0 100644 --- a/hw/ip/spi_host/lint/spi_host.vlt +++ b/hw/ip/spi_host/lint/spi_host.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/lint/spi_host.waiver b/hw/ip/spi_host/lint/spi_host.waiver index 2e683205365ab..d05aa20074fdb 100644 --- a/hw/ip/spi_host/lint/spi_host.waiver +++ b/hw/ip/spi_host/lint/spi_host.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/spi_host/rtl/spi_host.sv b/hw/ip/spi_host/rtl/spi_host.sv index 14ae564fc06c6..8408cb0543cdc 100644 --- a/hw/ip/spi_host/rtl/spi_host.sv +++ b/hw/ip/spi_host/rtl/spi_host.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_byte_merge.sv b/hw/ip/spi_host/rtl/spi_host_byte_merge.sv index faa425bdd5deb..16f3796b40bea 100644 --- a/hw/ip/spi_host/rtl/spi_host_byte_merge.sv +++ b/hw/ip/spi_host/rtl/spi_host_byte_merge.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_byte_select.sv b/hw/ip/spi_host/rtl/spi_host_byte_select.sv index 78f288ed077de..c370142e3fe89 100644 --- a/hw/ip/spi_host/rtl/spi_host_byte_select.sv +++ b/hw/ip/spi_host/rtl/spi_host_byte_select.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_cmd_pkg.sv b/hw/ip/spi_host/rtl/spi_host_cmd_pkg.sv index 45abc0223fda4..c0eb40be1572a 100644 --- a/hw/ip/spi_host/rtl/spi_host_cmd_pkg.sv +++ b/hw/ip/spi_host/rtl/spi_host_cmd_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_command_queue.sv b/hw/ip/spi_host/rtl/spi_host_command_queue.sv index fbca9e49022f5..6c04a0207908a 100644 --- a/hw/ip/spi_host/rtl/spi_host_command_queue.sv +++ b/hw/ip/spi_host/rtl/spi_host_command_queue.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_core.sv b/hw/ip/spi_host/rtl/spi_host_core.sv index 345cca98e0f2a..65b7b9baa53dd 100644 --- a/hw/ip/spi_host/rtl/spi_host_core.sv +++ b/hw/ip/spi_host/rtl/spi_host_core.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_data_fifos.sv b/hw/ip/spi_host/rtl/spi_host_data_fifos.sv index c1b84e2fcded7..7baa914b5c1de 100644 --- a/hw/ip/spi_host/rtl/spi_host_data_fifos.sv +++ b/hw/ip/spi_host/rtl/spi_host_data_fifos.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_fsm.sv b/hw/ip/spi_host/rtl/spi_host_fsm.sv index 13df11ec22a2c..29dd917a8ef4d 100644 --- a/hw/ip/spi_host/rtl/spi_host_fsm.sv +++ b/hw/ip/spi_host/rtl/spi_host_fsm.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_reg_pkg.sv b/hw/ip/spi_host/rtl/spi_host_reg_pkg.sv index 89862dcafcd4f..97e30acf97af3 100644 --- a/hw/ip/spi_host/rtl/spi_host_reg_pkg.sv +++ b/hw/ip/spi_host/rtl/spi_host_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_reg_top.sv b/hw/ip/spi_host/rtl/spi_host_reg_top.sv index 7f76919284583..75ce27dc226d2 100644 --- a/hw/ip/spi_host/rtl/spi_host_reg_top.sv +++ b/hw/ip/spi_host/rtl/spi_host_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_shift_register.sv b/hw/ip/spi_host/rtl/spi_host_shift_register.sv index ca98e9e4805ae..e013b7ae727fe 100644 --- a/hw/ip/spi_host/rtl/spi_host_shift_register.sv +++ b/hw/ip/spi_host/rtl/spi_host_shift_register.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/rtl/spi_host_window.sv b/hw/ip/spi_host/rtl/spi_host_window.sv index a532e475329ac..5cb04d4183a87 100644 --- a/hw/ip/spi_host/rtl/spi_host_window.sv +++ b/hw/ip/spi_host/rtl/spi_host_window.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/spi_host/spi_host.core b/hw/ip/spi_host/spi_host.core index 81cdc0dbf5880..db52aeb058a1c 100644 --- a/hw/ip/spi_host/spi_host.core +++ b/hw/ip/spi_host/spi_host.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:spi_host:1.0" diff --git a/hw/ip/sram_ctrl/BUILD b/hw/ip/sram_ctrl/BUILD index e0bac91e32ca6..56a157af759db 100644 --- a/hw/ip/sram_ctrl/BUILD +++ b/hw/ip/sram_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/data/BUILD b/hw/ip/sram_ctrl/data/BUILD index 1ea87c63f0a24..15d8c0fb50ca9 100644 --- a/hw/ip/sram_ctrl/data/BUILD +++ b/hw/ip/sram_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/data/sram_ctrl.hjson b/hw/ip/sram_ctrl/data/sram_ctrl.hjson index b223825eca856..1c27e36b581af 100644 --- a/hw/ip/sram_ctrl/data/sram_ctrl.hjson +++ b/hw/ip/sram_ctrl/data/sram_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sram_ctrl/data/sram_ctrl_sec_cm_testplan.hjson b/hw/ip/sram_ctrl/data/sram_ctrl_sec_cm_testplan.hjson index ef2a58735152f..d5853121e56ce 100644 --- a/hw/ip/sram_ctrl/data/sram_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/sram_ctrl/data/sram_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/data/sram_ctrl_testplan.hjson b/hw/ip/sram_ctrl/data/sram_ctrl_testplan.hjson index e18ff82b5f347..029b6718696ae 100644 --- a/hw/ip/sram_ctrl/data/sram_ctrl_testplan.hjson +++ b/hw/ip/sram_ctrl/data/sram_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_bind.sv b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_bind.sv index ae7331b6aa433..8edaad7567fbb 100644 --- a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_bind.sv +++ b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_excl.el b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_excl.el index 5fd4046727f38..07f0189ff903f 100644 --- a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_excl.el +++ b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_cov_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_unr_excl.el b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_unr_excl.el index 494cedb7d0cac..163f824638867 100644 --- a/hw/ip/sram_ctrl/dv/cov/sram_ctrl_unr_excl.el +++ b/hw/ip/sram_ctrl/dv/cov/sram_ctrl_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 //================================================== diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_access_during_key_req_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_access_during_key_req_vseq.sv index 7670230a66f8d..545b8c7d622f6 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_access_during_key_req_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_access_during_key_req_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_base_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_base_vseq.sv index ff53a39ea2059..f58a60e7ab85e 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_base_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_bijection_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_bijection_vseq.sv index 77b4e9a2af16a..ac4905c566529 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_bijection_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_bijection_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_common_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_common_vseq.sv index e05981e9f755f..d986ba71d94bf 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_common_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_executable_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_executable_vseq.sv index f9ee20f6c4da3..536a517ac02a9 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_executable_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_executable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_lc_escalation_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_lc_escalation_vseq.sv index b2df62934f831..bb3c6d7fcd07b 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_lc_escalation_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_lc_escalation_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_multiple_keys_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_multiple_keys_vseq.sv index fd0f34441168b..0acd75fc1d4d8 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_multiple_keys_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_multiple_keys_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_ram_cfg_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_ram_cfg_vseq.sv index 57620d63c6e5f..d6dd8d934e55f 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_ram_cfg_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_ram_cfg_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_regwen_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_regwen_vseq.sv index 23043c798c84d..a0f0a521603cf 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_regwen_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_regwen_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_smoke_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_smoke_vseq.sv index 48569e9ec463d..1d9bd09d196cd 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_smoke_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_all_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_all_vseq.sv index 775e5138466ae..5d37662ce47e9 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_all_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_pipeline_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_pipeline_vseq.sv index e1dc4b6738b85..a34c2c2495d50 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_pipeline_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_stress_pipeline_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_throughput_vseq.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_throughput_vseq.sv index 6d4157b5dc83a..b2724e0441a03 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_throughput_vseq.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_throughput_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_vseq_list.sv b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_vseq_list.sv index db667371d4b47..f8126bf9785b2 100644 --- a/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_vseq_list.sv +++ b/hw/ip/sram_ctrl/dv/env/seq_lib/sram_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.core b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.core index ddbb1a15f9dbb..296f115fc0398 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.core +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sram_ctrl_env:0.1" diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.sv index afbc65595ef1f..330ef5c0bc7be 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cfg.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cfg.sv index f3514cf4e2c14..4686cd89a8bf8 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cfg.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cov.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cov.sv index 9173efeee4ac2..0083bd991446d 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cov.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_pkg.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_pkg.sv index 54f6f62f0ec88..a2adb92e05e69 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_pkg.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_exec_if.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_exec_if.sv index f2f4a18b87d56..c4a0e50327eb5 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_exec_if.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_exec_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_lc_if.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_lc_if.sv index d488e1228aefa..a505a06f19c4c 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_lc_if.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_lc_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_mem_bkdr_scb.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_mem_bkdr_scb.sv index e8c5cdaf8f034..ba59324e97d98 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_mem_bkdr_scb.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_mem_bkdr_scb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_prim_ral_pkg.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_prim_ral_pkg.sv index 0337e9ae7ebf2..9d4dd103e3cab 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_prim_ral_pkg.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_prim_ral_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_scoreboard.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_scoreboard.sv index b210e273b4da4..bd4ace9fbaec0 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_scoreboard.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/env/sram_ctrl_virtual_sequencer.sv b/hw/ip/sram_ctrl/dv/env/sram_ctrl_virtual_sequencer.sv index 183ffe0c8f502..d7ed846123a58 100644 --- a/hw/ip/sram_ctrl/dv/env/sram_ctrl_virtual_sequencer.sv +++ b/hw/ip/sram_ctrl/dv/env/sram_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/sram_ctrl_base_sim_cfg.hjson b/hw/ip/sram_ctrl/dv/sram_ctrl_base_sim_cfg.hjson index b59f46100fe1b..0ef1cd95783ce 100644 --- a/hw/ip/sram_ctrl/dv/sram_ctrl_base_sim_cfg.hjson +++ b/hw/ip/sram_ctrl/dv/sram_ctrl_base_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sram_ctrl/dv/sram_ctrl_main_sim_cfg.hjson b/hw/ip/sram_ctrl/dv/sram_ctrl_main_sim_cfg.hjson index 01e045a450d17..09b6313ccba57 100644 --- a/hw/ip/sram_ctrl/dv/sram_ctrl_main_sim_cfg.hjson +++ b/hw/ip/sram_ctrl/dv/sram_ctrl_main_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/sram_ctrl_ret_sim_cfg.hjson b/hw/ip/sram_ctrl/dv/sram_ctrl_ret_sim_cfg.hjson index d185065d4d086..576d5a7cb5cb2 100644 --- a/hw/ip/sram_ctrl/dv/sram_ctrl_ret_sim_cfg.hjson +++ b/hw/ip/sram_ctrl/dv/sram_ctrl_ret_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/sram_ctrl_sim.core b/hw/ip/sram_ctrl/dv/sram_ctrl_sim.core index 21b4da6f31061..c5072951db940 100644 --- a/hw/ip/sram_ctrl/dv/sram_ctrl_sim.core +++ b/hw/ip/sram_ctrl/dv/sram_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sram_ctrl_sim:0.1" diff --git a/hw/ip/sram_ctrl/dv/sva/sram_ctrl_bind.sv b/hw/ip/sram_ctrl/dv/sva/sram_ctrl_bind.sv index e38d94e1cd0f5..0365f1e5bb063 100644 --- a/hw/ip/sram_ctrl/dv/sva/sram_ctrl_bind.sv +++ b/hw/ip/sram_ctrl/dv/sva/sram_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/sva/sram_ctrl_sva.core b/hw/ip/sram_ctrl/dv/sva/sram_ctrl_sva.core index 3a4f0e8eafaeb..c62d235e5d588 100644 --- a/hw/ip/sram_ctrl/dv/sva/sram_ctrl_sva.core +++ b/hw/ip/sram_ctrl/dv/sva/sram_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sram_ctrl_sva:0.1" diff --git a/hw/ip/sram_ctrl/dv/tb.sv b/hw/ip/sram_ctrl/dv/tb.sv index b121c9506ba0e..d86f827642066 100644 --- a/hw/ip/sram_ctrl/dv/tb.sv +++ b/hw/ip/sram_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_base_test.sv b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_base_test.sv index ef4bd0bdfbaf4..b76f5911ccab6 100644 --- a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_base_test.sv +++ b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test.core b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test.core index fec1d7a48832d..8cce095e43913 100644 --- a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test.core +++ b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sram_ctrl_test:0.1" diff --git a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test_pkg.sv b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test_pkg.sv index 1209864fa4e7e..258e958260406 100644 --- a/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test_pkg.sv +++ b/hw/ip/sram_ctrl/dv/tests/sram_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sram_ctrl/lint/sram_ctrl.vlt b/hw/ip/sram_ctrl/lint/sram_ctrl.vlt index 274c09e729615..72bb32f5867d7 100644 --- a/hw/ip/sram_ctrl/lint/sram_ctrl.vlt +++ b/hw/ip/sram_ctrl/lint/sram_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/lint/sram_ctrl.waiver b/hw/ip/sram_ctrl/lint/sram_ctrl.waiver index 3e69800d4c742..58241ff242d94 100644 --- a/hw/ip/sram_ctrl/lint/sram_ctrl.waiver +++ b/hw/ip/sram_ctrl/lint/sram_ctrl.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/sram_ctrl/rtl/sram_ctrl.sv b/hw/ip/sram_ctrl/rtl/sram_ctrl.sv index c9564b8a96fe4..dabe8bb1c248e 100644 --- a/hw/ip/sram_ctrl/rtl/sram_ctrl.sv +++ b/hw/ip/sram_ctrl/rtl/sram_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/rtl/sram_ctrl_pkg.sv b/hw/ip/sram_ctrl/rtl/sram_ctrl_pkg.sv index c3637b90bcdab..6c92a44ba9638 100644 --- a/hw/ip/sram_ctrl/rtl/sram_ctrl_pkg.sv +++ b/hw/ip/sram_ctrl/rtl/sram_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/rtl/sram_ctrl_ram_reg_top.sv b/hw/ip/sram_ctrl/rtl/sram_ctrl_ram_reg_top.sv index 10688565ae7bc..a6441cfc4bfe6 100644 --- a/hw/ip/sram_ctrl/rtl/sram_ctrl_ram_reg_top.sv +++ b/hw/ip/sram_ctrl/rtl/sram_ctrl_ram_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/rtl/sram_ctrl_reg_pkg.sv b/hw/ip/sram_ctrl/rtl/sram_ctrl_reg_pkg.sv index c2764e9a58f6a..9af446e4c0397 100644 --- a/hw/ip/sram_ctrl/rtl/sram_ctrl_reg_pkg.sv +++ b/hw/ip/sram_ctrl/rtl/sram_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/rtl/sram_ctrl_regs_reg_top.sv b/hw/ip/sram_ctrl/rtl/sram_ctrl_regs_reg_top.sv index 91ccf158a26e4..f149f31bb720d 100644 --- a/hw/ip/sram_ctrl/rtl/sram_ctrl_regs_reg_top.sv +++ b/hw/ip/sram_ctrl/rtl/sram_ctrl_regs_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sram_ctrl/sram_ctrl.core b/hw/ip/sram_ctrl/sram_ctrl.core index 61d669a73c3d3..e7b0950f83042 100644 --- a/hw/ip/sram_ctrl/sram_ctrl.core +++ b/hw/ip/sram_ctrl/sram_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:sram_ctrl:0.1" diff --git a/hw/ip/sram_ctrl/sram_ctrl_pkg.core b/hw/ip/sram_ctrl/sram_ctrl_pkg.core index d64bbd658e886..6ddce4aa4b815 100644 --- a/hw/ip/sram_ctrl/sram_ctrl_pkg.core +++ b/hw/ip/sram_ctrl/sram_ctrl_pkg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:sram_ctrl_pkg:0.1" diff --git a/hw/ip/sram_ctrl/syn/constraints.sdc b/hw/ip/sram_ctrl/syn/constraints.sdc index 3aac373e0f729..ba57c9d17f2c1 100644 --- a/hw/ip/sram_ctrl/syn/constraints.sdc +++ b/hw/ip/sram_ctrl/syn/constraints.sdc @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/sram_ctrl/syn/post_elab_gtech.tcl b/hw/ip/sram_ctrl/syn/post_elab_gtech.tcl index df144b4c3c659..abe1a8c181f27 100644 --- a/hw/ip/sram_ctrl/syn/post_elab_gtech.tcl +++ b/hw/ip/sram_ctrl/syn/post_elab_gtech.tcl @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/sram_ctrl/syn/sram_ctrl_gtech_syn_cfg.hjson b/hw/ip/sram_ctrl/syn/sram_ctrl_gtech_syn_cfg.hjson index d314877b8fdf0..5b94955907641 100644 --- a/hw/ip/sram_ctrl/syn/sram_ctrl_gtech_syn_cfg.hjson +++ b/hw/ip/sram_ctrl/syn/sram_ctrl_gtech_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sram_ctrl/syn/sram_ctrl_syn_cfg.hjson b/hw/ip/sram_ctrl/syn/sram_ctrl_syn_cfg.hjson index dd0b0713c02d3..603b4fe700828 100644 --- a/hw/ip/sram_ctrl/syn/sram_ctrl_syn_cfg.hjson +++ b/hw/ip/sram_ctrl/syn/sram_ctrl_syn_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sysrst_ctrl/BUILD b/hw/ip/sysrst_ctrl/BUILD index 9b178b7671652..541aab26be00e 100644 --- a/hw/ip/sysrst_ctrl/BUILD +++ b/hw/ip/sysrst_ctrl/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/data/BUILD b/hw/ip/sysrst_ctrl/data/BUILD index 0c53a611e01a1..b8533098f629d 100644 --- a/hw/ip/sysrst_ctrl/data/BUILD +++ b/hw/ip/sysrst_ctrl/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/data/sysrst_ctrl.hjson b/hw/ip/sysrst_ctrl/data/sysrst_ctrl.hjson index 304bd5b71d059..40b3274e92928 100644 --- a/hw/ip/sysrst_ctrl/data/sysrst_ctrl.hjson +++ b/hw/ip/sysrst_ctrl/data/sysrst_ctrl.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sysrst_ctrl/data/sysrst_ctrl_sec_cm_testplan.hjson b/hw/ip/sysrst_ctrl/data/sysrst_ctrl_sec_cm_testplan.hjson index 6caa04ab01a17..01e29ac85fe48 100644 --- a/hw/ip/sysrst_ctrl/data/sysrst_ctrl_sec_cm_testplan.hjson +++ b/hw/ip/sysrst_ctrl/data/sysrst_ctrl_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/data/sysrst_ctrl_testplan.hjson b/hw/ip/sysrst_ctrl/data/sysrst_ctrl_testplan.hjson index 4863432abde05..86fc55dbf4681 100644 --- a/hw/ip/sysrst_ctrl/data/sysrst_ctrl_testplan.hjson +++ b/hw/ip/sysrst_ctrl/data/sysrst_ctrl_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov.core b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov.core index 02eb982255f3a..91d7b703c7eb2 100644 --- a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov.core +++ b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sysrst_ctrl_cov" diff --git a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_bind.sv b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_bind.sv index 4d08372353d8e..9f38d2283b3ee 100644 --- a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_bind.sv +++ b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_if.sv b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_if.sv index 9ec078a9a9856..8679a7ebfc7aa 100644 --- a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_if.sv +++ b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_unr_excl.el b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_unr_excl.el index b1e23a72fa66c..b91d9594cafed 100644 --- a/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_unr_excl.el +++ b/hw/ip/sysrst_ctrl/dv/cov/sysrst_ctrl_cov_unr_excl.el @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_auto_blk_key_output_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_auto_blk_key_output_vseq.sv index f7e326bd24199..9b59a5250f36b 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_auto_blk_key_output_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_auto_blk_key_output_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_base_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_base_vseq.sv index 14deac3a374b1..d952e1ac640ef 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_base_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_vseq.sv index 1cb697ca1058f..a710483f2b5f6 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_with_pre_cond_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_with_pre_cond_vseq.sv index f030068a00c96..192ecdb6fc292 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_with_pre_cond_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_ec_rst_with_pre_cond_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_vseq.sv index 758804f73f4e8..2dc54978d67dd 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_with_pre_cond_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_with_pre_cond_vseq.sv index 9d925f2b8f53b..a5336b14091e5 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_with_pre_cond_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_combo_detect_with_pre_cond_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_common_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_common_vseq.sv index af6bd0c77b07f..51f5074f22ef9 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_common_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_common_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ec_pwr_on_rst_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ec_pwr_on_rst_vseq.sv index 09c2292e58485..6225ef4003b58 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ec_pwr_on_rst_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ec_pwr_on_rst_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_edge_detect_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_edge_detect_vseq.sv index 6f28c47915f44..2b29f11ecbe68 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_edge_detect_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_edge_detect_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_feature_disable_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_feature_disable_vseq.sv index f21b053da5ae0..83295780c3045 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_feature_disable_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_feature_disable_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_flash_wr_prot_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_flash_wr_prot_vseq.sv index 76bb22f08cb9e..e5d749e0c4c89 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_flash_wr_prot_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_flash_wr_prot_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_in_out_inverted_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_in_out_inverted_vseq.sv index 6c630451a2541..43f299f417f3a 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_in_out_inverted_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_in_out_inverted_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_access_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_access_vseq.sv index 0ed6e2ba2aadc..2e8902b62c7ce 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_access_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_access_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_override_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_override_vseq.sv index 11b871420bf94..2ce9ddcf1071e 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_override_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_pin_override_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_smoke_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_smoke_vseq.sv index 2764a03176381..7b196e9be520b 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_smoke_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_stress_all_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_stress_all_vseq.sv index 6808d1d0a7ae1..4e4aa0ac973e9 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_stress_all_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ultra_low_pwr_vseq.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ultra_low_pwr_vseq.sv index 5e3e9d7ba031e..040a70606fedf 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ultra_low_pwr_vseq.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_ultra_low_pwr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_vseq_list.sv b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_vseq_list.sv index a07ab48fd5a80..b3529c33b6816 100644 --- a/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_vseq_list.sv +++ b/hw/ip/sysrst_ctrl/dv/env/seq_lib/sysrst_ctrl_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.core b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.core index 49ab86cf53312..ebfde1c162026 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.core +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sysrst_ctrl_env:0.1" diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.sv index 314e88b56131f..c2cd6b95c8238 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cfg.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cfg.sv index bf5031fdf1f5d..8bdbf6d2d812c 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cfg.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cov.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cov.sv index c1bc15d6c9c78..c4a1d5658357f 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cov.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_pkg.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_pkg.sv index 5b30c12d8c8e2..852ab262938e5 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_pkg.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_if.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_if.sv index 4eaf673b2c54c..ed7dc4d1de1e0 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_if.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_if.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_scoreboard.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_scoreboard.sv index 567fab533e034..738e6d7847749 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_scoreboard.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_virtual_sequencer.sv b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_virtual_sequencer.sv index 086b3c3436a4c..56a80439a2494 100644 --- a/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_virtual_sequencer.sv +++ b/hw/ip/sysrst_ctrl/dv/env/sysrst_ctrl_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_bind.sv b/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_bind.sv index 3fb9bce99cab8..49240df46093a 100644 --- a/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_bind.sv +++ b/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_bind.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_sva.core b/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_sva.core index b5987a1d8959c..65d807849e806 100644 --- a/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_sva.core +++ b/hw/ip/sysrst_ctrl/dv/sva/sysrst_ctrl_sva.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sysrst_ctrl_sva:0.1" diff --git a/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim.core b/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim.core index 61e5325f0f0df..4f15a5f06f787 100644 --- a/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim.core +++ b/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sysrst_ctrl_sim:0.1" diff --git a/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim_cfg.hjson b/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim_cfg.hjson index b127b62301a71..af389f1033480 100644 --- a/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim_cfg.hjson +++ b/hw/ip/sysrst_ctrl/dv/sysrst_ctrl_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/sysrst_ctrl/dv/tb.sv b/hw/ip/sysrst_ctrl/dv/tb.sv index e101982701010..c08fceeedc388 100644 --- a/hw/ip/sysrst_ctrl/dv/tb.sv +++ b/hw/ip/sysrst_ctrl/dv/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_base_test.sv b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_base_test.sv index 7ddbc305d45c5..a78f4273113ba 100644 --- a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_base_test.sv +++ b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test.core b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test.core index ab6334bd84ae5..ecef93cb12130 100644 --- a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test.core +++ b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:sysrst_ctrl_test:0.1" diff --git a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test_pkg.sv b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test_pkg.sv index bab1497472f83..577d2b58dce63 100644 --- a/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test_pkg.sv +++ b/hw/ip/sysrst_ctrl/dv/tests/sysrst_ctrl_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/lint/sysrst_ctrl.vlt b/hw/ip/sysrst_ctrl/lint/sysrst_ctrl.vlt index 15082b2599a86..74e82fa487974 100644 --- a/hw/ip/sysrst_ctrl/lint/sysrst_ctrl.vlt +++ b/hw/ip/sysrst_ctrl/lint/sysrst_ctrl.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl.sv index 4bb478626be43..6aa8c13367cf3 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_autoblock.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_autoblock.sv index 1ab434736ae0b..44662c1220a49 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_autoblock.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_autoblock.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_combo.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_combo.sv index 2e87a10c8ef2e..7bdf1696c0b59 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_combo.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_combo.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_comboact.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_comboact.sv index ae0da11bf734e..0fac4645104f9 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_comboact.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_comboact.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_detect.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_detect.sv index 310c60950c4fe..78a75e329dadf 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_detect.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_detect.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_intr.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_intr.sv index bab960c3fc044..baf6d03053297 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_intr.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_intr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_keyintr.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_keyintr.sv index 51786c304bd49..469800a408435 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_keyintr.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_keyintr.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pin.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pin.sv index ce6b6f38e3a08..dc11d9c629e88 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pin.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pin.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pkg.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pkg.sv index 2712906a35150..2e7495058993d 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pkg.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_pkg.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_pkg.sv index db11218774274..3cf821cda947a 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_pkg.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_top.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_top.sv index 2bc879edb8d0d..01a2be53ee6a4 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_top.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_reg_top.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_ulp.sv b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_ulp.sv index 6eb38cf229f00..573caf6ef9bcf 100644 --- a/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_ulp.sv +++ b/hw/ip/sysrst_ctrl/rtl/sysrst_ctrl_ulp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/sysrst_ctrl/sysrst_ctrl.core b/hw/ip/sysrst_ctrl/sysrst_ctrl.core index bd8499d939de9..4ffa1d40587e9 100644 --- a/hw/ip/sysrst_ctrl/sysrst_ctrl.core +++ b/hw/ip/sysrst_ctrl/sysrst_ctrl.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:sysrst_ctrl:1.0" diff --git a/hw/ip/tlul/BUILD b/hw/ip/tlul/BUILD index 95d2239b0bade..ca0cd07d767f2 100644 --- a/hw/ip/tlul/BUILD +++ b/hw/ip/tlul/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/adapter_host.core b/hw/ip/tlul/adapter_host.core index 786da3a1829e4..d08ee136e20e6 100644 --- a/hw/ip/tlul/adapter_host.core +++ b/hw/ip/tlul/adapter_host.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:adapter_host:0.1" diff --git a/hw/ip/tlul/adapter_reg.core b/hw/ip/tlul/adapter_reg.core index 0f2e5ee6e1528..aab7657be11de 100644 --- a/hw/ip/tlul/adapter_reg.core +++ b/hw/ip/tlul/adapter_reg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:adapter_reg:0.1" diff --git a/hw/ip/tlul/adapter_sram.core b/hw/ip/tlul/adapter_sram.core index 78d1aca4db3db..7107a0075863c 100644 --- a/hw/ip/tlul/adapter_sram.core +++ b/hw/ip/tlul/adapter_sram.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:adapter_sram:0.1" diff --git a/hw/ip/tlul/common.core b/hw/ip/tlul/common.core index 6d74092500e2a..ebf18737ff5ac 100644 --- a/hw/ip/tlul/common.core +++ b/hw/ip/tlul/common.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:common:0.1" diff --git a/hw/ip/tlul/data/BUILD b/hw/ip/tlul/data/BUILD index 36beb77a655e7..dda56de039e27 100644 --- a/hw/ip/tlul/data/BUILD +++ b/hw/ip/tlul/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/data/tlul.prj.hjson b/hw/ip/tlul/data/tlul.prj.hjson index e60611b40b7e6..2d63adb7c423b 100644 --- a/hw/ip/tlul/data/tlul.prj.hjson +++ b/hw/ip/tlul/data/tlul.prj.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/data/tlul_testplan.hjson b/hw/ip/tlul/data/tlul_testplan.hjson index d1b7db0598590..fd53a303914ae 100644 --- a/hw/ip/tlul/data/tlul_testplan.hjson +++ b/hw/ip/tlul/data/tlul_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_access_same_device_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_access_same_device_vseq.sv index 69f37e8965a9a..f8671f3a10ead 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_access_same_device_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_access_same_device_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_base_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_base_vseq.sv index 57376eccd9b76..4fbd9e20bdc4a 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_base_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_base_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_random_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_random_vseq.sv index bbb18ef61d189..a837e1b06fa13 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_random_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_random_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_same_source_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_same_source_vseq.sv index 02009feca41ed..b4917c72aad88 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_same_source_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_same_source_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_seq_err_item.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_seq_err_item.sv index 162ba369c1bae..5ede81dfc15c6 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_seq_err_item.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_seq_err_item.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_smoke_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_smoke_vseq.sv index fe4acab5cfe21..00ff87db9131c 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_smoke_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_smoke_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_vseq.sv index 8b62d1041769b..a55e7d0acab39 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_with_rand_reset_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_with_rand_reset_vseq.sv index 2ec84b4d36c6f..054a14015e72d 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_with_rand_reset_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_stress_all_with_rand_reset_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_tl_host_seq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_tl_host_seq.sv index 8d3c30ea674a8..d98f405297185 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_tl_host_seq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_tl_host_seq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_unmapped_addr_vseq.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_unmapped_addr_vseq.sv index a8214ec381593..df8dfa8a4b453 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_unmapped_addr_vseq.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_unmapped_addr_vseq.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_vseq_list.sv b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_vseq_list.sv index 0b293b85ebaf1..782b8ebcc16f8 100644 --- a/hw/ip/tlul/generic_dv/env/seq_lib/xbar_vseq_list.sv +++ b/hw/ip/tlul/generic_dv/env/seq_lib/xbar_vseq_list.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_env.core b/hw/ip/tlul/generic_dv/env/xbar_env.core index c6bff6a2d3454..84a8ac58677e4 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_env.core +++ b/hw/ip/tlul/generic_dv/env/xbar_env.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:xbar_env:0.1" diff --git a/hw/ip/tlul/generic_dv/env/xbar_env.sv b/hw/ip/tlul/generic_dv/env/xbar_env.sv index c5681e59deed6..68e7b9099a778 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_env.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_env.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_env_cfg.sv b/hw/ip/tlul/generic_dv/env/xbar_env_cfg.sv index 441889b6088eb..e645243f4f74e 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_env_cfg.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_env_cfg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_env_cov.sv b/hw/ip/tlul/generic_dv/env/xbar_env_cov.sv index 7e3377d36c5f7..c1d8390e55d19 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_env_cov.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_env_cov.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_env_pkg.sv b/hw/ip/tlul/generic_dv/env/xbar_env_pkg.sv index 64ff50598d8c2..332ad826e9f55 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_env_pkg.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_env_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_scoreboard.sv b/hw/ip/tlul/generic_dv/env/xbar_scoreboard.sv index 7b5180749aeb2..c7247568095ce 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_scoreboard.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_scoreboard.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/env/xbar_virtual_sequencer.sv b/hw/ip/tlul/generic_dv/env/xbar_virtual_sequencer.sv index 76df264a1c7c9..b9368bfe6ef67 100644 --- a/hw/ip/tlul/generic_dv/env/xbar_virtual_sequencer.sv +++ b/hw/ip/tlul/generic_dv/env/xbar_virtual_sequencer.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/tb/tb.sv b/hw/ip/tlul/generic_dv/tb/tb.sv index 2dd466df3d47a..d8b6c6f741c70 100644 --- a/hw/ip/tlul/generic_dv/tb/tb.sv +++ b/hw/ip/tlul/generic_dv/tb/tb.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/tb/xbar_macros.core b/hw/ip/tlul/generic_dv/tb/xbar_macros.core index 900029cd0635d..9291518687e62 100644 --- a/hw/ip/tlul/generic_dv/tb/xbar_macros.core +++ b/hw/ip/tlul/generic_dv/tb/xbar_macros.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/generic_dv/tb/xbar_macros.svh b/hw/ip/tlul/generic_dv/tb/xbar_macros.svh index 6b5dd8406fe28..99cf695f78ca2 100644 --- a/hw/ip/tlul/generic_dv/tb/xbar_macros.svh +++ b/hw/ip/tlul/generic_dv/tb/xbar_macros.svh @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/tb/xbar_tb.core b/hw/ip/tlul/generic_dv/tb/xbar_tb.core index 86c2b120756c0..163f3d78db397 100644 --- a/hw/ip/tlul/generic_dv/tb/xbar_tb.core +++ b/hw/ip/tlul/generic_dv/tb/xbar_tb.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/generic_dv/tests/xbar_base_test.sv b/hw/ip/tlul/generic_dv/tests/xbar_base_test.sv index 9ac9f3d5b51d7..7bd877d7c23e4 100644 --- a/hw/ip/tlul/generic_dv/tests/xbar_base_test.sv +++ b/hw/ip/tlul/generic_dv/tests/xbar_base_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/tests/xbar_error_test.sv b/hw/ip/tlul/generic_dv/tests/xbar_error_test.sv index bfc687298fd59..46ee911027f92 100644 --- a/hw/ip/tlul/generic_dv/tests/xbar_error_test.sv +++ b/hw/ip/tlul/generic_dv/tests/xbar_error_test.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/tests/xbar_test.core b/hw/ip/tlul/generic_dv/tests/xbar_test.core index fe51c66b42ecd..d250455ae0e42 100644 --- a/hw/ip/tlul/generic_dv/tests/xbar_test.core +++ b/hw/ip/tlul/generic_dv/tests/xbar_test.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:dv:xbar_test:0.1" diff --git a/hw/ip/tlul/generic_dv/tests/xbar_test_pkg.sv b/hw/ip/tlul/generic_dv/tests/xbar_test_pkg.sv index bf15c66a65938..1f1e0ca471419 100644 --- a/hw/ip/tlul/generic_dv/tests/xbar_test_pkg.sv +++ b/hw/ip/tlul/generic_dv/tests/xbar_test_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/generic_dv/xbar_sim_cfg.hjson b/hw/ip/tlul/generic_dv/xbar_sim_cfg.hjson index 9ec398d6d7567..c48d1060b2341 100644 --- a/hw/ip/tlul/generic_dv/xbar_sim_cfg.hjson +++ b/hw/ip/tlul/generic_dv/xbar_sim_cfg.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/tlul/generic_dv/xbar_tests.hjson b/hw/ip/tlul/generic_dv/xbar_tests.hjson index 700ff68d0cb05..6216b5d31bb97 100644 --- a/hw/ip/tlul/generic_dv/xbar_tests.hjson +++ b/hw/ip/tlul/generic_dv/xbar_tests.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/tlul/headers.core b/hw/ip/tlul/headers.core index 7ff9b5e60764c..3da0e1c75e2ef 100644 --- a/hw/ip/tlul/headers.core +++ b/hw/ip/tlul/headers.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:headers:0.1" diff --git a/hw/ip/tlul/lint/tlul_adapter_host.vlt b/hw/ip/tlul/lint/tlul_adapter_host.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/tlul/lint/tlul_adapter_host.vlt +++ b/hw/ip/tlul/lint/tlul_adapter_host.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_adapter_host.waiver b/hw/ip/tlul/lint/tlul_adapter_host.waiver index c48adc7f8636f..971cb60b0c523 100644 --- a/hw/ip/tlul/lint/tlul_adapter_host.waiver +++ b/hw/ip/tlul/lint/tlul_adapter_host.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_adapter_reg.vlt b/hw/ip/tlul/lint/tlul_adapter_reg.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/tlul/lint/tlul_adapter_reg.vlt +++ b/hw/ip/tlul/lint/tlul_adapter_reg.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_adapter_reg.waiver b/hw/ip/tlul/lint/tlul_adapter_reg.waiver index d8a1dc1747ff3..0ef2a9b3ce2ec 100644 --- a/hw/ip/tlul/lint/tlul_adapter_reg.waiver +++ b/hw/ip/tlul/lint/tlul_adapter_reg.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_adapter_sram.vlt b/hw/ip/tlul/lint/tlul_adapter_sram.vlt index bd846febf64ce..acd297fb2f6cc 100644 --- a/hw/ip/tlul/lint/tlul_adapter_sram.vlt +++ b/hw/ip/tlul/lint/tlul_adapter_sram.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_adapter_sram.waiver b/hw/ip/tlul/lint/tlul_adapter_sram.waiver index 1c4d8648b7250..cfdadef9822bf 100644 --- a/hw/ip/tlul/lint/tlul_adapter_sram.waiver +++ b/hw/ip/tlul/lint/tlul_adapter_sram.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_common.vlt b/hw/ip/tlul/lint/tlul_common.vlt index de232a00df835..54c798eab0df0 100644 --- a/hw/ip/tlul/lint/tlul_common.vlt +++ b/hw/ip/tlul/lint/tlul_common.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/lint/tlul_common.waiver b/hw/ip/tlul/lint/tlul_common.waiver index f01d2040bd10d..7e40f314fbd9e 100644 --- a/hw/ip/tlul/lint/tlul_common.waiver +++ b/hw/ip/tlul/lint/tlul_common.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_lc_gate.vlt b/hw/ip/tlul/lint/tlul_lc_gate.vlt index cb26d569dc156..0afe02d19ca8b 100644 --- a/hw/ip/tlul/lint/tlul_lc_gate.vlt +++ b/hw/ip/tlul/lint/tlul_lc_gate.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_socket_1n.vlt b/hw/ip/tlul/lint/tlul_socket_1n.vlt index 623bbe182059d..b5e3601d7ea78 100644 --- a/hw/ip/tlul/lint/tlul_socket_1n.vlt +++ b/hw/ip/tlul/lint/tlul_socket_1n.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/lint/tlul_socket_1n.waiver b/hw/ip/tlul/lint/tlul_socket_1n.waiver index 0fa3bf68aef3b..c89edc0756548 100644 --- a/hw/ip/tlul/lint/tlul_socket_1n.waiver +++ b/hw/ip/tlul/lint/tlul_socket_1n.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_socket_m1.vlt b/hw/ip/tlul/lint/tlul_socket_m1.vlt index 1fb5cc6b2a91a..82426845845a6 100644 --- a/hw/ip/tlul/lint/tlul_socket_m1.vlt +++ b/hw/ip/tlul/lint/tlul_socket_m1.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_socket_m1.waiver b/hw/ip/tlul/lint/tlul_socket_m1.waiver index f2bf0f3a4fbfe..81feed3263e8e 100644 --- a/hw/ip/tlul/lint/tlul_socket_m1.waiver +++ b/hw/ip/tlul/lint/tlul_socket_m1.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/lint/tlul_sram2tlul.vlt b/hw/ip/tlul/lint/tlul_sram2tlul.vlt index 544a7b79b1f03..b8340b20851c2 100644 --- a/hw/ip/tlul/lint/tlul_sram2tlul.vlt +++ b/hw/ip/tlul/lint/tlul_sram2tlul.vlt @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/lint/tlul_sram2tlul.waiver b/hw/ip/tlul/lint/tlul_sram2tlul.waiver index dac477d175d8a..8887d6b8dc9a7 100644 --- a/hw/ip/tlul/lint/tlul_sram2tlul.waiver +++ b/hw/ip/tlul/lint/tlul_sram2tlul.waiver @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 # diff --git a/hw/ip/tlul/rtl/sram2tlul.sv b/hw/ip/tlul/rtl/sram2tlul.sv index fbdf14efea608..434ae5ae6f3be 100644 --- a/hw/ip/tlul/rtl/sram2tlul.sv +++ b/hw/ip/tlul/rtl/sram2tlul.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_adapter_host.sv b/hw/ip/tlul/rtl/tlul_adapter_host.sv index e45aea5e22721..428e914ae8e2e 100644 --- a/hw/ip/tlul/rtl/tlul_adapter_host.sv +++ b/hw/ip/tlul/rtl/tlul_adapter_host.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_adapter_reg.sv b/hw/ip/tlul/rtl/tlul_adapter_reg.sv index eff42e1097f4e..839bd22dbca1a 100644 --- a/hw/ip/tlul/rtl/tlul_adapter_reg.sv +++ b/hw/ip/tlul/rtl/tlul_adapter_reg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_adapter_sram.sv b/hw/ip/tlul/rtl/tlul_adapter_sram.sv index ec764cbefadad..267aa1bc45d25 100644 --- a/hw/ip/tlul/rtl/tlul_adapter_sram.sv +++ b/hw/ip/tlul/rtl/tlul_adapter_sram.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_assert.sv b/hw/ip/tlul/rtl/tlul_assert.sv index 7c42d77edd3a6..b6a0e07aa7d68 100644 --- a/hw/ip/tlul/rtl/tlul_assert.sv +++ b/hw/ip/tlul/rtl/tlul_assert.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_assert_multiple.sv b/hw/ip/tlul/rtl/tlul_assert_multiple.sv index ccf3d05000298..61a8e53b94e97 100644 --- a/hw/ip/tlul/rtl/tlul_assert_multiple.sv +++ b/hw/ip/tlul/rtl/tlul_assert_multiple.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_cmd_intg_chk.sv b/hw/ip/tlul/rtl/tlul_cmd_intg_chk.sv index 79dac72ea9acf..2897c0d5cf1bb 100644 --- a/hw/ip/tlul/rtl/tlul_cmd_intg_chk.sv +++ b/hw/ip/tlul/rtl/tlul_cmd_intg_chk.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_cmd_intg_gen.sv b/hw/ip/tlul/rtl/tlul_cmd_intg_gen.sv index 2ab01207797ca..dbed9fdddc844 100644 --- a/hw/ip/tlul/rtl/tlul_cmd_intg_gen.sv +++ b/hw/ip/tlul/rtl/tlul_cmd_intg_gen.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_data_integ_dec.sv b/hw/ip/tlul/rtl/tlul_data_integ_dec.sv index 986ec6e3f21c5..5fa8dcfeb0c39 100644 --- a/hw/ip/tlul/rtl/tlul_data_integ_dec.sv +++ b/hw/ip/tlul/rtl/tlul_data_integ_dec.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_data_integ_enc.sv b/hw/ip/tlul/rtl/tlul_data_integ_enc.sv index eed8785ffe7b5..472f84f837561 100644 --- a/hw/ip/tlul/rtl/tlul_data_integ_enc.sv +++ b/hw/ip/tlul/rtl/tlul_data_integ_enc.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_err.sv b/hw/ip/tlul/rtl/tlul_err.sv index c887cc387b06e..a6ae7ffb92777 100644 --- a/hw/ip/tlul/rtl/tlul_err.sv +++ b/hw/ip/tlul/rtl/tlul_err.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_err_resp.sv b/hw/ip/tlul/rtl/tlul_err_resp.sv index e79ad25ad87fa..a8899fb658328 100644 --- a/hw/ip/tlul/rtl/tlul_err_resp.sv +++ b/hw/ip/tlul/rtl/tlul_err_resp.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_fifo_async.sv b/hw/ip/tlul/rtl/tlul_fifo_async.sv index 7467f605bc909..db375c02c82f3 100644 --- a/hw/ip/tlul/rtl/tlul_fifo_async.sv +++ b/hw/ip/tlul/rtl/tlul_fifo_async.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_fifo_sync.sv b/hw/ip/tlul/rtl/tlul_fifo_sync.sv index b697e4716b257..e8c822cb0bcc6 100644 --- a/hw/ip/tlul/rtl/tlul_fifo_sync.sv +++ b/hw/ip/tlul/rtl/tlul_fifo_sync.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_lc_gate.sv b/hw/ip/tlul/rtl/tlul_lc_gate.sv index f5496b622c976..421718c906ea7 100644 --- a/hw/ip/tlul/rtl/tlul_lc_gate.sv +++ b/hw/ip/tlul/rtl/tlul_lc_gate.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_pkg.sv b/hw/ip/tlul/rtl/tlul_pkg.sv index 4e9401fdf9ad2..80be654dd7fe6 100644 --- a/hw/ip/tlul/rtl/tlul_pkg.sv +++ b/hw/ip/tlul/rtl/tlul_pkg.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_rsp_intg_chk.sv b/hw/ip/tlul/rtl/tlul_rsp_intg_chk.sv index d8aed30ef3b91..42d3fe484a1b3 100644 --- a/hw/ip/tlul/rtl/tlul_rsp_intg_chk.sv +++ b/hw/ip/tlul/rtl/tlul_rsp_intg_chk.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_rsp_intg_gen.sv b/hw/ip/tlul/rtl/tlul_rsp_intg_gen.sv index 82f2ba5ba213d..5b7651f3ebe9d 100644 --- a/hw/ip/tlul/rtl/tlul_rsp_intg_gen.sv +++ b/hw/ip/tlul/rtl/tlul_rsp_intg_gen.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/rtl/tlul_socket_1n.sv b/hw/ip/tlul/rtl/tlul_socket_1n.sv index 1b9ca992a1319..a0cdbf5a1789c 100644 --- a/hw/ip/tlul/rtl/tlul_socket_1n.sv +++ b/hw/ip/tlul/rtl/tlul_socket_1n.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_socket_m1.sv b/hw/ip/tlul/rtl/tlul_socket_m1.sv index c6dd6eda885e5..f011aa603b97c 100644 --- a/hw/ip/tlul/rtl/tlul_socket_m1.sv +++ b/hw/ip/tlul/rtl/tlul_socket_m1.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 // diff --git a/hw/ip/tlul/rtl/tlul_sram_byte.sv b/hw/ip/tlul/rtl/tlul_sram_byte.sv index c2db20cf00508..f8eed0ec7ba68 100644 --- a/hw/ip/tlul/rtl/tlul_sram_byte.sv +++ b/hw/ip/tlul/rtl/tlul_sram_byte.sv @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/tlul/socket_1n.core b/hw/ip/tlul/socket_1n.core index 74be1e97b4b7f..a4c2e3853d390 100644 --- a/hw/ip/tlul/socket_1n.core +++ b/hw/ip/tlul/socket_1n.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:socket_1n:0.1" diff --git a/hw/ip/tlul/socket_m1.core b/hw/ip/tlul/socket_m1.core index fe3a1e39b48c0..e8e3af59acadc 100644 --- a/hw/ip/tlul/socket_m1.core +++ b/hw/ip/tlul/socket_m1.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:socket_m1:0.1" diff --git a/hw/ip/tlul/sram2tlul.core b/hw/ip/tlul/sram2tlul.core index d71128deefdf5..f4489900b2aa0 100644 --- a/hw/ip/tlul/sram2tlul.core +++ b/hw/ip/tlul/sram2tlul.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:sram2tlul:0.1" diff --git a/hw/ip/tlul/tlul.core b/hw/ip/tlul/tlul.core index 080acc386116b..0509b77f548db 100644 --- a/hw/ip/tlul/tlul.core +++ b/hw/ip/tlul/tlul.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:ip:tlul:0.1" diff --git a/hw/ip/tlul/tlul_lc_gate.core b/hw/ip/tlul/tlul_lc_gate.core index 10c1db9b189b6..a7a2aef9240f6 100644 --- a/hw/ip/tlul/tlul_lc_gate.core +++ b/hw/ip/tlul/tlul_lc_gate.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:lc_gate:0.1" diff --git a/hw/ip/tlul/trans_intg.core b/hw/ip/tlul/trans_intg.core index 76344c3c99c37..50b9dcd8b608e 100644 --- a/hw/ip/tlul/trans_intg.core +++ b/hw/ip/tlul/trans_intg.core @@ -1,5 +1,5 @@ CAPI=2: -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 name: "lowrisc:tlul:trans_intg:0.1" diff --git a/hw/ip/trial1/BUILD b/hw/ip/trial1/BUILD index a72dfac2bdc5d..75a524c3c5e03 100644 --- a/hw/ip/trial1/BUILD +++ b/hw/ip/trial1/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/trial1/data/BUILD b/hw/ip/trial1/data/BUILD index 36beb77a655e7..dda56de039e27 100644 --- a/hw/ip/trial1/data/BUILD +++ b/hw/ip/trial1/data/BUILD @@ -1,4 +1,4 @@ -# Copyright lowRISC contributors. +# Copyright lowRISC contributors (OpenTitan project). # Licensed under the Apache License, Version 2.0, see LICENSE for details. # SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/trial1/data/trial1.hjson b/hw/ip/trial1/data/trial1.hjson index 2792d41066cdb..979c9e2dec3c5 100644 --- a/hw/ip/trial1/data/trial1.hjson +++ b/hw/ip/trial1/data/trial1.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 { diff --git a/hw/ip/trial1/data/trial1_sec_cm_testplan.hjson b/hw/ip/trial1/data/trial1_sec_cm_testplan.hjson index c9a9a0b1bd745..16886c447c149 100644 --- a/hw/ip/trial1/data/trial1_sec_cm_testplan.hjson +++ b/hw/ip/trial1/data/trial1_sec_cm_testplan.hjson @@ -1,4 +1,4 @@ -// Copyright lowRISC contributors. +// Copyright lowRISC contributors (OpenTitan project). // Licensed under the Apache License, Version 2.0, see LICENSE for details. // SPDX-License-Identifier: Apache-2.0 diff --git a/hw/ip/trial1/doc/trial1.html b/hw/ip/trial1/doc/trial1.html index 8fc11eb7b1052..d7d631a527907 100644 --- a/hw/ip/trial1/doc/trial1.html +++ b/hw/ip/trial1/doc/trial1.html @@ -3,7 +3,7 @@