Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Upgrade verilator #2134

Open
nasahlpa opened this issue Jan 19, 2024 · 1 comment
Open

Upgrade verilator #2134

nasahlpa opened this issue Jan 19, 2024 · 1 comment

Comments

@nasahlpa
Copy link
Member

In the future, it might be worth to upgrade Verilator to a more recent version. Especially when dealing with linting errors, a more recent version could get rid of some hacks to avoid certain linting errors (e.g., #2129).

@nasahlpa
Copy link
Member Author

The main reason why I would suggest upgrading to a more recent Verilator version (e.g. >=5) is that I am running in these Warning-IMPERFECTSCH from the Verilator linting step. It seems that this is a historical feature which is not used anymore in Verilator >=5. Does anybody (maybe @GregAC or @rswarbrick) know, why we enabled this check with the -Wwarn-IMPERFECTSCH?
Instead of upgrading to a more recent version of Verilator, we also could disable this flag.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant