Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Ask a question related to Ibex #2072

Open
yikim2256 opened this issue Aug 14, 2023 · 0 comments
Open

Ask a question related to Ibex #2072

yikim2256 opened this issue Aug 14, 2023 · 0 comments
Labels
Type:Question Questions

Comments

@yikim2256
Copy link

yikim2256 commented Aug 14, 2023

My Environment

Simple System

The following error occurs when simple_system simulation is performed with fusesoc

fusesoc --cores-root=. run --target=sim --tool=vcs --setup --build lowrisc:ibex:ibex_simple_system --RV32E=0 --RV32M=ibex_pkg::RV32MFast --SRAMInitFile=./examples/sw/simple_system/hello_test/hello_test.vmem
image

EDA tool and version:

Synopsys VCS_2020

Operating system:

CentOS 7.9

Version of the Ibex source code:

@yikim2256 yikim2256 added the Type:Question Questions label Aug 14, 2023
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Type:Question Questions
Projects
None yet
Development

No branches or pull requests

1 participant