You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Description
It is currently not possible to access records using the VPI. This is a known issue, but currently does not have a bug report associated to it. I hope making this one will help tracking and awareness of the issue.
-- sample.vhdlibrary ieee;
use ieee.std_logic_1164.all;
packagerecordPkgistypemyRecordTisrecord
a : std_logic;
endrecordmyRecordT;
endpackagerecordPkg;
library ieee;
use ieee.std_logic_1164.all;
use work.recordPkg.all;
entitysampleisport(
rec : in myRecordT
);
endsample;
architecturestructureofsampleisbeginendstructure;
# test_sample.pyimportcocotbfromcocotb.triggersimportRisingEdge, ClockCycles, Timer, FallingEdgefromcocotb.clockimportClock@cocotb.test()asyncdeftype_test(dut):
# Readingprint(dut.rec.a)
# Writingdut.rec.a=0awaitTimer(1, 'step')
assertdut.rec.a==0, "rec.a was not updated"
Description
It is currently not possible to access records using the VPI. This is a known issue, but currently does not have a bug report associated to it. I hope making this one will help tracking and awareness of the issue.
Related materials:
Expected behaviour
Records should be accessible through the VPI.
How to reproduce?
records.zip
Context
Please, provide the following information:
version
tarball_url
The text was updated successfully, but these errors were encountered: