Skip to content

Latest commit

 

History

History
13 lines (11 loc) · 1.44 KB

DEPRECATED.md

File metadata and controls

13 lines (11 loc) · 1.44 KB

Deprecated images

Some images related to synthesis and PnR were moved to hdl/containers and hub.docker.com/u/hdlc. Some of those are now mirrored to ghdl/synth:* for backwards compatibility, but are no longer built in this repository. See workflow mirror.

  • ghdl/synth:beta includes GHDL along with ghdl-yosys-plugin built as a module for YosysHQ/yosys, and Yosys.
  • ghdl/synth:formal includes GHDL, ghdl-yosys-plugin, Yosys and Symbiyosys.
  • ghdl/synth:icestorm: includes icestorm without iceprog.
  • ghdl/synth:nextpnr-ice40: includes nextpnr with support for ICE40 devices only.
  • ghdl/synth:nextpnr-ecp5: includes nextpnr with support for ECP5 devices only.
  • ghdl/synth:nextpnr: includes nextpnr with support for all architectures (see nextpnr: Additional notes for building nextpnr).
  • ghdl/synth:prog: includes iceprog from icestorm and openocd.
  • ghdl/synth:trellis: includes prjtrellis.
  • ghdl/synth:yosys: includes yosys.