Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

The new feature of verilator stops building procession #118

Open
LoveMyPillow opened this issue Mar 6, 2023 · 2 comments
Open

The new feature of verilator stops building procession #118

LoveMyPillow opened this issue Mar 6, 2023 · 2 comments

Comments

@LoveMyPillow
Copy link

Verilator has a new feature called "IMPLICTSTATIC warning", when a function is implictly static, in the version v5.006.
When I run the command make -f $RV_ROOT\tools\Makefile
The shell print
%Warning-IMPLICITSTATIC: /home/hiramhsu/W-VeeR/Cores-VeeR-EH1-main/testbench/dasm.svi:393:17: Function/task's lifetime implicitly set to static
And the building procession will be stopped because of these warnings.
I have to downgrade the version of verilator into v5.004 so that I can run the simulation.

@XavierJEsteve
Copy link

I've now encountered the same issue. Is it worth following the suggestions provided:
"Function/task's lifetime implicitly set to static : ... Suggest use 'function automatic' or 'function static' "
in order to maintain compatibility with future versions of Verilator?

@algrobman
Copy link

this is verilator bug - ask the tool developer to fix this. A verilog simulator supposed to support verilog standard which allows functions definitions without qualifiers. Verilator should issue Note and not warning, killing compilation, if they want.
Another way to fix this problem - to add -Wno-IMPLICITSTATIC compilation switch

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants