Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

CI needs a docker container #2

Open
catkira opened this issue Mar 22, 2023 · 2 comments
Open

CI needs a docker container #2

catkira opened this issue Mar 22, 2023 · 2 comments
Labels
enhancement New feature or request good first issue Good for newcomers

Comments

@catkira
Copy link
Owner

catkira commented Mar 22, 2023

Compiling Verilator for each CI run is very inefficient, because it takes around 15 Mins. It would be nice to have a docker container that contains all the necessary software (Verilator, iverilog, cocotb) for running the tests. I did not find such a container, so it probably has to be created.

@catkira catkira added enhancement New feature or request good first issue Good for newcomers labels Mar 22, 2023
@signed-log
Copy link

Can I help with that?

@catkira
Copy link
Owner Author

catkira commented Apr 18, 2023

yes, we need a docker container that contains verilator, iverilog and all the python packages that are used (py3gpp, cocotb, cocotb-test, etc...). It would speed up the CI runs a lot.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
enhancement New feature or request good first issue Good for newcomers
Projects
None yet
Development

No branches or pull requests

2 participants