{"payload":{"header_redesign_enabled":false,"results":[{"id":"68826276","archived":false,"color":"#b2b7f8","followers":256,"has_funding_file":false,"hl_name":"ZipCPU/wbuart32","hl_trunc_description":"A simple, basic, formally verified UART controller","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":68826276,"name":"wbuart32","owner_id":22348544,"owner_login":"ZipCPU","updated_at":"2024-01-29T18:12:25.450Z","has_issues":true}},"sponsorable":false,"topics":["fpga","verilog","uart","serialport","uart-verilog","wishbone","verilator","wishbone-bus"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":88,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AZipCPU%252Fwbuart32%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/ZipCPU/wbuart32/star":{"post":"8sExJF9_z_cpv4RL4w19mEhXgkuvqiRjWCtIQtl2yXkDa5szeMy9G8MkdIqp9GkwXI50olw-L3Ocko2cEkSzAg"},"/ZipCPU/wbuart32/unstar":{"post":"_NkQkaBUJDAfYTePODPu1ivCdrkT03nTifppcJbZjSAH81wuUYUYw0UdWuN--0Gu8vr5WaLkks6DO9toCJxcoA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"pA4LkmUACjdLLipKnyEFh9fdWfXN64GjpKDgyW3dv1qlriGCGk4v4IQKngccJcj4C6y7EBC2qQV2P09QLmzzvQ"}}},"title":"Repository search results"}