Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

曙光超算上安装Helixfold遇到PaddlePaddle NotFoundError: Operator fused_gate_attention does not have kernel for {data_type[float]; data_layout[Undefined(AnyLayout)]; place[Place(cpu)]; library_type[PLAIN]}. #231

Open
xiamr opened this issue Oct 24, 2022 · 1 comment

Comments

@xiamr
Copy link

xiamr commented Oct 24, 2022

在DCU节点运行发生如下错误,安装的是此版本的paddle => https://baidu-nlp.bj.bcebos.com/PaddleHelix/HelixFold/paddlepaddle_rocm-0.0.0.dev628-cp37-cp37m-linux_x86_64.whl

1666572311490

@xiamr
Copy link
Author

xiamr commented Oct 24, 2022

不知道这个还支持海光的DCU吗?RCCL错误
1666609019281

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant