diff --git a/examples/alpha250-4/adc-bram/test.py b/examples/alpha250-4/adc-bram/test.py index 909ddaeae..84359592b 100644 --- a/examples/alpha250-4/adc-bram/test.py +++ b/examples/alpha250-4/adc-bram/test.py @@ -47,7 +47,7 @@ ax.set_ylabel('ADC Raw data') ax.set_xlim((t_us[0], t_us[-1])) # ax.set_ylim((-2**15, 2**15)) -ax.set_ylim((-300, 300)) +ax.set_ylim((-32768, 32768)) ax.legend(loc='upper right') fig.canvas.draw() diff --git a/fpga/fpga.mk b/fpga/fpga.mk index 8905e4d9e..b67586802 100644 --- a/fpga/fpga.mk +++ b/fpga/fpga.mk @@ -53,11 +53,11 @@ $(TMP_FPGA_PATH)/$(NAME).xpr: $(CONFIG_TCL) $(XDC) $(PROJECT_PATH)/*.tcl $(CORES fpga: $(BITSTREAM) $(BITSTREAM): $(TMP_FPGA_PATH)/$(NAME).xpr | $(TMP_FPGA_PATH) - $(VIVADO_BATCH) -source $(FPGA_PATH)/vivado/bitstream.tcl -tclargs $< $@ + $(VIVADO_BATCH) -source $(FPGA_PATH)/vivado/bitstream.tcl -tclargs $< $@ $(N_CPUS) @echo [$@] OK $(TMP_FPGA_PATH)/$(NAME).hwdef: $(TMP_FPGA_PATH)/$(NAME).xpr | $(TMP_FPGA_PATH) - $(VIVADO_BATCH) -source $(FPGA_PATH)/vivado/hwdef.tcl -tclargs $(TMP_FPGA_PATH)/$(NAME).xpr $(TMP_FPGA_PATH)/$(NAME).hwdef + $(VIVADO_BATCH) -source $(FPGA_PATH)/vivado/hwdef.tcl -tclargs $(TMP_FPGA_PATH)/$(NAME).xpr $(TMP_FPGA_PATH)/$(NAME).hwdef $(N_CPUS) @echo [$@] OK # Build the block design in Vivado GUI diff --git a/fpga/vivado/bitstream.tcl b/fpga/vivado/bitstream.tcl index 62aa5e831..70adcd8a2 100644 --- a/fpga/vivado/bitstream.tcl +++ b/fpga/vivado/bitstream.tcl @@ -1,10 +1,11 @@ set xpr_filename [lindex $argv 0] set bit_filename [lindex $argv 1] +set nCPU [lindex $argv 2] open_project $xpr_filename if {[get_property PROGRESS [get_runs impl_1]] != "100%"} { - launch_runs impl_1 -to_step route_design + launch_runs impl_1 -to_step route_design -jobs $nCPU wait_on_run impl_1 } diff --git a/fpga/vivado/hwdef.tcl b/fpga/vivado/hwdef.tcl index 328734dda..c4bf1962d 100644 --- a/fpga/vivado/hwdef.tcl +++ b/fpga/vivado/hwdef.tcl @@ -1,10 +1,11 @@ set xpr_filename [lindex $argv 0] set hwdef_filename [lindex $argv 1] +set nCPU [lindex $argv 2] open_project $xpr_filename if {[get_property PROGRESS [get_runs synth_1]] != "100%"} { - launch_runs synth_1 + launch_runs synth_1 -jobs $nCPU wait_on_run synth_1 }