Skip to content
#

multiplier

Here are 72 public repositories matching this topic...

Implementation of a simple SIMD processor in Verilog, core of which is a 16-bit SIMD ALU. 2's compliment calculations are implemented in this ALU. The ALU operation will take two clocks. The first clock cycle will be used to load values into the registers. The second will be for performing the operations. 6-bit opcodes are used to select the fun…

  • Updated Jul 17, 2022
  • Verilog

This project was performed on the completion of our B. Tech 4th Semester Summer Training cum Academic Internship Programme on "RISC-V based 32-bit Digital Processor Design using SPICE" under E&ICT Academy IIT Guwahati and Assam Science & Technology University, Guwahati under TEQIP III in association with VLSI Expert

  • Updated Aug 27, 2021

Improve this page

Add a description, image, and links to the multiplier topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the multiplier topic, visit your repo's landing page and select "manage topics."

Learn more