Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Audit warnings #7

Open
nmoroze opened this issue May 27, 2021 · 0 comments
Open

Audit warnings #7

nmoroze opened this issue May 27, 2021 · 0 comments

Comments

@nmoroze
Copy link
Collaborator

nmoroze commented May 27, 2021

It looks like there Verilator produces a bunch of lint warnings when running the design through the flow. I should look more closely at these to clean things up/make sure we don't have any undiscovered issues, although i think somethings will be tough to avoid if they're related to lowRISC code/sv2v transformations.

Should also check to see if Yosys is emitting any warnings during synthesis.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant