{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"firesim","owner":"sifive","isFork":true,"description":"FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":217,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-09-29T10:50:20.498Z"}},{"type":"Public","name":"testchipip","owner":"sifive","isFork":true,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":58,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-03T20:02:59.107Z"}},{"type":"Public","name":"icenet","owner":"sifive","isFork":true,"description":"Network components (NIC, Switch) for FireBox","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":20,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-05-02T16:55:46.542Z"}},{"type":"Public archive","name":"chisel-circt","owner":"sifive","isFork":false,"description":"Library to compile Chisel circuits using LLVM/MLIR (CIRCT)","topicNames":["scala","chisel","mlir","circt"],"topicsNotShown":0,"allTopics":["scala","chisel","mlir","circt"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":68,"forksCount":9,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-03-02T04:37:03.645Z"}},{"type":"Public","name":"chisel-circt-demo","owner":"sifive","isFork":false,"description":"Demonstration of a project using sifive/chisel-circt","topicNames":["scala","chisel","mlir","circt"],"topicsNotShown":0,"allTopics":["scala","chisel","mlir","circt"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":9,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-09-28T18:26:27.114Z"}},{"type":"Public","name":"block-inclusivecache-sifive","owner":"sifive","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":3,"issueCount":8,"starsCount":71,"forksCount":45,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-06-01T18:40:37.445Z"}},{"type":"Public","name":"sifive-blocks","owner":"sifive","isFork":false,"description":"Common RTL blocks used in SiFive's projects","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":7,"issueCount":9,"starsCount":173,"forksCount":81,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-13T18:42:31.489Z"}},{"type":"Public","name":"fpga-shells","owner":"sifive","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":15,"issueCount":6,"starsCount":134,"forksCount":64,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-13T18:08:40.590Z"}},{"type":"Public","name":"barstools","owner":"sifive","isFork":true,"description":"Useful utilities for BAR projects","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":16,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-02T00:29:24.383Z"}},{"type":"Public archive","name":"freedom","owner":"sifive","isFork":false,"description":"Source files for SiFive's Freedom platforms","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":6,"issueCount":64,"starsCount":1098,"forksCount":283,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-07-17T06:21:29.009Z"}},{"type":"Public","name":"chipyard","owner":"sifive","isFork":true,"description":"An Agile Chisel-Based SoC Design Framework","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":593,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-07T06:34:43.975Z"}},{"type":"Public archive","name":"block-pio-sifive","owner":"sifive","isFork":false,"description":"An example of on-boarding a PIO block in with duh and wake","topicNames":["duh"],"topicsNotShown":0,"allTopics":["duh"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":12,"forksCount":15,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-11-18T00:24:01.498Z"}},{"type":"Public","name":"soc-testsocket-sifive","owner":"sifive","isFork":false,"description":"A simple SoC for testing IP blocks","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":1,"starsCount":11,"forksCount":4,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-11-15T05:56:58.748Z"}},{"type":"Public","name":"soc-freedom-sifive","owner":"sifive","isFork":false,"description":"e300 and u500 devkits","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":3,"starsCount":9,"forksCount":8,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-11-15T00:41:20.269Z"}},{"type":"Public","name":"berkeley-hardfloat-chisel3","owner":"sifive","isFork":false,"description":"Hardfloat using chisel3","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":17,"forksCount":4,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-09-23T21:11:35.154Z"}},{"type":"Public","name":"block-i3cmaster-sifive","owner":"sifive","isFork":false,"description":"This is a repository for Improved inter-integrated circuit master.","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-08-31T11:02:57.768Z"}},{"type":"Public","name":"example-chisel-iotester-wake","owner":"sifive","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-05-20T05:42:01.649Z"}},{"type":"Public","name":"chisel-bootcamp-india","owner":"sifive","isFork":false,"description":"This is a trimmed down version of chisel bootcamp targeted for Indian undergraduate students. The Exercises here are sourced from different public chisel materials ","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-04-07T06:33:16.034Z"}},{"type":"Public","name":"berkeley-hardfloat","owner":"sifive","isFork":true,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":83,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-03-10T22:40:08.701Z"}},{"type":"Public","name":"firrtl","owner":"sifive","isFork":true,"description":"Flexible Intermediate Representation for RTL","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":175,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-02-05T23:32:02.836Z"}},{"type":"Public","name":"block-pio-sifive-bidirport","owner":"sifive","isFork":false,"description":"add bi-directional io port to pio.sv and loopback.sv for test","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2020-01-06T14:54:49.224Z"}},{"type":"Public","name":"example-firrtl-wake","owner":"sifive","isFork":false,"description":"Example Chisel modules and Chisel -> Verilog Wake flow","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-08-14T21:22:05.410Z"}},{"type":"Public","name":"soc-iofpga-sifive","owner":"sifive","isFork":false,"description":"An IOFPGA SoC","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-08-07T01:05:52.235Z"}},{"type":"Public","name":"example-chisel-wake","owner":"sifive","isFork":false,"description":"Example For Wake to run a Chisel design and unit test.","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":3,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-07-26T03:30:43.152Z"}},{"type":"Public","name":"scala-compiler-bridge-fetcher","owner":"sifive","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":1,"starsCount":0,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-06-22T01:02:52.326Z"}}],"repositoryCount":25,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}