{"payload":{"pageCount":7,"repositories":[{"type":"Public","name":"sky130_ak_ip__cmos_vref","owner":"efabless","isFork":true,"description":"All-CMOS voltage reference based on NMOS threshold voltage temperature compensation","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-10T20:40:15.314Z"}},{"type":"Public","name":"EF_PIN_MUX","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-10T13:28:13.026Z"}},{"type":"Public","name":"openlane-metrics","owner":"efabless","isFork":false,"description":"Repository to store metric results for OpenLane 2.0.0+","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-09T14:49:12.287Z"}},{"type":"Public","name":"openlane2","owner":"efabless","isFork":false,"description":"The next generation of OpenLane, rewritten from scratch with a modular architecture","allTopics":["flow","asic","eda","verilog","vlsi","pnr","silicon","lvs","flows","sta","gdsii","drc","pdk","openroad","openlane","sky130","gf180mcu","rtl-to-gds"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":5,"issueCount":32,"starsCount":159,"forksCount":27,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-09T14:21:56.036Z"}},{"type":"Public","name":"ioplace_parser","owner":"efabless","isFork":false,"description":"Antlr4-based parser for the input files to OpenLane custom IO placers.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":3,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,9,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,0,0,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-09T13:45:53.439Z"}},{"type":"Public","name":"sky130_icrg_ip__ulpcomp","owner":"efabless","isFork":true,"description":"Participating IP: ULP Comparator. Designed as part of Efabless latest Caravel design.","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,17,15,0,2,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-09T13:35:36.025Z"}},{"type":"Public","name":"sky130_ak_ip__comparator","owner":"efabless","isFork":true,"description":"Comparator in sky130 by Andrew Kang (Chipalooza challenge 2024)","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-08T12:46:19.763Z"}},{"type":"Public","name":"cace","owner":"efabless","isFork":false,"description":"Circuit Automatic Characterization Engine","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":3,"issueCount":10,"starsCount":26,"forksCount":6,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-07T14:47:37.792Z"}},{"type":"Public","name":"sky130_iic_ip__audiodac_v1","owner":"efabless","isFork":true,"description":"Delta-sigma audio DAC (16b, 48kHz), intended for tape-out on MPW-5, SKY130 technology.","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":5,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-07T00:43:06.523Z"}},{"type":"Public","name":"sky130_be_ip__lsxo","owner":"efabless","isFork":true,"description":"Low-speed crystal oscillator IP for the SKY130 PDK by Brady Etz (Chipalooza challenge 2024)","allTopics":[],"primaryLanguage":{"name":"PLSQL","color":"#dad8d8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T23:58:03.145Z"}},{"type":"Public","name":"sky130_td_ip__opamp_hp","owner":"efabless","isFork":true,"description":"High-gain operational amplifier for SKY130 CMOS process by Thomas Dexter (Chipalooza challenge 2024)","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:48:29.779Z"}},{"type":"Public","name":"sky130_od_ip__tempsensor","owner":"efabless","isFork":true,"description":"Temperature sensor design in sky130 technology by Or Dicker (Chipalooza challenge 2024) ","allTopics":[],"primaryLanguage":{"name":"SourcePawn","color":"#f69e1d"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:47:15.493Z"}},{"type":"Public","name":"sky130_ht_ip__hsxo_cpz1","owner":"efabless","isFork":true,"description":"High-speed (4-16MHz) crystal oscillator in sky130 by Tamas Hubai (Chipalooza challenge 2024)","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:45:01.795Z"}},{"type":"Public","name":"sky130_hcmus_ip__instramp","owner":"efabless","isFork":true,"description":"Chipalooza challenge instrumentation amplifier","allTopics":[],"primaryLanguage":{"name":"PLSQL","color":"#dad8d8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:44:04.210Z"}},{"type":"Public","name":"sky130_cw_ip","owner":"efabless","isFork":true,"description":"Collection of IP blocks from Christoph Weiser","allTopics":[],"primaryLanguage":{"name":"SourcePawn","color":"#f69e1d"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:37:11.263Z"}},{"type":"Public","name":"sky130_am_ip__ldo_01v8","owner":"efabless","isFork":true,"description":"Low power 1.8 V LDO for the efabless chipalooza challenge","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T18:34:49.917Z"}},{"type":"Public","name":"BusWrap","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T14:55:47.844Z"}},{"type":"Public","name":"sky130_sw_ip__bgrref_por","owner":"efabless","isFork":true,"description":"Chipalooza challenge - bandgap-referenced Power-on-Reset (PoR)","allTopics":[],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T14:52:44.624Z"}},{"type":"Public","name":"nix-eda","owner":"efabless","isFork":false,"description":"Nix derivations for EDA tools","allTopics":["nix","eda","electronic-design-automation","nix-flake"],"primaryLanguage":{"name":"Nix","color":"#7e7eff"},"pullRequestCount":0,"issueCount":2,"starsCount":3,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T13:54:22.822Z"}},{"type":"Public","name":"openframe_timer_example","owner":"efabless","isFork":true,"description":"Example digital project for the Efabless Caravel \"openframe\" harness","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":1,"starsCount":3,"forksCount":9,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T10:11:49.126Z"}},{"type":"Public","name":"sky130_klayout_pdk","owner":"efabless","isFork":false,"description":"Skywaters 130nm Klayout PDK","allTopics":["pdk","skywaters","chipdesign"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":5,"issueCount":7,"starsCount":13,"forksCount":10,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-06T08:45:41.593Z"}},{"type":"Public","name":"EF_ADCS1008NC","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":2,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-05T21:20:39.718Z"}},{"type":"Public","name":"chipcraft---mest-course","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"TL-Verilog","color":"#C40023"},"pullRequestCount":0,"issueCount":0,"starsCount":26,"forksCount":5,"license":"Creative Commons Zero v1.0 Universal","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-05T20:44:19.144Z"}},{"type":"Public","name":"openlane2-ci-designs","owner":"efabless","isFork":false,"description":"Continuous Integration Designs for OpenLane 2.0.0 or higher","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-05T14:39:29.694Z"}},{"type":"Public","name":"openlane2-step-unit-tests","owner":"efabless","isFork":false,"description":"Step-specific Unit Tests for OpenLane 2.0.0+","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":2,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T14:55:21.165Z"}},{"type":"Public","name":"cace-action","owner":"efabless","isFork":false,"description":"GitHub composite action for CACE","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T08:19:30.934Z"}},{"type":"Public template","name":"caravel_user_project","owner":"efabless","isFork":false,"description":"https://caravel-user-project.readthedocs.io","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":33,"issueCount":81,"starsCount":171,"forksCount":324,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-04T03:37:21.340Z"}},{"type":"Public","name":"central_CI","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":5,"issueCount":1,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-02T00:16:58.228Z"}},{"type":"Public template","name":"caravel_user_project_ol2","owner":"efabless","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":2,"issueCount":0,"starsCount":1,"forksCount":324,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-01T16:02:17.918Z"}},{"type":"Public","name":"EF_SRAM_1024x32","owner":"efabless","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":2,"starsCount":3,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-01T09:49:32.987Z"}}],"repositoryCount":194,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}