{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"corundum","owner":"corundum","isFork":false,"description":"Open source FPGA-based NIC and platform for in-network compute","topicNames":["linux","networking","nic","in-network-compute","fpga"],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":4,"issueCount":86,"starsCount":1482,"forksCount":365,"license":"Other","participation":[5,4,9,7,16,8,26,4,9,19,23,6,0,13,5,22,3,14,5,17,0,0,3,0,2,10,32,9,8,9,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-30T07:31:01.691Z"}},{"type":"Public","name":"verilog-pcie","owner":"corundum","isFork":true,"description":"Verilog PCI express components","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":15,"forksCount":259,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-06-26T02:04:04.892Z"}},{"type":"Public","name":"verilog-ethernet","owner":"corundum","isFork":true,"description":"Verilog Ethernet components for FPGA implementation","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":12,"forksCount":608,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-06-26T02:03:57.754Z"}},{"type":"Public","name":"verilog-axi","owner":"corundum","isFork":true,"description":"Verilog AXI components for FPGA implementation","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":402,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-06-26T02:03:31.321Z"}},{"type":"Public","name":"dma-bench","owner":"corundum","isFork":true,"description":"","topicNames":[],"topicsNotShown":0,"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":11,"license":"MIT License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-11-15T01:23:08.561Z"}}],"repositoryCount":5,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"mirror","text":"Mirrors"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}