{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"f4pga","owner":"chipsalliance","isFork":false,"description":"FOSS Flow For FPGA","topicNames":["documentation","sphinx","symbiflow"],"topicsNotShown":0,"allTopics":["documentation","sphinx","symbiflow"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":11,"issueCount":12,"starsCount":324,"forksCount":44,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-25T00:16:15.582Z"}},{"type":"Public","name":"verible-linter-action","owner":"chipsalliance","isFork":false,"description":"Automatic SystemVerilog linting in github actions with the help of Verible","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":2,"starsCount":25,"forksCount":12,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-28T06:52:10.425Z"}},{"type":"Public","name":"riscv-dv","owner":"chipsalliance","isFork":false,"description":"Random instruction generator for RISC-V processor verification","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":8,"issueCount":109,"starsCount":958,"forksCount":308,"license":"Apache License 2.0","participation":[1,0,0,0,0,0,0,1,0,0,0,0,0,0,3,1,1,5,2,2,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-06T09:36:45.643Z"}},{"type":"Public","name":"fpga-tool-perf","owner":"chipsalliance","isFork":false,"description":"FPGA tool performance profiling","topicNames":["toolchain","perf","performance-analysis","yosys","conda-environment","vpr","arachne-pnr","nextpnr","symbiflow","f4pga"],"topicsNotShown":1,"allTopics":["toolchain","perf","performance-analysis","yosys","conda-environment","vpr","arachne-pnr","nextpnr","symbiflow","f4pga","fpga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":22,"issueCount":70,"starsCount":96,"forksCount":28,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-24T10:45:53.517Z"}},{"type":"Public","name":"f4pga-sdf-timing","owner":"chipsalliance","isFork":false,"description":"Python library for working Standard Delay Format (SDF) Timing Annotation files.","topicNames":["symbiflow","verilog","sdf","python-sdf-timing","interconnect-delays"],"topicsNotShown":0,"allTopics":["symbiflow","verilog","sdf","python-sdf-timing","interconnect-delays"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":5,"starsCount":27,"forksCount":14,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-02-11T08:40:59.186Z"}},{"type":"Public","name":"python-fpga-interchange","owner":"chipsalliance","isFork":false,"description":"Python interface to FPGA interchange format","topicNames":["f4pga"],"topicsNotShown":0,"allTopics":["f4pga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":5,"issueCount":19,"starsCount":39,"forksCount":11,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-19T13:35:35.899Z"}},{"type":"Public","name":"fasm","owner":"chipsalliance","isFork":false,"description":"FPGA Assembly (FASM) Parser and Generator","topicNames":["fasm","bitstream","fpga-assembly","symbiflow","bitstream-format","f4pga","parser","fpga"],"topicsNotShown":0,"allTopics":["fasm","bitstream","fpga-assembly","symbiflow","bitstream-format","f4pga","parser","fpga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":6,"issueCount":26,"starsCount":86,"forksCount":29,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-07-25T14:57:14.825Z"}},{"type":"Public","name":"f4pga-v2x","owner":"chipsalliance","isFork":false,"description":"Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.","topicNames":["python","xml","verilog","v2x","f4pga"],"topicsNotShown":0,"allTopics":["python","xml","verilog","v2x","f4pga"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":5,"issueCount":42,"starsCount":10,"forksCount":11,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-16T16:35:03.349Z"}},{"type":"Public","name":"f4pga-bitstream-viewer","owner":"chipsalliance","isFork":false,"description":"Tool for graphically viewing FPGA bitstream files and their connection to FASM features.","topicNames":["xilinx","symbiflow","bitstream","bitstream-viewer"],"topicsNotShown":0,"allTopics":["xilinx","symbiflow","bitstream","bitstream-viewer"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":4,"starsCount":13,"forksCount":8,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-04-06T11:09:03.555Z"}},{"type":"Public","name":"f4pga-rr-graph","owner":"chipsalliance","isFork":false,"description":"Collection of Routing Resources Graph (RR Graph) libraries for VPR","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":2,"starsCount":2,"forksCount":5,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-03-22T11:55:28.602Z"}},{"type":"Public","name":"f4pga-xc-fasm","owner":"chipsalliance","isFork":false,"description":"","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":2,"issueCount":5,"starsCount":5,"forksCount":7,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-02-28T17:40:48.975Z"}},{"type":"Public","name":"Cores-SweRV-Support-Package","owner":"chipsalliance","isFork":false,"description":"Processor support packages","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":4,"starsCount":15,"forksCount":7,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2021-02-02T12:45:27.779Z"}},{"type":"Public","name":"cocotb","owner":"chipsalliance","isFork":true,"description":"Coroutine Co-simulation Test Bench ","topicNames":[],"topicsNotShown":0,"allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":481,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2019-06-13T12:23:47.197Z"}}],"repositoryCount":13,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}